Praktikum Za Studente

Embed Size (px)

DESCRIPTION

Praktikum za studente

Citation preview

Praktikum za laboratorijske vjebe

LABORATORIJSKA VJEBA 1.

Univerzalni komparator

Projektovanje univerzalnog komparatora za poreenje dva jednobitna broja Unos dizajna grafikim putem Verifikacija realizovanog sistema simulacijom Zakljuak

Ime i prezime:___________________ Datum: __________________Broj indeksa:__________ Pregledao:________________Projektovanje univerzalnog komparatora za poreenje dva jednobitna broja

Rjeenje:_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Unos dizajna grafikim putemSlika:

Verifikacija realizovanog sistema simulacijom

Slika:

Zakljuak:________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

LABORATORIJSKA VJEBA 2.

Realizacija potpunog sabiraa

Projektovanje polusabiraa za sabiranje dva jednobitna broja Unos dizajna grafikim putem Verifikacija realizovanog sistema simulacijom Formiranje simbola polusabiraa Projektovanje potpunog sabiraa upotrebom polusabiraa Unos dizajna grafikim putem Verifikacija realizovanog sistema simulacijom Zakljuak

Ime i prezime:___________________ Datum: __________________Broj indeksa:__________ Pregledao:________________Projektovanje polusabiraa za sabiranje dva jednobitna broja

Rjeenje:Ulazne promjenjive: Enable, X, YIzlazne promjenjive: Result, CarryEnableXYCarryJednaine: _______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________Result

000

001

010

011

100

101

110

111

Unos dizajna grafikim putemSlika:

Verifikacija realizovanog sistema simulacijomSlika:

Formiranje simbola polusabiraaSlika:

Projektovanje potpunog sabiraa upotrebom polusabiraaRjeenje:Ulazne promjenjive: Enable, Carry in, X, Y

____________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________Izlazne promjenjive: Carry, ResultCarry inXYCarryResult

000

001

010

011

100

101

110

111

______________________________________________________________________________________________________________________________________________________Unos dizajna grafikim putemSlika:

Verifikacija realizovanog sistema simulacijomSlika:

Zakljuak:_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

LABORATORIJSKA VJEBA 3.

D - FF

Ispitivanje ponaanja ivino okidanog flipflopa sa pozitivnom i negativnom ivicom Unos dizajna grafikim putem Verifikacija realizovanog sistema simulacijom Zakljuak

Ime i prezime:___________________ Datum: __________________Broj indeksa:__________ Pregledao:________________Ispitivanje ponaanja ivino okidanog flipflopa sa pozitivnom i negativnom ivicom

Potrebno je istovremeno dovesti signale D, CLK, Set i Reset na obje vrste FF te posmatrati i uporediti dobijanje izlaznih signala. Rjeenje: ____________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________Q(t)Q(t+1)D

00

01

10

11

Unos dizajna grafikim putemSlika:

Verifikacija realizovanog sistema simulacijomSlika:

Zakljuak:_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

LABORATORIJSKA VJEBA 4.

Realizacija 4-bitnog pomjerakog registra

Projektovanje pomjerakog registra Unos dizajna grafikim putem Verifikacija realizovanog registra simulacijom Formiranje simbola pomjerakog registra Zakljuak

Ime i prezime:___________________ Datum: __________________Broj indeksa:__________ Pregledao:________________Projektovanje pomjerakog registraRjeenje (kratak opis registra):________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Unos dizajna grafikim putemSlika:

Verifikacija realizovanog registra simulacijomSlika:

Formiranje simbola pomjerakog registraSlika:

Zakljuak:_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

LABORATORIJSKA VJEBA 5.

Realizacija generatora pseudosluanih bitova sa 4-bitnim pomjerakim registrom

Projektovanje generatora pseudosluajnih brojeva Unos dizajna grafikim putem Verifikacija realizovanog generatora simulacijom Zakljuak

Ime i prezime:___________________ Datum: __________________Broj indeksa:__________ Pregledao:________________Projektovanje generatora pseudosluajnih brojevaRjeenje (kratak opis generatora):________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Unos dizajna grafikim putemSlika:

Verifikacija realizovanog generatora simulacijomSlika:

Zakljuak:_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

LABORATORIJSKA VJEBA 6.

Realizacija asihronog brojaa Projektovanje asihronog projaa Unos dizajna grafikim putem Verifikacija realizovanog brojaa simulacijom Zakljuak

Ime i prezime:___________________ Datum: __________________Broj indeksa:__________ Pregledao:________________Projektovanje asihronog brojaa

Potrebno je realizovati asihroni broja modula 9 upotrebom T flipflopova, ivino okidanih na negativnu ivicu. Na raspolaganju su NE i NI logika kola.

Rjeenje:________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Unos dizajna grafikim putemSlika:

Verifikacija realizovanog brojaa simulacijomSlika:

Zakljuak:_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

LABORATORIJSKA VJEBA 7.

Realizacija sihronog brojaa Projektovanje sihronog projaa Unos dizajna grafikim putem Verifikacija realizovanog brojaa simulacijom Zakljuak

Ime i prezime:___________________ Datum: __________________Broj indeksa:__________ Pregledao:________________Projektovanje sihronog brojaa Nacrtati strukturnu emu dekrementirajueg sinhronog brojaa po modulu 12 sa upravljakim signalom Co koji se preko I kola dovodi zajedno sa signalom takta. U sluaju da doe u zabranjeno stanje broja se vraa u poetno stanje. Na raspolaganju su T flip flopovi i NI logika kola.Rjeenje:________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Unos dizajna grafikim putemSlika:

Verifikacija realizovanog brojaa simulacijomSlika:

Zakljuak:_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________