334
Vivado Design Suite プロパティ リファレンス ガイド この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。 UG912 (v2017.4) 2017 年 12 月 20 日

プロパティ リファレンス ガイド - Xilinxjapan.xilinx.com/support/documentation/sw_manuals_j/...Vivado Design Suite プロパティ リファレンス ガイド UG912 (v2017.3)

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

  • Vivado Design Suite プロパティ リファレンス ガイド

    UG912 (v2017.3) 2017 年 10 月 4 日

    この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

    UG912 (v2017.4) 2017 年 12 月 20 日

  • Vivado プロパティ リファレンス 2UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

    改訂履歴

    次の表に、 この文書の改訂履歴を示します。

    日付 バージョ ン 改訂内容

    2017 年 10 月 4 日 2017.3 「CLOCK_BUFFER_TYPE」 で適用可能なオブジェク トがポートおよびネッ トの両方であるこ とを修正。

    「CLOCK_LOW_FANOUT」 を追加。

    「DIFF_TERM_ADV」 をサポートする IOSTANDARD のリ ス トから LVPECL および SUB_LVDS_25 を削除。

    「IOBDELAY」 で適用可能なオブジェク トから入力フ リ ップフロ ップ (IFD) を削除。

    Disabled 値を 「HLUTNM」 および 「LUTNM」 に追加。

    全体的なマイナー アップデート。

    2017 年 4 月 5 日 2017.1 第 3 章の 「CASCADE_HEIGHT」 を UltraScale アーキテクチャのみに適用するよ うに修正。

    「CLOCK_BUFFER_TYPE」 をポートではなくネッ トのみに適用するよ うに修正。

    「CLOCK_DEDICATED_ROUTE」 のプロパティ値を正しい値に修正。

    「CLOCK_DELAY_GROUP」 の割り当てをグローバル ク ロ ッ ク バッファーの入力から出力に変更。

    「MARK_DEBUG」 をアップデート。

    「MAX_FANOUT」 を若干変更。

    「RAM_DECOMP」 を追加。

    「RAM_STYLE」 を追加。

    「REF_NAME」 で ORIG_REF_NAME を追加。

    USE_DSP48 を 「USE_DSP」 に追加。

    UG912 (v2017.4) 2017 年 12 月 20 日

    2017/12/20: Vivado® Design Suite 2017.4 リリース。内容の変更なし。

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=2

  • 目次

    改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

    第 1 章: Vivado Design Suite のファースト クラス オブジェク ト概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7この資料から例をコピーする場合 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8ネッ ト リ ス トおよびデバイス オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9ブロ ッ ク デザイン オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12ハードウェア マネージャー オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

    第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)BD_ADDR_SEG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16BD_ADDR_SPACE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19BD_CELL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21BD_INTF_NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23BD_INTF_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25BD_INTF_PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27BD_NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29BD_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31BD_PORT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33BEL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35BEL_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41CLOCK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45CLOCK_REGION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48DIAGRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50HW_AXI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51HW_BITSTREAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53HW_CFGMEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55HW_DEVICE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57HW_ILA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60HW_ILA_DATA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63HW_PROBE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64HW_SERVER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66HW_SIO_GT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67HW_SIO_GTGROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77HW_SIO_IBERT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78HW_SIO_PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80HW_SIO_RX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82HW_SIO_TX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88HW_SYSMON . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

    Vivado プロパティ リファレンス 3UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=3

  • HW_TARGET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96HW_VIO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98IO_BANK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100IO_STANDARD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104NODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108PACKAGE_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112PIP または SITE_PIP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115PKGPIN_BYTEGROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118PKGPIN_NIBBLE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122SITE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125SLR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129TILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131TIMING_PATH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135WIRE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

    第 3 章: 主なプロパティの説明プロパティ情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140ASYNC_REG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141BEL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145BLACK_BOX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149CASCADE_HEIGHT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150CFGBVS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151CLOCK_BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153CLOCK_DEDICATED_ROUTE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155CLOCK_DELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158CLOCK_LOW_FANOUT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160CLOCK_REGION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162CLOCK_ROOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164CONFIG_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166CONFIG_VOLTAGE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168CONTAIN_ROUTING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170DCI_CASCADE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172DELAY_BYPASS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174DIFF_TERM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175DIFF_TERM_ADV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178DIRECT_ENABLE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180DIRECT_RESET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182DONT_TOUCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184DRIVE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187EDIF_EXTRA_SEARCH_PATHS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189EQUALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190EXCLUDE_PLACEMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192FSM_ENCODING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193FSM_SAFE_STATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195GATED_CLOCK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197GENERATE_SYNTH_CHECKPOINT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199

    Vivado プロパティ リファレンス 4UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=4

  • H_SET および HU_SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201HIODELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205HLUTNM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208IBUF_LOW_PWR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212IN_TERM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214INTERNAL_VREF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217IO_BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219IOB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221IOB_TRI_REG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223IOBDELAY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224IODELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226IOSTANDARD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229IP_REPO_PATHS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232IS_ENABLED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234KEEP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236KEEP_COMPATIBLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239KEEP_HIERARCHY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241KEEPER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244LOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246LOCK_PINS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248LUTNM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252LVDS_PRE_EMPHASIS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256MARK_DEBUG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258MAX_FANOUT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261ODT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263OFFSET_CNTRL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265PACKAGE_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267PATH_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269PBLOCK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271POST_CRC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273POST_CRC_ACTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275POST_CRC_FREQ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277POST_CRC_INIT_FLAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279POST_CRC_SOURCE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281PRE_EMPHASIS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283PROCESSING_ORDER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285PROHIBIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287PULLDOWN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288PULLTYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290PULLUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292RAM_DECOMP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294RAM_STYLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296REF_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298REF_PIN_NAME. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299RLOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300RLOCS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304RLOC_ORIGIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306ROUTE_STATUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309RPM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311RPM_GRID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312

    Vivado プロパティ リファレンス 5UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=5

  • SEVERITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314SLEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316U_SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319UNAVAILABLE_DURING_CALIBRATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323USE_DSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325USED_IN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327USER_CLOCK_ROOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 329VCCAUX_IO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331

    付録 A: その他のリソースザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335お読みください: 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335

    Vivado プロパティ リファレンス 6UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=6

  • 第 1 章

    Vivado Design Suite のフ ァース ト クラス オブジェ ク ト

    概要

    この文書では、 ファース ト ク ラス オブジェク ト 、 ザイ リ ンクス Vivado® Design Suite で使用可能なオブジェク トのプロパティについて説明します。 含まれる内容は次のとおりです。

    • 第 1 章 「Vivado Design Suite のファース ト ク ラス オブジェク ト 」 : FPGA デザイン データベースをモデル化するため Vivado Design Suite で使用されるさまざまなデザインおよびデバイスのオブジェク トについて説明します。カテゴ リ別にオブジェク ト を示し、 次の章のオブジェク トの説明へのリ ンクを示します。

    • 第 2 章 「ファース ト ク ラス オブジェク トの リ ス ト (アルファベッ ト順)」 : Vivado Design Suite のファース ト ク ラス オブジェク ト をアルファベッ ト順にリ ス ト します。 オブジェク トの定義、 関連オブジェク トの リ ス ト 、 各オブジェク トに関連付けられているプロパティの リ ス ト を含みます。

    • 第 3 章 「主なプロパティの説明」 : Vivado Design Suite プロパティの説明、 サポート されているアーキテクチャ、適用可能エレ メン ト、 値、 構文例 (Verilog、 VHDL、 XDC)、 影響のあるデザイン フロー ステップなどについて項目別に説明します。

    • 付録 A 「その他のリ ソース」 : https://japan.xilinx.com/support のザイ リ ンクス サポート ウェブサイ トから入手可能な リ ソースおよび資料を リ ス ト します。

    Vivado プロパティ リファレンス 7UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=7

  • 第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

    この資料から例をコピーする場合

    注意: この資料からコードに構文またはコード例をコピーする前に、 このセクシ ョ ンを注意してお読みください。

    この資料には、 構文およびコード例が多く含まれ、 コードにプロパティを挿入できるよ うになっています。 これら

    のコピーを PDF から直接コードにコピーする場合、 次のよ うな問題があ り ます。

    • PDF から Vivado の Tcl コンソール、 Tcl スク リプ ト、 XDC ファ イルへコード例をコピーして貼り付ける場合、ダッシュ (-) がエヌダッシュまたはエムダッシュに置き換えられる可能性があ り ます。

    • PDF 資料の改行マークが例に挿入されてしまい、 Tcl スク リプ トや XDC ファ イルでエラーを引き起こします。

    • 次のページにまたがるよ うな例をコピーする と、 PDF のヘッダーおよびフッター情報も一緒にコピーされてしまい、 Tcl スク リプ トや XDC ファ イルでエラーが発生します。

    これらの問題を回避するには、 ASCII テキス ト エディ ターでコード例にある不必要なマーカーや情報を削除してから、 コード、 Vivado Design Suite の Tcl シェル、 または Tcl コンソールに貼り付けるよ うにしてください。

    Vivado プロパティ リファレンス 8UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=8

  • 第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

    ネッ ト リス トおよびデバイス オブジェク トVivado Design Suite では、 イン メモ リ デザイン データベースで数多くのファース ト ク ラス オブジェク トがサポートされています。 このオブジェク ト とは、 論理デザインのセル、 ネッ ト、 ポートのほか、 ターゲッ ト ザイ リ ンクス デバイスのデバイス リ ソース、 プラ ッ ト フォーム ボード、 Vivado Design Suite 特有の機能で使用されるオブジェク ト (IP インテグレーターで使用されるブロ ッ ク デザイン オブジェク トや Vivado ハードウェア マネージャーで使用されるハード ウェア オブジェク ト など) のこ とです。 論理デザインのネッ ト リ ス ト オブジェク トは、 Vivado Design Suite でターゲッ ト デバイスまたはボードのデバイス オブジェク トにマップされます。 9 ページの図 1-1 は一部の Vivado ファース ト ク ラス オブジェク トの関連性を示したものです。 この図は説明用のものであ り、 Vivado ファース ト ク ラス オブジェク トすべてを網羅したものではなく、 またその関連性をすべて説明しているわけではあ り ません。

    図 1-1 の上部に表示されているネッ ト リ ス ト オブジェク トは、 FPGA にプログラムするための論理デザインの一部です。 図の下半分の青色で表示されているデバイス オブジェク トは、 実際の物理的な FPGA デバイスの一部で、 クロ ッ ク領域、 タイル、 サイ ト、 CLB などのエリ ア リ ソースが含まれます。 また、 デバイス オブジェク トには、 緑色

    X-Ref Target - Figure 1-1

    図 1-1: ネッ ト リス トおよびデバイス オブジェク ト

    Vivado プロパティ リファレンス 9UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=9

  • 第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

    で表示されているパッケージ ピンおよび I/O バンク、 紫色で表示されている ノード、 ワイヤ、 PIP などの配線リ ソースも含まれています。

    Vivado Design Suite には、 ネッ ト リ ス ト デザインとま とめられてタイ ミ ング レポート を作成するタイ ミ ング オブジェク ト など、 ファース ト ク ラス オブジェク トに関するカテゴ リがほかにもあ り ます。 ネッ ト リ ス トおよびデバイス オブジェク トに関連付けられているタイ ミ ング オブジェク トによ り、 インプリ メン ト されたデザインのタイ ミ ング解析を完全に実行できます。 タイ ミ ング オブジェク トには、 ク ロ ッ ク、 タイ ミ ング パス、 遅延オブジェク トがあり ます。

    オブジェク ト間の関連性は、 オブジェク ト ど う しを結ぶ矢印で示されています。

    • 矢印が両方のオブジェク ト を指している場合は、 クエ リ をどちらの方向からも指定できる関係であるこ とを示しています。 たとえば、 特定ネッ トに接続されているセル (get_cells -of_objects [get_nets]) をクエリ処理したり、 特定セルに接続されているネッ ト (get_nets -of_objects [get_cells]) をクエ リ処理できます。

    • 矢印が一方のみを指している場合は、 その矢印の方向にのみクエリ を指定できる関係であるこ とを示しています。 たとえば、 図 1-1 の例を使用して説明する と、 特定クロ ッ ク領域にある基本エレ メン トは取得できますが (get_bels -of_objects [get_clock_regions])、 特定の基本エレ メン トに関連付けられたクロ ッ ク領域を取得するこ とはできません。

    ファース ト ク ラス オブジェク トの説明、 ほかのオブジェク ト との関係、 オブジェク トで定義されているプロパティについては、 後で説明します。

    ネッ ト リス ト オブジェク ト41 ページの 「CELL」

    45 ページの 「CLOCK」

    103 ページの 「NET」

    111 ページの 「PIN」

    121 ページの 「PORT」

    134 ページの 「TIMING_PATH」

    デバイス リソース オブジェク ト35 ページの 「BEL」

    39 ページの 「BEL_PIN」

    48 ページの 「CLOCK_REGION」

    99 ページの 「IO_BANK」

    101 ページの 「IO_STANDARD」

    107 ページの 「NODE」

    109 ページの 「PACKAGE_PIN」

    114 ページの 「PIP または SITE_PIP」

    Vivado プロパティ リファレンス 10UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=10

  • 第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

    117 ページの 「PKGPIN_BYTEGROUP」

    119 ページの 「PKGPIN_NIBBLE」

    124 ページの 「SITE」

    128 ページの 「SLR」

    130 ページの 「TILE」

    137 ページの 「WIRE」

    Vivado プロパティ リファレンス 11UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=11

  • 第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

    ブロック デザイン オブジェク トブロ ッ ク デザインは、 インターコネク ト された IP コアで構成される複雑なサブシステム デザインで、 スタンドアロン デザインと して使用できるほか、 その他のデザインに統合するこ と もできます。 ブロ ッ ク デザインまたはダイアグラムは、 Vivado Design Suite の IP インテグレーターを使用して作成できます。 これらは、 Vivado Design Suite IDE の IP インテグレーターのキャンバス、 または Tcl コマンドを使用してインタラ クティブに作成できます。

    ブロ ッ ク デザイン ダイアグラム オブジェク トは、 前に説明したネッ ト リ ス ト オブジェク トに構造的にかなり類似しています。 図 1-2 は、 ブロ ッ ク デザインまたはダイアグラムを構成する異なるデザイン オブジェク ト間の関係を示しています。

    次の図に示すよ うに、 ブロ ッ ク ダイアグラム オブジェク トには次が含まれます。

    50 ページの 「DIAGRAM」

    19 ページの 「BD_ADDR_SPACE」

    16 ページの 「BD_ADDR_SEG」

    21 ページの 「BD_CELL」

    X-Ref Target - Figure 1-2

    図 1-2: ブロック デザイン オブジェク ト

    bd_cell

    bd_intf_pin

    bd_intf_port

    bd_intf_net

    bd_port

    bd_pin bd_net

    diagram

    bd_addr_space

    bd_addr_seg

    Vivado プロパティ リファレンス 12UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=12

  • 第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

    23 ページの 「BD_INTF_NET」

    25 ページの 「BD_INTF_PIN」

    27 ページの 「BD_INTF_PORT」

    29 ページの 「BD_NET」

    31 ページの 「BD_PIN」

    33 ページの 「BD_PORT」

    Vivado プロパティ リファレンス 13UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=13

  • 第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

    ハードウェア マネージャー オブジェク トハードウェア マネージャーは Vivado Design Suite の機能で、 デバイス プログラマまたはデバッグ ボードへ接続したり、 プログラムされたハード ウェア デバイスを実行したりできます。 ハード ウェア マネージャーを使用する と、 デバイス上のデバッグ ロジッ クを実行して、 現在の値を設定または取り出す信号にアクセスできるよ うにな り ます。図 1-3 は、 Vivado ハードウェア マネージャーのデバッグ コアとオブジェク トの多く を示しています。

    デバッグ コアは、 ザイ リ ンクス IP カタログを使用して RTL デザインにインスタンシエートできます。 ILA または VIO デバッグ コアの場合は、 ネッ ト リ ス ト ベースのデバッグ フローを使用して合成済みネッ ト リ ス トに挿入できます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 23] を参照して ください。

    上記の図に示すよ うに、 Vivado ハードウェア マネージャー オブジェク トには次が含まれます。

    51 ページの 「HW_AXI」

    53 ページの 「HW_BITSTREAM」

    55 ページの 「HW_CFGMEM」

    57 ページの 「HW_DEVICE」

    60 ページの 「HW_ILA」

    X-Ref Target - Figure 1-3

    図 1-3: ハードウェア マネージャー オブジェク ト

    hw_server

    hw_vio

    hw_target hw_device

    hw_ilahw_sysmon hw_sio_iberthw_axi

    hw_probe

    hw_ila_data

    hw_bitstream

    hw_cfgmem

    hw_axi_txn

    hw_sio_gtgroup

    hw_sio_gt

    hw_sio_tx hw_sio_rx

    hw_sio_pll

    hw_sio_scan

    hw_sio_sweep

    hw_sio_link

    hw_sio_linkgroup

    hw_sysmon_reg

    Vivado プロパティ リファレンス 14UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=14

  • 第 1 章: Vivado Design Suite のファースト クラス オブジェク ト

    63 ページの 「HW_ILA_DATA」

    64 ページの 「HW_PROBE」

    66 ページの 「HW_SERVER」

    67 ページの 「HW_SIO_GT」

    77 ページの 「HW_SIO_GTGROUP」

    78 ページの 「HW_SIO_IBERT」

    80 ページの 「HW_SIO_PLL」

    82 ページの 「HW_SIO_RX」

    88 ページの 「HW_SIO_TX」

    91 ページの 「HW_SYSMON」

    95 ページの 「HW_TARGET」

    97 ページの 「HW_VIO」

    Vivado プロパティ リファレンス 15UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=15

  • 第 2 章

    ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    BD_ADDR_SEG

    説明

    アドレス セグメン ト (bd_addr_seg オブジェク ト ) は、 メモ リ範囲の位置およびサイズを示すもので、 範範囲 (サイズ) と開始オフセッ ト (オプシ ョ ン) が含まれます。

    さまざまなメモ リ マップされたマスターおよびスレーブ インターフェイスの場合、 IP インテグレーターで業界標準の IP-XACT データ フォーマッ トに従って、 終点のマスターおよびスレーブのメモ リ要件および機能を取り込みます。

    アドレス指定可能なスレーブ インターフェイスでは、 メモ リ マップと呼ばれるアドレス セグメン ト コンテナーが参照されます。 これらのメモ リ マップの名前は通常、 S_AXI のなど、 スレーブ インターフェイス ピンに従って付けられます (必須ではあ り ません)。

    メモ リ マップには、 スレーブ アドレス セグメン トが含まれます。 これらのアドレス セグメン トは、 メモ リ マップを参照するスレーブ インターフェイスのアドレス デコード ウ ィンド ウに該当します。 メ スレーブ セグメン ト を メモ リ マップで指定する場合は、 範囲を含める必要があるほか、 オプシ ョ ンでハード オフセッ ト を設定して スレーブがそのオフセッ ト またはアパーチャにあるマスター アドレス空間にのみマップ可能なこ とを示すこ とができます。

    たとえば、 通常 AXI4-Lite スレーブ インターフェイスでは、 メモ リ範囲を示す 1 つのアドレス セグメン トのみを使用してアドレス セグメン トが参照されますが、 ブリ ッジのよ うに、 スレーブの中には複数のアドレス セグメン トが含まれたり、 各アドレス デコード ウ ィンド ウのアドレス範囲が含まれるものがあ り ます。

    スレーブ アドレス セグメン トは、 assign_bd_address または create_bd_addr_seg コマンドを使用してマスター アドレス空間に割り当てます。

    マスター インターフェイスのアドレスを指定する と、 アドレス空間 (bd_addr_space) と呼ばれるアドレス セグメン ト コンテナーが参照されます。 このアドレス空間はセルのインターフェイス ピン (bd_intf_pin) で参照されます。 外部 AXI マスターの場合、 アドレス空間は外部インターフェイス ポート (bd_intf_port) で参照されます。 さまざまなプロト コルの複数のインターフェイスは、 同じマスター アドレス空間を参照します。 たとえば、 MicroBlaze プロセッサのデータ アドレス空間は、 DLMB、 M_AXI_DP、 および M_AXI_DC インターフェイスで参照されます。

    アドレス空間には、 マスター アドレス セグメン トが含まれます。 これらのマスター アドレス セグメン トはマスター アドレス空間に割り当てられたスレーブ アドレス セグメン ト と、 マスターがアクセスするオフセッ ト と範囲を参照します。

    Vivado プロパティ リファレンス 16UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=16

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    関連オブジェク ト

    bd_addr_seg オブジェク トは、 マスター アドレス セグメン ト と スレーブ アドレス セグメン トの両方を参照します。bd_addr_space オブジェク トは、 メモ リ マップとマスター アドレス空間の両方を参照します。

    関連するアドレス空間とアドレス セグメン ト間は、 すべて取得できます。 次に例を示します。

    # Get the slave address segments of a memory map space.get_bd_addr_segs -of_objects [get_bd_addr_spaces /mdm_1/S_AXI]

    # Get the master address segments of amaster address space.get_bd_addr_segs -of_objects [get_bd_addr_spaces /Microblaze_0/Data]

    # Get the slave adress segment from its referenced master address segment, or the # master address segment from its referencing slave address segment. get_bd_addr_segs -of_objects [get_bd_addr_segs _segment]

    # Get the addr_segs referencing/referenced by interfaces.# Get all Master or slave interfaces.set vMB [get_bd_intf_pins -of_objects [get_bd_cells *] -filter {Mode == "Master"}]set vSB [get_bd_intf_pins -of_objects [get_bd_cells *] -filter {Mode == "Slave"}]

    # Get master segmentsset vMS [get_bd_addr_segs -of_objects $vMB]

    # Get slave segmentsset vSS [get_bd_addr_segs -of_objects $vSB]

    X-Ref Target - Figure 2-1

    図 2-1: ブロック デザインのアドレス空間およびアドレス セグメン ト

    bd_cell

    bd_intf_pinbd_intf_netbd_net

    bd_addr_space

    bd_addr_seg

    Vivado プロパティ リファレンス 17UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=17

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    プロパティ

    次に、 ブロ ッ ク デザインのアドレス セグメン ト オブジェク ト (bd_addr_seg) のプロパティ と値の例を示します。

    Property Type Read-only Visible ValueACCESS string false true read-writeCLASS string true true bd_addr_segEXEIMG string false true MEMTYPE string false true dataNAME string false true SEG_axi_gpio_0_RegOFFSET string false true 0x40000000PATH string true true /microblaze_0/Data/SEG_axi_gpio_0_RegRANGE string false true 0x00010000SECURE bool false true 0USAGE string false true register

    bd_addr_seg オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

    report_property -all [lindex [get_bd_addr_segs ] 0]

    Vivado プロパティ リファレンス 18UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=18

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    BD_ADDR_SPACE

    説明

    アドレス空間 (bd_addr_space オブジェク ト ) は、 マスター インターフェイス、 またはブロッ ク デザイン外部の AXI マスターへ接続された AXI インターフェイス ポートの論理的にアドレス指定可能なメモリ空間に割り当てられます。

    Vivado Design Suite の IP インテグレーターでは、 業界標準の IP-XACT データ フォーマッ トに従って、 メモ リ要件および機能がキャプチャされます。 ブロ ッ クの中には複数のマスター インターフェイスに関連するアドレス空間が 1 つ含まれるものがあ り ます。 たとえば、 システム バスおよび高速メモ リ バスの付いたプロセッサなどです。 その他のコンポーネン トには、 複数のマスター インターフェイス (命令用 1 つ、 データ用 1 つ) に関連するアドレス空間が複数含まれるものがあ り ます。

    マスター インターフェイスでは、 アドレス空間 (bd_addr_space オブジェク ト ) が参照されます。 AXI スレーブがマスター アドレス空間にマップされる場合は、マスター アドレス セグメン ト (bd_addr_seg) オブジェク トが作成され、 スレーブのアドレス セグメン トがマスターへマップされます。

    関連オブジェク ト

    マスター アドレス セグメン ト (bd_addr_seg) は、 ブロッ ク デザインで見つかった AXI マスター インターフェイスのアドレス空間に関連付けられており、 アドレス空間はセルのインターフェイス ピン (bd_intf_pin) で参照されます。外部 AXI マスターは、 インターフェイス ポート (bd_intf_port) に関連しています。

    これらの関連オブジェク トの bd_addr_space オブジェク トは、 次を使用する と取得できます。

    get_bd_addr_spaces -of_objects [get_bd_cells /microblaze_0]get_bd_addr_segs -of_objects [get_bd_addr_spaces -of_objects [get_bd_cells /microblaze_0]]

    X-Ref Target - Figure 2-2

    図 2-2: ブロック デザインのアドレス空間およびアドレス セグメン ト

    bd_cell

    bd_intf_pinbd_intf_netbd_net

    bd_addr_space

    bd_addr_seg

    Vivado プロパティ リファレンス 19UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=19

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    次を使用する と、 ブロ ッ ク デザインのアドレス空間に関連するオブジェク ト を取得するこ と もできます。

    get_bd_intf_pins -of_objects [get_bd_addr_spaces *SLMB]

    プロパティ

    次に、 ブロ ッ ク デザインのアドレス空間オブジェク ト (bd_addr_space) のプロパティ と値の例を示します。

    Property Type Read-only Visible ValueCLASS string true true bd_addr_spaceNAME string false true DataOFFSET string false true 0x00000000PATH string true true /microblaze_0/DataRANGE string false true 0x100000000TYPE string false true

    bd_addr_space オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

    report_property -all [lindex [get_bd_addr_spaces ] 0]

    Vivado プロパティ リファレンス 20UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=20

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    BD_CELL

    説明

    ブロ ッ ク デザイン セル (bd_cell オブジェク ト ) は、 IP インテグレーターの IP コア オブジェク トのインスタンス、 または階層ブロ ッ ク デザイン セルです。 リーフ セルは、 IP カタログのコアです。 階層セルはモジュールまたはブロ ックで、 1 つ以上のレベルのロジッ クを含み、 リーフ セルも含みます。

    bd_cell オブジェク トの TYPE プロパティでは、ブロ ッ ク デザイン セルが IP カタログのリーフ セルと して (TYPE == IP)、 または追加ロジッ クを含む階層モジュールと して (TYPE == HIER) 識別されます。

    関連オブジェク ト

    図 2-3 に示すよ うに、ブロ ッ ク デザイン セル (bd_cell) はブロ ッ ク デザインまたはダイアグラム オブジェク トに含まれます。 セルには、 ブロ ッ ク デザイン ピン (bd_pin) と インターフェイス ピン (bd_intf_pin) が含まれます。 階層的にはブロ ッ ク デザイン ポート (bd_port) およびインターフェイス ポート (bd_intf_port) を含めるこ とができます。 これらは、 ネッ ト (bd_net) およびインターフェイス ネッ ト (bd_intf_net) によ り接続されます。 メモ リ関連のブロ ッ ク デザイン セルには、 アドレス空間 (bd_addr_space) とアドレス セグメン ト (bd_addr_seg) も含めるこ とができます。 たとえば、 次を使用する と、 これらのオブジェク トに関連するブロッ ク デザイン セルを取得できます。

    get_bd_cells -of_objects [get_bd_addr_spaces]

    次を使用する と、 ブロ ッ ク デザイン セルに関連するオブジェク ト を取得できます。

    get_bd_addr_spaces -of_objects [get_bd_cells]

    また、 次を使用する と、 別のブロ ッ ク デザイン セルの階層的オブジェク トであるブロッ ク デザイン セルを取得するこ と もできます。

    get_bd_cells -of_objects [get_bd_cells microblaze_0_axi_periph]

    X-Ref Target - Figure 2-3

    図 2-3: ブロック デザイン セル

    bd_cell

    bd_intf_pinbd_intf_netbd_pin bd_net

    diagram

    bd_addr_space

    Vivado プロパティ リファレンス 21UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=21

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    プロパティ

    ブロ ッ ク デザイン セル オブジェク トの特定のプロパティは、 そのオブジェク トの示すネッ トのタイプによって異なり ます。次の表には、 Vivado Design Suite で bd_cell オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト しています。

    Property Type Read-only Visible ValueCLASS string true true bd_cellCONFIG.C_ALL_INPUTS string false true 0CONFIG.C_ALL_INPUTS_2 string false true 0CONFIG.C_ALL_OUTPUTS string false true 1CONFIG.C_ALL_OUTPUTS_2 string false true 0CONFIG.C_DOUT_DEFAULT string false true 0x00000000CONFIG.C_DOUT_DEFAULT_2 string false true 0x00000000CONFIG.C_GPIO2_WIDTH string false true 32CONFIG.C_GPIO_WIDTH string false true 8CONFIG.C_INTERRUPT_PRESENT string false true 0CONFIG.C_IS_DUAL string false true 0CONFIG.C_TRI_DEFAULT string false true 0xFFFFFFFFCONFIG.C_TRI_DEFAULT_2 string false true 0xFFFFFFFFCONFIG.Component_Name string false true base_mb_axi_gpio_0_0CONFIG.GPIO2_BOARD_INTERFACE string false true CustomCONFIG.GPIO_BOARD_INTERFACE string false true led_8bitsCONFIG.USE_BOARD_FLOW string false true trueLOCATION string false true 5 1710 210NAME string false true axi_gpio_0PATH string true true /axi_gpio_0SCREENSIZE string false true 180 100SDX_KERNEL string true false falseSDX_KERNEL_INST string true false SDX_KERNEL_TYPE string true false SELECTED_SIM_MODEL string false true rtlTYPE string true true ipVLNV string true true xilinx.com:ip:axi_gpio:2.0

    bd_cell オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

    report_property -all [lindex [get_bd_cells] 0]

    Vivado プロパティ リファレンス 22UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=22

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    BD_INTF_NET

    説明

    インターフェイス とは共通のファンクシ ョ ンを共有する信号をグループ化したもので、 個別信号と複数バスの両方

    が含まれます。 たとえば、 AXI4-Lite マスターには多くの信号と複数のバスが含まれ、 これらはすべて接続に必要です。 これらの信号およびバスをインターフェイスにグループ化する と、 Vivado IP インテグレーターで共通のインターフェイスが識別できるよ うになり、 自動的に 1 つの手順で自動的に複数の接続が実行されます。

    インターフェイスは、 IP-XACT 規格を使用して定義されます。 ザイ リ ンクスから提供されている標準インターフェイスは、 Vivado ツールのインス トール ディ レク ト リ data/ip/interfaces にあ り ます。 インターフェイスネッ ト、 ピン、ポートの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 27] を参照してください。

    ブロ ッ ク デザインのインターフェイス ネッ ト (bd_intf_net オブジェク ト ) は、ブロ ッ ク デザイン セルのインターフェイス ピンをほかのインターフェイス ピンまたは外部インターフェイス ポートに接続します。 bd_intf_net オブジェクトは、 複数レベルのデザイン階層を介してブロッ ク デザイン セル間を接続します。 すべてのインターフェイス ネットには、 デザインで識別できるよ う な名前が付きます。 これらのネッ トへ接続されるすべてのブロッ ク デザイン セル、 インターフェイス ピン、 およびインターフェイス ポートは電気的に接続されています。

    関連オブジェク ト X-Ref Target - Figure 2-4

    図 2-4: ブロック デザイン インターフェイス ネッ ト

    bd_cell

    bd_intf_pin

    bd_intf_port

    bd_intf_net

    bd_port

    bd_pin bd_net

    diagram

    bd_addr_space

    Vivado プロパティ リファレンス 23UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=23

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    23 ページの図 2-4 に示すよ うに、ブロ ッ ク デザイン インターフェイス ネッ ト (bd_intf_net オブジェク ト ) はブロ ッ ク デザインまたはダイアグラムに含まれており、 インターフェイス ポート (bd_intf_port) に接続され、 インターフェイス ピン (bd_intf_pin) を介してダイアグラムのブロ ッ ク デザイン セル (bd_cell) に接続されます。 ダイアグラムの bd_intf_nets、 bd_cell、 bd_intf_pin、 および bd_intf_port オブジェク トは次のよ うに取得できます。

    get_bd_intf_nets -of_objects [get_bd_ports]

    また、 特定の bd_intf_net に接続されるブロ ッ ク デザイン セル (bd_cell)、 bd_intf_pins、 または bd_intf_port オブジェクトは次のよ うに取得できます。

    get_bd_cells -of_objects [get_bd_intf_nets /INTERRUPT_1_1]

    プロパティ

    bd_intf_net オブジェク トのプロパティには、 次が含まれます。

    Property Type Read-only Visible ValueCLASS string true true bd_intf_netNAME string false true microblaze_0_axi_periph_to_s00_couplersPATH string true true /microblaze_0_axi_periph/microblaze_0_axi_periph_to_s00_couplers

    bd_intf_net オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

    report_property -all [lindex [get_bd_intf_nets] 0]

    Vivado プロパティ リファレンス 24UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=24

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    BD_INTF_PIN

    説明

    インターフェイス とは共通のファンクシ ョ ンを共有する信号をグループ化したもので、 個別信号と複数バスの両方

    が含まれす。 たとえば、 AXI4-Lite マスターには多くの信号と複数のバスが含まれ、 これらはすべて接続に必要です。 これらの信号およびバスをインターフェイスにグループ化する と、 Vivado IP インテグレーターで共通のインターフェイスが識別できるよ うになり、 自動的に 1 つの手順で自動的に複数の接続が実行されます。

    インターフェイスは、 IP-XACT 規格を使用して定義されます。 ザイ リ ンクスから提供されている標準インターフェイスは、 Vivado ツールのインス トール ディ レク ト リ data/ip/interfaces にあ り ます。 インターフェイスネッ ト、 ピン、ポートの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 27] を参照してください。

    ブロ ッ ク デザイン インターフェイス ピン (bd_intf_pin オブジェク ト ) は、 ブロ ッ ク デザイン セルの論理的接続ポイン トです。 インターフェイス ピンは、 セルの内部を抽象化して、 使用しやすいよ うに簡素化できます。 インターフェイス ピンは、 階層ブロ ッ ク デザイン セルまたはリーフ レベル セルに使用できます。

    関連オブジェク ト

    ブロ ッ ク デザイン インターフェイス ピンは、 ブロ ッ ク デザイン セル (bd_cell) に接続され、 ブロ ッ ク デザインまたはダイアグラムのインターフェイス ネッ ト (bd_intf_net) を使用するこ とで、 その他のインターフェイス ピン (bd_intf_pin) またはインターフェイス ポート (bd_intf_port) に接続できます。

    X-Ref Target - Figure 2-5

    図 2-5: ブロック デザイン インターフェイス ピン

    bd_cell

    bd_intf_pinbd_intf_netbd_net

    bd_addr_space

    bd_addr_seg

    Vivado プロパティ リファレンス 25UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=25

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    bd_addr_space、 bd_addr_seg、 bd_cell、 および bd_intf_net オブジェク トの bd_intf_pins は、 次のよ うに取得できます。

    get_bd_intf_pins -of_objects [get_bd_cells clk_wiz_1]

    また、 次を使用する と、 特定の bd_intf ピンの bd_addr_spaces、 bd_addr_segs, bd_cells、 および bd_intf_nets を取得するこ と もできます。

    get_bd_addr_spaces -of_objects [get_bd_intf_pins microblaze_0/*]

    プロパティ ブロ ッ ク デザイン インターフェイス ピン オブジェク トの特定のプロパティは、 そのピンのタイプによって変わるこ とがあ り ます。 次の表には、 マスター AXI インターフェイスのピン オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト しています。

    Property Type Read-only Visible ValueBRIDGES string false false CLASS string true true bd_intf_pinCONFIG.ADDR_WIDTH string true true 32CONFIG.ARUSER_WIDTH string true true 0CONFIG.AWUSER_WIDTH string true true 0CONFIG.BUSER_WIDTH string true true 0CONFIG.CLK_DOMAIN string true true /clk_wiz_0_clk_out1CONFIG.DATA_WIDTH string true true 32CONFIG.FREQ_HZ string true true 100000000CONFIG.ID_WIDTH string true true 0CONFIG.MAX_BURST_LENGTH string true true 1CONFIG.NUM_READ_OUTSTANDING string true true 1CONFIG.NUM_WRITE_OUTSTANDING string true true 1CONFIG.PHASE string true true 0.0CONFIG.PROTOCOL string true true AXI4LITECONFIG.READ_WRITE_MODE string true true READ_WRITECONFIG.RUSER_WIDTH string true true 0CONFIG.SUPPORTS_NARROW_BURST string true true 0CONFIG.WUSER_WIDTH string true true 0LOCATION string false true MODE string true true MasterNAME string false true M_AXI_DPPATH string true true /microblaze_0/M_AXI_DPTYPE string true true ipVLNV string true true xilinx.com:interface:aximm_rtl:1.0

    bd_intf_pin オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

    report_property -all [lindex [get_bd_intf_pins */*] 0]

    または、 次の Tcl スク リプ ト を使用する と、 各ブロッ ク デザイン セルの bd_intf_pin オブジェク ト それぞれのプロパティがレポート されます。

    foreach x [get_bd_intf_pins -of_objects [get_bd_cells]] {puts "Next Interface Pin starts here

    ..............................................."report_property -all $x

    }

    Vivado プロパティ リファレンス 26UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=26

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    BD_INTF_PORT

    説明 インターフェイス とは共通のファンクシ ョ ンを共有する信号をグループ化したもので、 個別信号と複数バスの両方

    が含まれす。 たとえば、 AXI4-Lite マスターには多くの信号と複数のバスが含まれ、 これらはすべて接続に必要です。 これらの信号およびバスをインターフェイスにグループ化する と、 Vivado IP インテグレーターで共通のインターフェイスが識別できるよ うになり、 自動的に 1 つの手順で自動的に複数の接続が実行されます。

    インターフェイスは、 IP-XACT 規格を使用して定義されます。 ザイ リ ンクスから提供されている標準インターフェイスは、 Vivado ツールのインス トール ディ レク ト リ data/ip/interfaces にあ り ます。 インターフェイスネッ ト、 ピン、ポートの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 27] を参照してください。

    ブロ ッ ク デザイン インターフェイス ポートは、 特別なタイプの階層ピン (ブロ ッ ク ダイアグラムの最上位のピン) です。 ブロ ッ ク デザインでは、 ポートおよびインターフェイスが FPGA デザイン全体またはシステム レベル デザイン内外のブロ ッ ク デザインまたはダイアグラムと外部接続との通信に使用される主なポートにな り ます。

    関連オブジェク ト

    ブロ ッ ク デザイン インターフェイス ポート (bd_intf_port オブジェク ト ) はブロ ッ ク デザインまたはダイアグラムに含まれており、ブロ ッ ク デザイン インターフェイス ネッ ト (bd_intf_net) によってブロ ッ ク デザイン セル (bd_cell) のピンに接続されます。 ダイアグラムの bd_ports、 またはブロ ッ ク デザイン ネッ トに接続されている bd_ports は、 次のよ うに取得できます。

    get_bd_intf_ports -of_objects [get_bd_intf_nets]

    X-Ref Target - Figure 2-6

    図 2-6: ブロック デザイン インターフェイス ポート

    bd_cell

    bd_intf_pin

    bd_intf_port

    bd_intf_net

    bd_port

    bd_pin bd_net

    diagram

    bd_addr_space

    Vivado プロパティ リファレンス 27UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=27

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    次を使用する と、 bd_intf_port に接続されるインターフェイス ネッ ト を取得するこ と もできます。

    get_bd_intf_nets -of_objects [get_bd_intf_ports CLK*]

    プロパティ ブロ ッ ク デザイン インターフェイス ポート オブジェク トの特定のプロパティは、 そのポートのタイプによって変わるこ とがあ り ます。 次の表には、 ク ロ ッ クの bd_intf_port オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト しています。

    Property Type Read-only Visible ValueCLASS string true true bd_intf_portLOCATION string false true 1950 430MODE string true true MasterNAME string false true ddr4_sdramPATH string true true /ddr4_sdramVLNV string true true xilinx.com:interface:ddr4_rtl:1.0

    bd_intf_port オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

    report_property -all [lindex [get_bd_intf_ports] 0]

    Vivado プロパティ リファレンス 28UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=28

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    BD_NET

    説明

    ブロ ッ ク デザイン ネッ ト (bd_net オブジェク ト ) は、 IP インテグレーター ブロ ッ ク デザイン セルのピンをその他のピンまたは外部ポートに接続します。 bd_net オブジェク トは、 複数レベルのデザイン階層を介して接続され、 ブロ ッ ク デザイン セルが接続されます。 すべてのネッ トには、 デザインで識別できるよ う な名前が付きます。 これらのネッ トへ接続されるすべてのブロ ッ ク デザイン セル、 ピン、 およびポートは電気的に接続されています。

    関連オブジェク ト

    ブロ ッ ク デザイン ネッ ト (bd_net オブジェク ト ) はブロ ッ ク デザインまたはダイアグラムで発生し、ポート (bd_port) に接続され、 ピン (bd_pin) を介してダイアグラムのブロ ッ ク デザイン セル (bd_cell) に接続されます。 ダイアグラムの bd_nets、 bd_cell、 bd_pin、 および bd_port オブジェク トは次のよ うに取得できます。

    get_bd_nets -of_objects [get_bd_ports]

    また、 特定の bd_net に接続される bd_cells、 bd_pins、 または bd_port オブジェク トは次のよ うに取得できます。

    get_bd_cells -of_objects [get_bd_nets clk_wiz*]

    X-Ref Target - Figure 2-7

    図 2-7: ブロック デザイン ネッ ト

    bd_cell

    bd_intf_port

    bd_intf_net

    bd_port

    bd_pin bd_net

    diagram

    bd_addr_space

    Vivado プロパティ リファレンス 29UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=29

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    プロパティ

    bd_net オブジェク トのプロパティには、 次が含まれます。

    Property Type Read-only Visible ValueCLASS string true true bd_netNAME string false true clk_wiz_1_lockedPATH string true true /clk_wiz_1_locked

    bd_net オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

    report_property -all [lindex [get_bd_nets] 0]

    Vivado プロパティ リファレンス 30UG912 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.comUG912 (v2017.4) 2017 年 12 月 20 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=Reference_Guide&docId=UG912&Title=Vivado%20Design%20Suite%20%26%2312503%3B%26%2312525%3B%26%2312497%3B%26%2312486%3B%26%2312451%3B%20%26%2312522%3B%26%2312501%3B%26%2312449%3B%26%2312524%3B%26%2312531%3B%26%2312473%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=2017.4&docPage=30

  • 第 2 章: ファースト クラス オブジェク トのリスト (アルファベッ ト順)

    BD_PIN

    説明

    ブロ ッ ク デザイン ピン (bd_pin オブジェク ト ) は、 ブロッ ク デザイン セルの論理的接続ポイン トです。 ブロ ッ ク デザイン ピンを使用する と、 セルの内部ロジッ �