36
Exhibition Guide 25 February–2 March 2007 San Jose Convention Center and San Jose Marriott San Jose, California USA

Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

Exhibition Guide

25 February–2 March 2007San Jose Convention Center and San Jose Marriott

San Jose, California USA

Page 2: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),
Page 3: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 1

Welcome tothe Exhibition!

ContentsSponsors . . . . . . . . . . . . . . 2

Exhibitor Booth Index . . . . . 4

Exhibition Floor Plan . . . . . . 5

Advertisers Index . . . . . . . . 5

Exhibitor Spotlights . . . . . . 6

General Information . . . . 7-8

Course Daily Schedule . 10-11

Exhibitor Directory . . . 12-26

Product Categories . . 28-29

Corporate Members . 30-31

Sponsored by:

SPIE is a not-for-profit international societydedicated to furthering technological innovations.

Cooperating Organization:

Promotional Partners:

Laser + Photonik

Laser Focus World

Photonics Spectra

Semiconductor International

Solid State Technology

Microlithography World, a Solid StateTechnology publication, is publishedin cooperation with SPIE and BACUS.

Plan now to be part of next year’sAdvanced Lithography Exhibition.26–27 February 2008San Jose Convention Center, San Jose, California USAFor information about exhibiting, sponsorship andadvertising opportunities at future AdvancedLithography events, contact: SPIE Sales; E-mail:[email protected]; Web: www.spie.org/exhibitions

Conferences · Courses · Exhibition

25 February–2 March 2007San Jose Convention Center and San Jose MarriottSan Jose, California USA

Exhibition Dates: 27–28 February 2007

Page 4: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

2 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Page 5: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 3

Page 6: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

4 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Exhibitor Booth List

Abeam Technologies . . . . . . . . . . #2000Aerotech, Inc. . . . . . . . . . . . . . . . #1002Air Products and Chemicals, Inc. #1102Anchor Semiconductor Inc. . . . . . #303Applied Materials . . . . . . . . . . . . . #703ASML . . . . . . . . . . . . . . . . . . . . . . #413ATMI Packaging, Inc. . . . . . . . . . . #904AZ Electronic Materials USA Corp #313Benchmark Technologies, Inc. . . . #101Braggone . . . . . . . . . . . . . . . . . . #1106Brewer Science . . . . . . . . . . . . . . . #613Brion Technologies, Inc. . . . . . . . . #423Cadence Design Systems, Inc. . . . #520Carl Zeiss SMT . . . . . . . . . . . . . . . #909CMPC Surface Finishes . . . . . . . #1119Corning Inc. . . . . . . . . . . . . . . . . . #619CUNO Inc. . . . . . . . . . . . . . . . . . . . #622CVI Laser LLC . . . . . . . . . . . . . . . . #421Cyantek Corp. . . . . . . . . . . . . . . . . #805CyberOptics Semiconductor . . . . #306Cymer, Inc. . . . . . . . . . . . . . . . . . . #903Digital Optics Corp. . . . . . . . . . . . #809DNS Electronics, LLC . . . . . . . . . . #821Donaldson Co., Inc. . . . . . . . . . . . #725Dongjin Semichem Co., Ltd. . . . . . #719Eastman Chemical Co. . . . . . . . . . #109Energetiq Technology, Inc. . . . . . #2009Entegris, Inc. . . . . . . . . . . . . . . . . . #606EV Group Inc. . . . . . . . . . . . . . . . #1107Foothill Instruments, LLC . . . . . . . #806FUJIFILM Electronic Materials

U.S.A., Inc. . . . . . . . . . . . . . . . . #513Gigaphoton Inc. . . . . . . . . . . . . . . #200Gudeng Precision Industrial Co.,

Ltd. . . . . . . . . . . . . . . . . . . . . . . #102Hakuto Co., Ltd. . . . . . . . . . . . . . . #523Halocarbon Products . . . . . . . . . #1008Hitachi High Technologies America,

Inc. . . . . . . . . . . . . . . . . . . . . . . #401Honeywell Electronic Materials . . . #509HORIBASTEC . . . . . . . . . . . . . . . . #105Inko Industrial Corp. . . . . . . . . . . #1006Intel . . . . . . . . . . . . . . . . . . . . . . . #1125International Radiation Detectors,

Inc. . . . . . . . . . . . . . . . . . . . . . . #908Invarium, Inc. . . . . . . . . . . . . . . . . #307J.A. Woollam Co. . . . . . . . . . . . . . #907JCMwave GmbH . . . . . . . . . . . . . #1004JSR Micro, Inc. . . . . . . . . . . . . . . . #713King Industries Inc. . . . . . . . . . . . . #625KLA-Tencor Corp. . . . . . . . . . . . . #1013Laser Focus World . . . . . . . . . . . #1005Lasertec U.S.A., Inc. . . . . . . . . . . . #206LINOS Photonics, Inc. . . . . . . . . . #305Media Lario Technologies . . . . . . . #624Mentor Graphics . . . . . . . . . . . . . . #213Mercury Computer Systems, Inc. . #722MetroBoost . . . . . . . . . . . . . . . . . . #208Metrosol, Inc. . . . . . . . . . . . . . . . . #308Micro Lithography Inc. . . . . . . . . . #927

MicroChem Corp. . . . . . . . . . . . . . #802Microlithography World . . . . . . . . . #724Micronic Laser Systems AB . . . . . #426Mitsui Chemicals America, Inc. . #2008Molecular Imprints, Inc. . . . . . . . . #506n&k Technology, Inc. . . . . . . . . . . #1026Nanometrics Inc. . . . . . . . . . . . . . . #113Nanonex Corp. . . . . . . . . . . . . . . . #427National Institute of Standards

& Technology . . . . . . . . . . . . . . #720New Focus, Inc. . . . . . . . . . . . . . . #618Nikon Precision Inc. . . . . . . . . . . . #601OBDUCAT AB . . . . . . . . . . . . . . . . #924Olympus Micro Imaging . . . . . . . . #209OnWafer Technologies, Inc. . . . . . #204ORC Manufacturing Co., Ltd. . . . . #620Osram Sylvania Inc. . . . . . . . . . . . #902Pall Corp. . . . . . . . . . . . . . . . . . . . #207Particle Measuring Systems . . . . . #807Photonics Spectra . . . . . . . . . . . . #408Photronics, Inc. . . . . . . . . . . . . . . . #202Pixer Technology Ltd. . . . . . . . . . #1109Pozzetta Products, Inc. . . . . . . . . . #407Queensgate Instruments Ltd. . . . #1103Rainbow Research Optics, Inc. . . #309Raith USA, Inc. . . . . . . . . . . . . . . . #507RAVE LLC . . . . . . . . . . . . . . . . . . . #220Renishaw Inc. . . . . . . . . . . . . . . . #1009Rohm and Haas Electronic

Materials . . . . . . . . . . . . . . . . . . #501RSoft Design Group, Inc. . . . . . . . #718Rudolph Technologies . . . . . . . . . #519SAES Pure Gas, Inc. . . . . . . . . . . . #222Sagantec . . . . . . . . . . . . . . . . . . . #1108SAGEM SA . . . . . . . . . . . . . . . . . . #107Semiconductor International

Magazine . . . . . . . . . . . . . . . . . #524SensArray Corp. . . . . . . . . . . . . . . #607Shin-Etsu MicroSi, Inc. . . . . . . . . #1113Sokudo USA, LLC . . . . . . . . . . . . . #821Solid State Technology . . . . . . . . . #724SPIE Industry Resources . . . . . . . #100Star Tech Instruments . . . . . . . . . #1003Steinmeyer, Inc. . . . . . . . . . . . . . #1105Sumika Electronic Materials, Inc./

Sumitomo Chemicals Co. Ltd. . #400SUSS MicroTec Inc. . . . . . . . . . . . #824Synopsys, Inc. . . . . . . . . . . . . . . . #813SynQuest Laboratories, Inc. . . . . . #406Technical Manufacturing Corp. . . #1010The Precision Alliance . . . . . . . . . . #808Timbre Technologies, Inc.

a TEL Company . . . . . . . . . . . . #201Tokyo Ohka Kogyo America, Inc. . #913Toppan . . . . . . . . . . . . . . . . . . . . . #712Transfer Devices, Inc. . . . . . . . . . . #825USHIO America, Inc. . . . . . . . . . . . #301Veeco Instruments . . . . . . . . . . . . #920Vistec Semiconductor Systems

Inc. . . . . . . . . . . . . . . . . . . . . . #1121

2000 2001 2002 2003 2004 2005 2006 2007 2

110

120Coffee Area

Entrance

MarketplaceInternetPavilion

Exhibitor Demo Area

427 526

524

423

421 520

413313

408

307 406

305

303

407 506

401

327

301 400

213

209 308

207 306

201

127 226

125 224

123 222

121 220

113

109 208

107 206

105 204

202

101 200

126

124

118

116

114

112

104

102

100

VLSI Standards, Inc. . . . . . . . . . . #1123Wacom Corp. . . . . . . . . . . . . . . . #1007XEI Scientific, Inc. . . . . . . . . . . . . #1011Yield Engineering Systems, Inc. . . #706Zygo Corp. . . . . . . . . . . . . . . . . . . #803

Page 7: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 5

Exhibition Floor

1010

1008

1006

1004

1002

07 2008 2009

1011

1009

1007

1005

1003

Seating Area

Lunches and Seating Area

Registration

Coffee Area

Entrance

Entrance

Entrance to Registration

Entrance to Registration

Exhibitor Services

Exhibitor Louge(salesreps only)

1127

1125

1123

1121

1119

1108

1106

1102

1109

1107

1105

1103

11131013913813713

827 926

825 924

821 920

809 908

807

805 904

803 902

719619 718

613 712

607 706

808

806

703 802

513

509

507 606

501 601

527 626

624

523 622

620

519 618

627 726

625 724

722

720

727 826

725 824

927 1026 1027 1126

909

907

903

Poster Session

Advertisers IndexMentor Graphics . . . . . . inside front coverRSoft . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3Carl Zeiss . . . . . . . . . . . . . . . . . . . . . . . 12Nano-Master, Inc. . . . . . . . . . . . . . . . . . 27Fujifilm . . . . . . . . . . . . . . inside back coverRave . . . . . . . . . . . . . . . . . . . . back cover

Page 8: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

6 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Jumpstart Your JobSearch.Regardless of your status—recent graduate,newly unemployed, or considering a careermove—find the job that’s right for you.

spieworks.com

Exhibitor SpotlightsConvention Center, Exhibition Hall 1, back of Aisle 200.

Tuesday12:30 pm

The Benefits of AutoCal and AutoCD on PEB Profileand Critical DI CD’sAndrew Beers, OnWafer TechnologiesUtilizing Gen4 BakeTemp SensorWafer and SmartFoup-eZHardware we will demonstrate the ease and ability of OnWafersAutoCal and AutoCD software suite in improving Post ExposureBake (PEB) Temperature Profile and Optimizing After DevelopInspect Critical Dimensions.

1:30 pm

Improved Yield Through Improved Levelingand TeachingDennis Bonciolini, CyberOptics SemiconductorCyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™), for sensing,reporting and recording inclination or capable of target acquisition(utilizing machine vision technology) can improve toolperformance to enhance yields in lithography areas.

2:30 pm

“EastaPure” Electronic Chemicals, Be Sure It’s PureMike Quillen, Eastman Chemical CompanyStemming from its experience in high purity products Eastman isdeveloping a next generation product used in the removal ofcontaminants in surface sensitive processes.

Wednesday

10:30 am

Nanoimprint solutions from NanonexLarry Koecher, Nanonex Corp.Nanonex Corporation, the world leader in nanoimprintlithography, will discuss its current product offerings andsolutions.

11:30 am

Wafer Based Metrology for Lithography ToolsMei Sun, PhD, SensArray Corp.Mei Sun will show several different configurations ofinstrumented wafers that can be used to characterizeexposure systems, resist processing tracks, and etchpatterning tools.

12:30 pm

Raith’s New Lithography SystemsGeorge Lanzarotta, Raith USA, Inc.Descriptions of Raith’s new lithography systems will bepresented. Our new system components and improvedspecifications make for more complete nanotechnologyworkstations.

Page 9: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 7

General Information

Exhibition HoursConvention Center, Exhibition Halls 1-2

Tuesday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10:00 am to 5:00 pm

Wednesday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10:00 am to 4:00 pm

Over 130 leading Advanced Lithography companies showcase the latestproducts and technologies in the industry. The current exhibitor list andfloor plan is available on-line at www.spie.org/exhibitions.

There is no charge to visit the exhibition hall; however, a registrationbadge is required for admittance. Pre-registration and on-site registrationare available for exhibition-only visitors.

Registration and Information HoursConvention Center, Exhibit Hall 2

Sunday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7:00 am to 6:00 pm

Monday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7:00 am to 4:00 pm

Tuesday through Thursday . . . . . . . . . . . . . . . . . . 7:30 am to 5:00 pm

Friday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7:30 am to 11:00 am

Breakfast BreadsSponsored by

Breakfast breads and coffee will be served from 7:30 to 8:30 am Mondaythrough Friday for Symposium attendees in the Convention Center,Concourse 2 Lobby.

Underage Persons on Show FloorFor safety and insurance reasons, no persons under the age of 16 willbe allowed in the exhibition area during move-in and move-out. Duringopen exhibition hours, only children over the age of 12 accompanied byan adult will be allowed in the exhibition area.

Coffee BreaksSponsored by

Convention Center, Exhibition Halls 1-2

Tuesday – Wednesday . . . . . . . . 10:00 to 11:00 am; 3:00 to 4:00 pm

DessertsSponsored by

Desserts will be served in the exhibition halls 1-2, on Tuesday andWednesday, 3:00 to 4:00 pm.

Exhibition Concession StandsFor those whose registration plan does not include the lunch coupons,or for obtaining food and beverage at other than lunch times, concessionstands located in the halls will be open during exhibition hours. They willserve hot and cold snacks, beverages, deli-style sandwiches, salads, afew hot entrees, and pastries.

Internet AccessConvention Center - Concourse

Sunday - Thursday . . . . . . . . . . . . . . . . . . . . during registration hours

Sponsored by

At this location will be multiple workstations allowing attendees to accesstheir internet e-mail during the conference, and several Ethernetconnections to use with your personal laptop. There will be a 10-minutetime limit per each person’s internet session.

WiFi AccessSponsored by

Complimentary WiFi access for attendees with wireless-enabled laptopsand PDAs will be available Monday through Friday in the BallroomConcourse (east end) of the Convention Center near the SPIEMarketplace and Internet Pavilion.

Message CenterConvention Center, located near registrationMessages will be taken during registration hours Sunday throughThursday by calling: 408-271-6200. Attendees should check the messageboards at the message center on a daily basis to receive their messages.

Audio/Video/Digital Recording PolicyDue to copyright restrictions, strictly no recordings of any kind arepermitted without prior written consent of the presenter in any conferencesession, short course or posters. Consent forms are available at theSPIE Audiovisual Desk and anyone wishing to record must have a writtenconsent form signed and filed for each presenter being recorded.Individuals not complying with this policy will be asked to leave a givensession and to surrender their film or disc.

In the Exhibition Hall: For security and courtesy reasons, photographingor videotaping individual booths and displays in the exhibit hall is allowedONLY with explicit permission from on-site company representatives.Individuals not complying with this policy will be asked to surrendertheir film and to leave the exhibition hall.

PhotographyPersonal photographs and video taping of individual booths (and theirdisplays) is not allowed without the explicit permission from the companyrepresentative on-site. Failure to obtain consent could result in losingyour film and being asked to leave the Exhibition Hall.

Lunch CouponsTuesday lunch sponsored by

Full conference registrants will receive a lunch coupon redeemabletowards a luncheon purchased Tuesday and Wednesday at designatedareas in the Exhibition Hall. Coupons will be accepted from 11:30 am to1:30 pm both days. Some restrictions apply; please refer to the couponsin your registration packet.

SPIE Copy CenterSunday through Thursday during registration hours SPIE will provide acopy service during the week for symposium attendees. The rates are 5cents/copy and $1 per transparency. Located near registration in ExhibitHall 2.

SPIE MarketplaceThe SPIE Marketplace is your source for the latest SPIE Press books,Proceedings, and Educational and Professional Development materials.You can become a member of SPIE, explore the Digital Library, and takehome a souvenir.

Page 10: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

8 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

General Information

No Suitcasing PolicyPlease note that while all meeting attendees are invited to the exhibition,any attendee who is observed to be soliciting business in the aisles orother public spaces, in another company’s booth, or in violation of anyportion of the SPIE Exhibition Policy, will be asked to leave immediately.Additional penalties may be applied. Please report any violations youmay observe to show management.

Parkingsjdowntownparking.com(click on the area on the corner of San Carlos andWoz Way)

At the Convention Center$14 per day for 24 hours with no in/out privileges.$20 with in/out privileges. 650 spaces for public use.

Alternate Parking Downtown San Jose - River Park Tower Garage$1.25 per 20 minutes, $18 daily maximum. Rates and hours subject tochange without notice.Click on link below for all alternate parking lots.

sjdowntownparking.com/parking_map.php

Located on the corner of San Carlos and Woz Way, 333 W. San CarlosSt.1,000 spaces available. Open 6:30 am to 12:00 midnight, Mon toFrid, 8:00 am to 12 midnight Sat; (Sunday varies).

Parking at the Downtown HotelsHOTEL RATES: Rates are subject to change without notice.

FAIRMONT HOTEL: No self-parking available. Valet parking forovernight guests (on space-available basis) is $24 with in/outprivileges. Valet parking for visitors (on space-available basis): 1st 30min is $5, each additional 20 minutes is $1.50, maximum per day is$24. Parking garage is beneath the hotel

MARRIOTT HOTEL: Parking for guests is available for $21 per daywith in/out privileges. Non-guests pay $4.00 per hour with a maximumof $21/day.

HILTON SAN JOSE & TOWERS: For Guests Self-parking $14 max.with in/out privilegesValet-parking $19 max. with in/out privileges &with validation at City Bar & Grille, $8 for up to 5 hrs. For Non-GuestsSelf-parking - $6 for 1st hr., $1 each add’l 30 min up to 7 hrs with amax of $18 per 12 hr. period. Valet parking - $8 for up to 1st hr, $12 1-2 hrs, $18 2-5 Hrs, $20 over 5 hrs, & with validation from City Bar &Grille, $8 for up to 5 hrs.

CROWNE PLAZA: For Guests – self-parking, $14 per day (subject tochange) with in/out privileges. No valet. For non-guests – $6. for 1st

hr., $1. every half hr. thereafter - max. $20 per day.

SAINTE CLAIRE: For Guests only, valet parking only for $18 overnight.In/out privileges are available to guests who charge their parking totheir room.

RAMADA LTD.: Guest parking is complimentary.

HOTEL MONTGOMERY: For Guests – Self-parking $17 per day, Valetparking $20 per day (24-hour period), both with in/out privileges.

Bring any SPIE Course to your company—Anytime, Anywhere.

Microlithography • Microelectronics • Optoelectronics • MicromachiningAny SPIE course can be presented live at your company. SPIE offers over 800 courses taughtby industry experts that run from one-day to three-day sessions of concentrated instruction.

• Compete more effectively with a well-trained staff

• Ensure your employees stay ahead of changing technologies

• Keep company trade secrets secure with privatetraining sessions

SPIE course instructors are world-renowned experts from industryand acadamia. Courses and instructors are consistentlyevaluated to ensure quality.

Learn more:

spie.org/incompanyContact SPIE to schedule your In-Company Training today:Gayle Lemieux, Education Services, [email protected] • Tel: +1 360 685 5537

Page 11: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 9

It’s your career—take chargeof shaping it.

Professional development optionswith SPIE will help you:

• Improve your job performance

• Meet changing job demands

• Increase your value to your organization

Students save 50% on Course RegistrationProof of student status is required; please include your student IDnumber or proof of student status with your registration. Offer appliesto undergraduate/graduate students who are not also full-timeemployees in the industry, government, or academia.

SPIE reserves the right to cancel a course due to insufficientadvance registration.

Money-back Guarantee

We are confident that once you experience an SPIE course for yourself you will lookto SPIE for your future education needs. However, if for any reason you aredissatisfied, SPIE will gladly refund your money. We just ask that you tell us whatyou did not like; suggestions for improvement are always welcome.

Continuing Education Units

SPIE is an authorized provider of Continuing Education Units (CEUs)though ICAET—The International Association of Continuing Education andTraining. SPIE awards CEUs to participants who successfully attendcourses, and complete and return the evaluation form within 30 days ofthe course presentation. SPIE maintains a record of all CEUs earned foreach participant for seven years.

Professional Development from SPIEspie.org/education

Design Your FutureDesign Your Future

Courses

Workshops

In-Company Training

DVDs/CD-ROMs/Videos

Courses

Page 12: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

10 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Course Daily Overview

Emerging Lithographic TechnologiesSC100 Introduction toElectron-Beam Lithography(McCord) 8:30 am to 12:30 pm,$280 / $325

SC101 Introduction toMicrolithography: Theory,Materials, and Processing(Bowden, Thompson, Willson)8:30 am to 5:30 pm, $530 / $615

SC830 Recent Advances inElectron Beam Lithography(Pfeiffer) 1:30 to 5:30 pm,$280 / $325

SC622 Nano-Scale Patterningwith Imprint Lithography(Sreenivasan, Willson, Resnick)6:00 to 10:00 pm, $280 / $325

Metrology, Inspection, and Process Control

SC105 CD Metrology andImage Formation in theScanning ElectronMicroscope (SEM) (Wells,Postek) 8:30 am to 5:30 pm,$460/$545

SC705 Instruments andMethodologies for AccurateMetrology and Fleet Matching(Archie, Banke) 8:30 am to 5:30pm, $460 / $545

SC101 Introduction toMicrolithography: Theory,Materials, and Processing(Bowden, Thompson, Willson)8:30 am to 5:30 pm, $530 / $615

SC831 Introduction toScatterometry Metrology:Theory and Application(Bao, Barry) 1:30 to5:30 pm, $280 / $325

Resist Technology and Processing

SC101 Introduction toMicrolithography: Theory,Materials, and Processing(Bowden, Thompson, Willson)8:30 am to 5:30 pm, $530 / $615

SC780 Tracks 101:Microlithography Coat andDevelop Basics (Daggett,Williams) 8:30 am to 5:30 pm,$460 / $545

SC355 Fundamentals ofPhotochemical ContaminationControl for Lithographic Tools(Kunz) 6:00 to 10:00 pm, $280 /$325

SC833 Lithography Integration forSemiconductor Back-End-Of-The-Line (BEOL), (Lin) 8:30 am to 12:30pm, $280 / $325

SC103 Resists for Deep UVLithography (Willson) 8:30 amto 5:30 pm, $460 / $545

SC616 Practical PhotoresistProcessing (Dammel) 1:30 to5:30 pm, $280 / $325

The Business Side

SC832 IP Issues in AdvancedLithography andSemiconductorManufacturing (Gortych)1:30 to 5:30 pm, $280 / $325

NEW!

NEW!

NEW!

Sunday Monday Tuesday Wednesday Thursday

Register at theSPIE Cashier!

Page 13: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 11

Sunday Monday Tuesday Wednesday Thursday

Design for Manufacturing

SC834 LithographyFriendly Design andBeyond - A BroaderReview of DfM (Liebmann,Mansfield, Wong) 8:30 am to5:30 pm, $460 / $545

SC708 Impact Of VariabilityOn VLSI Circuits (Puri,Gupta) 1:30 to 5:30 pm,$280 / $325

Optical MicrolithographySC834 LithographyFriendly Design andBeyond - A BroaderReview of DfM (Liebmann,Mansfield, Wong) 8:30 am to5:30 pm, $460 / $545

New Courses at AdvancedLithography 2007

Don’t miss these cutting-edge additions tothis year’s Professional Development program.• Recent Advances in Electron Beam Lithography

• Introduction to Scatterometry Metrology:Theory and Application

• IP Issues in Advanced Lithography andSemiconductor Manufacturing

• Lithography Integration for SemiconductorBack-End-Of-The-Line (BEOL)

• Lithography Friendly Design and Beyond—A Broader Review of DfM

Register at the SPIE Cashier!

SC120 193-nm Photoresist Materials (Dammel)8:30 am to 12:30 pm, $280 / $325

SC540 Applying Optical ProximityCorrection and Design for Manufacturabilityto Product Designs (Capodieci, Lucas) 8:30am to 5:30 pm, $460 / $545

SC707 Basics of Optical Imaging inMicrolithography: A Hands-on Approach(Milster, Flagello, Brooker) 8:30 am to 12:30pm, $280 / $325

SC105 CD Metrology and Image Formationin the Scanning Electron Microscope (SEM)(Wells, Postek) 8:30 am to 5:30 pm, $460 /$545

SC705 Instruments and Methodologies forAccurate Metrology and Fleet Matching(Archie, Banke) 8:30 am to 5:30 pm, $460 /$545

SC101 Introduction to Microlithography:Theory, Materials, and Processing (Bowden,Thompson, Willson) 8:30 am to 5:30 pm, $530/ $615

SC116 Lithographic Optimization: ATheoretical Approach (Mack) 8:30 am to 5:30pm, $460 / $545

SC117 The Fundamental Limits of OpticalLithography (Smith) 8:30 am to 12:30 pm,$395 / $440

SC706 Imaging and Optics Fundamentals inMicrolithography (Flagello) 1:30 to 5:30 pm,$280 / $325

SC124 Pushing the Limits: OpticalEnhancement, Polarization, and ImmersionLithography (Smith) 1:30 to 5:30 pm, $280 /$325

SC355 Fundamentals of PhotochemicalContamination Control for Lithographic Tools(Kunz) 6:00 to 10:00 pm, $280 / $325

SC102 Optical Lithography Modeling(Neureuther, Smith) 6:00 to 10:00 pm, $315 /$360

SC833 Lithography Integration forSemiconductor Back-End-Of-The-Line(BEOL), (Lin) 8:30 am to 12:30 pm,$280 / $325

SC540 Applying Optical ProximityCorrection and Design forManufacturability to Product Designs(Capodieci, Lucas) 8:30 am to 5:30 pm, $460/ $545

SC105 CD Metrology and ImageFormation in the Scanning ElectronMicroscope (SEM) (Wells, Postek) 8:30 amto 5:30 pm, $460 / $545

SC705 Instruments and Methodologies forAccurate Metrology and Fleet Matching(Archie, Banke) 8:30 am to 5:30 pm, $460 /$545

SC778 Introduction to Advanced ProcessControl (APC) for SemiconductorManufacturing (Misra) 8:30 am to 5:30 pm,$460 / $545

SC116 Lithographic Optimization: ATheoretical Approach (Mack) 8:30 am to5:30 pm, $460 / $545

SC833 Lithography Integration forSemiconductor Back-End-Of-The-Line (BEOL), (Lin) 8:30 am to 12:30 pm,$280 / $325

SC505 Data to Silicon:Understanding theFundamentals of MDP,Frame Generation, RET andDFM (Morse) 8:30 am to 5:30pm, $460 / $545

NEW!

NEW!

NEW!

SC118 Anti-ReflectiveCoatings: Theory andPractice (Dammel) 8:30 am to12:30 pm, $280 / $325

NEW!

SC579 PhotomaskFabrication andTechnology Basics (Duff)8:30 am to 5:30 pm, $460 /$545

SC779 Polarization forLithographers (Kye,McIntyre) 8:30 am to 12:30pm, $280 / $325

Page 14: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

12 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Page 15: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 13

Exhibitor Directory

Abeam Technologies #20005286 Dunnigan Ct, Castro Valley, CA, 94546510/415-6032; fax 510/[email protected]; www.abeamtech.com

New Product: BEAMETR: E-beam metrology; CHARIOT: CD-SEM,inspection, and EBL; TRAVIT: dry etch simulation.

Abeam Technologies develops software and hardware used inmicroelectronic industry to optimize micro- and nano-fabricationprocesses. The company has its headquarters in California, a groupof software developers and mathematicians in Russia, representativecompanies in Japan, Korea and Germany. Contact: Sergey Babin,President.

Aerotech, Inc. #1002

101 Zeta Dr, Pittsburgh, PA, 15238-2897412/963-7470; fax 412/[email protected]; www.aerotech.com

New Product: ABRS Series Low Profile, Direct-Drive, Air-BearingRotary Stages.

Aerotech manufactures a variety of products, from motors, drives andcontrollers to fully integrated mechanical and air-bearing stagesystems. Our systems and components are used in applicationsincluding lithography, high-precision wafer processing, flat-paneldisplay fabrication and laser micromachining. Aerotech alsospecializes in vacuum applications like reticle manufacturing, direct-write systems and wafer inspection. Contact: John Lindell, ProductManager, Positioning Systems Division, [email protected].

Air Products andChemicals, Inc. #11021969 Palomar Oaks Way, Carlsbad, CA, 92011800/545-9241; fax 610/[email protected]; www.airproducts.com/electronics

New Product: OptiYield® CD Developer; OptiPattern® SmoothSurface Conditioner.

Visit with one of our applications team members at the show (Booth1102) and let us show you how we can drive down yourmanufacturing costs in existing and future processes. Learn about AirProducts advanced photoresist ancillary product line. Our OptiYield®

CD developer and OptiPattern® Smooth surface conditioners cansignificantly lower your cost of ownership and improve yield. While atthe booth, find out how you can enter to win an iPod Video! Contact:Steve Rogers, [email protected]; Manny Jaramillo,[email protected].

Anchor Semiconductor Inc. #3031090 Kifer Rd Ste 200, Sunnyvale, CA, 94086408/720-8600; fax 408/720-8610www.anchorsemi.comFounded in 2000, Anchor Semiconductor is the pioneer andtechnology leader in semiconductor DFM products for controllinglayout-to-silicon pattern transfer to bridge the gap between designand manufacturing. With its breakthrough pattern-centricarchitecture, fast and accurate layout patterning simulation, flexibleand powerful pattern analysis, Anchor’s NanoScope software isuniquely capable of addressing the layout-to-silicon patterningchallenges in both design and manufacturing domains. Contact:Frank Li, Director, Sales, [email protected].

Applied Materials #703

3050 Bowers Ave, Santa Clara, CA, 95050-3298408/727-5555; fax 408/748-9943www.appliedmaterials.comApplied Materials Inc. is the global leader in NanomanufacturingTechnology™ solutions with a broad portfolio of innovative productsfor the fabrication of semiconductor chips, flat panels and solar cells.At the Advanced Lithography Conference, Applied will feature itsportfolio of lithography-enabling solutions, including advanced waferetch systems, innovative CVD and PVD hardmask technologies, CD-SEM metrology and OPC design qualification solution and industry-leading mask etch technology.

Coffee Break Sponsor

ASML #413

8555 S River Pky, Tempe, AZ, 85284480/383-4422; fax 480/[email protected]; www.asml.comASML is the world’s leading provider of lithography systems for thesemiconductor industry. The ASML TWINSCAN™ dual-stagelithography system exemplifies our technology leadership. ASMLSpecial Applications focuses on lithography solutions for customerswith unique requirements. ASML MaskTools focuses on technologicalenhancements as well as software development. ASML Optics is anextreme precision optical foundry for the semiconductor and opticalmanufacturing industries.

ATMI Packaging, Inc. #90410851 Louisiana Ave S, Minneapolis, MN, 55438952/942-0855; fax 952/942-8474ATMI leads the global semiconductor industry in delivering smart andactive materials-delivery solutions. Our NOWPak product line is aliner-based containment and dispensing system that maintains thepurity and cleanliness of critical chemicals. Unique chemical key-coding of the closures and connectors ensures chemical misconnectprevention. The nowTRAK system RFID tagging adds inventoryvisibility, tracking, and control. Our solutions enhance process yieldsand efficiency, and reduced costs. Contact: Mark Litecky, DirectorMarketing and Sales, [email protected]; Rick Wilson, Sales,[email protected].

Coffee Break Sponsor

AZ Electronic MaterialsUSA Corp. #313

70 Meister Ave, Somerville, NJ, 08876-3440908/429-3500; fax 908/[email protected]; www.az-em.comIndustry leader in manufacture of Anti-reflective Coatings, thick film,flat panel and other specialty products. Contact: Kathryn Durham,Director Marketing & Sales Support, [email protected];Brad Williams, National Sales Manager, [email protected].

Page 16: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

14 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Exhibitor Directory

BenchmarkTechnologies, Inc. #1017E Kimball Ln, Lynnfield, MA, 01940781/246-3303; fax 781/[email protected]; www.benchmarktech.com

New Product: Double Patterning Assessment Reticle.

Benchmark Technologies is the premier provider of test reticles for allaspects of lithography tool monitoring and characterization, including:monitoring of focus, aberration, polarization, resolution and defects,and matching of overlay from tool to tool. Reticles for doublepatterning process development are also available. The company alsooffers turnkey services to supply CAD and fabrication of photomasks,nano-imprint template masters and replicas, and patterned testwafers.

Braggone #1106Kaitovayla 1, Oulu, Finland, 90570358 50 525 6106; fax 358 8 556 [email protected]; www.braggone.comWith offices located in Oulu, Finland, and London, Braggone is aninnovative technology company focused on the manufacturing ofadvanced optoelectronic and information electronic materials andcomponents. Braggone’s portfolio of materials and processes areutilized to improve performance and facilitate production for variouscomponent and system structures. Braggone’s capabilities inenhancing its customers’ product performance are based on itssuccess in exploiting and effectively processing the linkage betweenfundamental material development and advanced applications of itsclients in fields such as flat panel displays, semiconductormanufacturing, digital cameras, telecommunication optics andphotonic crystal materials. Additional information on Braggone can befound at www.braggone.com.

Brewer Science #613

2401 Brewer Dr, Rolla, MO, 65401573/364-0300; fax 573/364-6880www.brewerscience.com

New Product: ARC®100-Tunable k; ARC®160-low outgassing;Benchtop processing equipment.

Brewer Science is a technology company providing material andequipment product solutions to the semiconductor, optoelectronic,MEMS and packaging industries. Products include spincoat/develop/bake equipment; bottom anti-reflective coatings (BARC’s) tailored fora wide range of applications under the ARC® brand of products;specialty materials for use in litho, wafer thinning/etching and bulkmicromachining applications; temporary etch protective andtemporary wafer bonding materials. Contact: Rick Miller, Global SalesManager, [email protected]; Lindell Widger, EquipmentSales Manager, [email protected].

Brion Technologies, Inc. #423

4211 Burton Dr, Santa Clara, CA, 95054408/653-1500; fax 408/[email protected]; www.brion.comBrion, the pioneer in Lithography-Driven Design & Manufacturing™leads the emerging market of optical proximity correction (OPC)verification. Brion’s Tachyon products successfully address thecompromise between speed and accuracy by chip makers. Byinventing a platform that combines both image-based computing andhardware-accelerated co-processing, Brion enables exceptionaldesign and verification accuracy without compromising the speed orproductivity of the circuit manufacturing process. Contact: ChristianDesplat, Vice President Worldwide Sales, [email protected].

DPI Panel Sponsor

Cadence DesignSystems, Inc. #5202655 Seely Ave, San Jose, CA, 95131408/943-1234www.cadence.comCadence enables global electronic-design innovation and plays anessential role in the creation of today’s integrated circuits andelectronics systems. Customers use Cadence software and hardware,methodologies and services to design and verify advancedsemiconductors, printed-circuit boards and systems used inconsumer electronics, networking and telecommunications equipmentand computer systems. More information about the company, itsproducts and services is available at www.cadence.com. Contact:Bob Naber, Product Marketing Director, [email protected].

Carl Zeiss SMT #909One Zeiss Dr, Thornwood, NY, 10594914/747-7700; fax 914/[email protected]; www.smt.zeiss.comCarl Zeiss SMT provides leading-edge, 45nm half-pitch, maskqualification, mask repair and phase metrology measurement tools.AIMS™ is used for actinic mask qualification and allows for fastevaluation of mask features, repairs and defects without the need ofwafer prints. MeRiT™ MG ebeam reliably repairs imperfections in themask structure, via etch or deposit, without damaging the masksubstrate. PHAME™ will provide full die or individual phase andtransmission measurements. Contact: Jim Polcyn, National Director ofSales, SMS Products, [email protected].

CMPC Surface Finishes #1119

39 Official Rd, Addison, IL, 60101630/543-6682; fax 630/[email protected]; www.surfacefinishes.com

New Product: Subaperture Stitching Interferometry Services foryour large clear & high numerical aperture needs.

Since 1949, Surface Finishes has been a leader in precision lapping,grinding and optical polishing techniques specializing in flat,cylindrical, perpendicular and parallel surfaces with extremelydemanding geometry. These capabilities produce Angstrom leveltolerances on components such as: Mirrors for optical imaging andscanning, air bearings, vacuum chucks, optical disc molds, large areareference surfaces and custom gauging. Free engineering advice foryour most challenging applications. Contact: David Patterson,Account Manager, [email protected].

Page 17: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 15

Exhibitor Directory

Corning Inc. #619

60 O’Connor Rd, Fairport, NY, 14450585/388-3500; fax 585/[email protected]; www.corning.comCorning Incorporated is a premier supplier of advanced opticalsolutions for the world’s leading semiconductor equipmentmanufacturers. World-class materials and processes, a long heritagein optical design and manufacturing and state of the art metrologyenable Corning to keep pace with the toughest optical requirementsof today, and the next generation. Contact: Dave Young,[email protected]; Elijah Baity, [email protected].

CUNO Inc. #622400 Research Pkwy, Meriden, CT, 06450203/237-5541; fax 203/630-4530www.cuno.comCUNO fluid clarification products deliver premium performance forprocess water treatment, electronics, chemical and photoresistproduction and CMP slurry distribution. CUNO membrane filtersremain the most effective deterrent to contamination in waterapplications. PTFE membrane and stainless steel media for chemicaland gas filtration and 316L stainless steel housings complete acomprehensive range of products for the semiconductor industry.Contact: Chris Tsourides, Director of Marketing for Electronics,[email protected].

CVI Laser LLC #421

200 Dorado Pl SE, Albuquerque, NM, 87123505/296-9541; fax 505/[email protected]; www.cvilaser.com

New Product: UV Anamorphic Prism Pairs for beam expansion ofelliptically shaped laser beams.

CVI is one of the world’s leading manufacturers of optical componentsand optical mounts, providing engineering, rapid prototype delivery,high volume production and system integration for the mostchallenging optical requirements. New for 2007, CVI is launching arapid lens prototyping service with turnaround times of less than 2weeks for complete parts. Contact: Rich Drake, OEM Sales Manager,[email protected]; Glen Callahan, OEM Sales Manager,[email protected].

Cyantek Corp. #805

3055 Osgood Ct, Fremont, CA, 94539510/651-3341; fax 510/[email protected]; www.cyantek.comCyantek provides a full line of etchants, developers, organic strippersand mask cleaners. We offer customized blends that can help youaddress your special process needs, whether for mask manufacturing,IC, thin film or FPD technologies. Our products are used on chrome,iron oxide, silicon dioxide and other specialized substrates. Cyantek’spopular Nano-Strip™ product is a stabilized SPM solution used forstripping and cleaning processes. Call us or visit our website for moreinformation. Contact: Dale Deg, Sales & Marketing Manager,[email protected].

CyberOptics Semiconductor #30613555 SW Millikan Way, Beaverton, OR, 97005503/495-2200; fax 503/[email protected]; www.cyberopticssemi.com

New Product: Wireless, wafer-like WaferSense Auto TeachingSystem (ATS) and WaferSense Auto Gapping System (AGS).

CyberOptics Semiconductor designs and manufactures precisionproducts that measure critical parameters in semiconductorprocesses and equipment. New to the WaferSense product line,WaferSense Auto Teaching System (ATS) uses machine visiontechnology to “see” inside semiconductor equipment to teach wafertransfer positions. WaferSense Auto Leveling System (ALS), in bothwafer and reticle-like form factor, wirelessly measures and capturesinclination data to reduce equipment downtime and scrap. Contact:Mark Hannaford, National Sales Manager,[email protected]; Dennis Bonciolini, Vice President ofEngineering, [email protected].

Breakfast Sponsor, Meter Board Sponsorand Room Keys Sponsor

Cymer, Inc. #90317075 Thornmint Ct, San Diego, CA, 92127858/385-7300; fax 858/[email protected]; www.cymer.com

New Product: XLR 500i-the world’s first ArF laser light source for45nm production immersion photolithography.

Cymer, Inc. is the world’s leading supplier of DUV illuminationsources, the essential light source for DUV photolithography systems.DUV lithography is a key enabling technology that has allowed thesemiconductor industry to meet the exacting specifications andmanufacturing requirements for volume production of today’sadvanced semiconductor chips.

Digital Optics Corp. #809

9815 David Taylor Dr, Charlotte, NC, 28262704/887-3100; fax 704/[email protected]; www.doc.comDigital Optics Corporation, a subsidiary of Tessera Technologies, Inc.,is a worldwide leader in micro-optics and integrated micro-opticalsub-assembly design for high-performance, small-form factor, opticalsolutions. Industry-leading capabilities include diffractive, refractiveand wafer-level optics. DOC provides solutions for consumerelectronics, sensors, semiconductor equipment, IR systems,aerospace/defense, vision systems and more. Contact: JessicaWargats, Sales Engineer, [email protected]; Todd Hudson, SeniorSales Engineer, [email protected].

Donaldson Co., Inc. #725PO Box 1299, Minneapolis, MN, 55440952/887-3131www.donaldson.com

New Product: ChemCore filters - the lightweight alternative tocarbon - control ambient acids and bases.

Donaldson manufactures cost-effective filters for AMC control. Inaddition to protecting chemically amplified resists from aminepoisoning, AMC control is critical to the reduction of crystal andsilicate formation on reticles and lenses. Products include: ChemCorefilters for recirculation systems and terminal diffusers, refillableLITHOGUARD activated carbon filters for tracks & scanners andpoint-of-use filters for compressed air (CDA) and N2 purge lines.Contact: Dan Lynch, North American Account Manager,[email protected].

Page 18: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

16 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Exhibitor Directory

Dongjin Semichem Co., Ltd. #719

625-3 Yodang-ri, Yanggam-Myun, Hwasung-kun, Kyungki-si, SouthKorea, 445-93182 31 350 5557; fax 82 31 353 [email protected]; www.dongjin.comWe offers high resolution positive photoresists for KrF, ArF and EUV,multi purpose bottom ARC, CMP slurries, colored resists, columnspacer, organic insulator designed to meet the requirements of thesemiconductor and the flat-panel display industry. Our product lineincludes thinner, stripper, developer, rinsing solution and etchants.DHA-5000 series developed for ArF lithography are adequate for theimmersion lithography without top-coating materials because of itslow defectivity level. Contact: Sung-Il Kim, Executive director,[email protected]; Jeahyun Kim, Executive director,[email protected].

Eastman Chemical Co. #109PO Box 431, Kingsport, TN, 37662-5075423/229-5996; fax 423/[email protected]; www.eastman.comEastman Chemical Company’s EastaPure® Electronic Chemicals, “BeSure It’s Pure”, is a leading line of high purity products offered to thesemiconductor industry. Stemming from its experience in high purityproducts Eastman introduces a next generation product used in theremoval of contaminants in surface sensitive processes. This novel,proprietary solvent is expected to outperform conventional industrystandards in contaminant removal. Contact: Nancy Neal.

Energetiq Technology, Inc. #20097 Constitution Way, Woburn, MA, 01801781/939-0763; fax 781/[email protected]; www.energetiq.com

New Product: Electrodeless EUV and DUV light sources.

Energetiq is a developer and manufacturer of short wavelength lightsources based on patented electrodeless technology that generateshigh brightness or high power light in the 1nm to 400nm range withhigh reliability in a compact package. Applications: EUV Lithography,EUV Metrology and EUV Resist development, Deep UV Cleaning ofsubstrates, DUV-Assisted Etching, DUV Resist treatment; Soft X-RayMicroscopy. Contact: Debbie Gustafson, Vice President Sales andService, [email protected].

Entegris, Inc. #6063500 Lyman Blvd, Chaska, MN, 55318952/5563131; fax 952/[email protected]; www.entegris.com

New Product: IntelliGen® Mini Dispense System; LiquidLens™UPW System.

Entegris is a global leader in materials integrity management -purifying, protecting and transporting critical materials used in thesemiconductor and other high tech industries. Entegris providessolutions that protect photoresist processes, exposure tool optics andreticles from particle and airborne molecular contamination. Thesesolutions chemically filter, purify and condition the environmentaround critical lithography components, as well as dispense andpurify photoresists and coatings. Contact: Raul Ramirez, LiquidFiltration Products, [email protected]; Paul Magoon,Dispense Products, [email protected].

EV Group Inc. #11077700 S River Pkwy, Tempe, AZ, 85284480/727-9600; fax 480/727-9700www.evgroup.comEV Group provides leading-edge wafer processing equipment forMEMS and Microfluidics, Advanced Packaging, CompoundSemiconductor/MOEMS, SOI, Power Devices and Nanotechnologyapplications: Double side mask/bond aligners. Wafer bonders foranodic, silicon fusion, thermo compression and LowTemp plasmabonding. Wafer/mask cleaning systems. Temporary bonders anddebonders. Resist processing systems, photoresist spin/spraycoaters and developers. Hot embossing and nanoimprinting systems.Contact: Carl Mann, Regional Sales Manager, [email protected];Thorsten Matthias, Technology Director, [email protected].

Foothill Instruments, LLC #8065011 Jarvis Ave, La Canada, CA, 91011818/[email protected]; www.foothill-instruments.comFoothill Instruments manufactures film and wafer thickness metrologysolutions for packaging, MEMS, semiconductor and related markets.Our film thickness tools are capable of measuring dielectrics such asSU-8 of thickness greater than 500 microns and layers on difficult Cusubstrates. Our wafer thickness products use breakthrough opticaltechnology to enable wafer thickness measurements from 20 - >500microns. This includes Si, GaAs, SOI and bumped wafers.

FUJIFILM ElectronicMaterials U.S.A., Inc. #51380 Circuit Dr, North Kingston, RI, 02852401/431-2487; fax 401/432-3799www.fujifilm-ffem.com

New Product: 45nm to 250nm DUV Photoresists, 193 and 248nmTIS2000 Series Photoresist Systems. GAR 7000 and 8000 Series193nm Photoresists, FAiR Series 193nm immersion and e-beamPhotoresists.

GKR 5000 and GKR 6000 DUV photoresist series for 90nm to 150nmdense, semi-dense, isolated line and contact hole applications. GAR7000, GAR 8000 Series 193nm Photoresists for 50nm to 130nmdense, semi-dense, isolated line and contact hole applications. FAiRSeries 193nm photoresists for <45 nm, low defectivity immersionapplications. TIS2000 (Thin Imaging Systems) for 193nm and 248nmapplications providing superior lithographic performance in back-end,dual damascene and front-end applications with design rules of 65nmto 180nm.Negative and positive e-Beam resists families for mask making.Durimide®, aqueous developable polyimides. Cleaners, strippers,ancillaries, thin film systems and color filter array materials. Contact:Nancy Greene, Sales Coordinator, [email protected];Heather Mazjanis, Business Manager, Photoresist Products,[email protected].

Gigaphoton #200Yokokurashinden 400, Oyama, Toyko, Japan, 323-855881 285 28 8410; fax 81 285 28 8439www.gigaphoton.com

Page 19: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 17

Exhibitor Directory

Gudeng PrecisionIndustrial Co., Ltd. #102

No 428 Bade St, Shulin City, Taipei, Taiwan, 238886 2 2680 0980; fax 886 2 2680 0930www.gudeng.com.twGudeng Precision Industrial Co. Ltd, founded in 1998, originallyspecialized in manufacture and design of injection mold and highprecision parts. After transfer to focusing on the field of photolithography, Gudeng becomes one of the largest manufacturers in theworld providing the ultra clean photomask shipping/storing carrier andreticle cleaning equipment for PSM and high-end reticles. Productsinclude reticle SMIF pod, photomask case, CDA/N2 purge station/cabinet and other related parts. Contact: Poshin Lee, Director, SalesDivision, [email protected]; Larry Liu, Manager, SalesDepartment, [email protected].

Hakuto Co., Ltd. #5231-13 Shinjuku 1-Chome, Shinjuku Tokyo, Japan, 160-891081 3 3225 8910; fax 81 3 3225 [email protected]; www.hakuto.co.jp

Halocarbon Products Corp.#1008PO Box 661, River Edge, NJ, 07661201/262-8899; fax 201/[email protected]; www.halocarbon.com

Hitachi High TechnologiesAmerica, Inc. #4015100 Franklin Dr, Pleasanton, CA, 94588925/218-2880; fax 925/[email protected]; www.hitachi-hta.comHitachi High Technologies America (HTA) provides the expertise,reliability and value-added services that our customers have come toexpect. HTA products include advanced CD-SEM, Defect ReviewSEM and Optical Defect Inspection Systems for metrology solutions,Plasma Etchers for metal and advanced material etching that supportproductivity and yield improvement in your wafer fabrication process.Hitachi’s innovative technologies stand apart from the competitionand exceed your satisfaction. Contact: Sammy Nozaki, Manager,Business Development, In Line Systems Group,[email protected]; Jim Cox, Senior BusinessDevelopment Manager, [email protected].

Honeywell ElectronicMaterials #5091349 Moffett Park Dr, Sunnyvale, CA, 94089408/962-2098; fax 408/[email protected]; www.electronicmaterials.comHoneywell Electronic Materials is a leading material supplier to thesemiconductor industry developing and manufacturing a broad line ofproducts utilized in the production of integrated circuits. Providingsolutions for applications utilizing subtractive or damasceneprocesses, Honeywell offers spin-on glasses, advanced dielectrics,application specific electronic chemicals, PVD targets, thermocouplesand packaging solutions for thermal management and electricalinterconnect as well as sapphire substrates and custom sapphirefabrications for the optoelectronics industry. For additionalinformation, please visit www.electronicmaterials.com.

HORIBASTEC #1059701 Dessau Rd Ste 605, Austin, TX, 78754512/836-9560; fax 512/[email protected]; www.horibastec.comHORIBA STEC provides instruments for process control, measurementand analysis, featuring mass flow/liquid flow controllers and meters,direct injection vapor controllers, exhaust controllers, particle detectionand analysis, thin film inspection, concentration monitors, silica andD.O. monitors, PFC/gas analyzers, plasma characterization, endpointdetection and residual gas analyzers. Contact: Keith Peterson,Regional Sales Manager, [email protected].

Inko Industrial Corp. #1006

695 Vaqueros Ave, Sunnyvale, CA, 94085408/830-1041; fax 408/[email protected]; www.pellicle-inko.comINKO, a U.S. based company, manufactures a complete line of pelliclesfor applications ranging from ASIC production, high volume memoryproduction. From broadband to I/G line to 248 nm/193nm DUVlithography. We have the right pellicles for your needs. Contact: JoeMac, Sales and Customer Service; Sherry Chi, Marketing,[email protected].

Intel #11252200 Mission College Blvd SC2-12, Santa Clara, CA, 95054408/765-5353; fax 408/[email protected]

International RadiationDetectors, Inc. #908

2527 W 237th St Unit A, Torrance, CA, 90505-5243310/534-3661; fax 310/[email protected]; www.ird-inc.com

New Product: Multi-element filtered photodiodes for EUVsteppers.

Manufacturer of stable UV, VUV, EUV and soft x-ray photons andelectron detectors and associated electronics. Contact: Raj Korde,President, [email protected]; Jacob Sprunck, Device Physicist,[email protected].

DPI Panel SponsorDPT Panel Sponsor

Invarium, Inc. #307

1754 Technology Dr Ste 117, San Jose, CA, 95110408/213-8000; fax 408/[email protected]; www.invarium.com

New Product: Dimension PPC ™ is the industry’s full-chip processand proximity compensation (PPC) solution.

Dimension PPC ™ is aimed at the 65 and 45 nm nodes, DimensionPPC delivers superior CD control and expanded process windowscompared to conventional RET/OPC tools. The correct-by-construction technology in Dimension PPC also greatly reduces RET/OPC development and tapeout cycle times, eliminates the need forindependent OPC verification, and substantially cuts the total RET/OPC cost of ownership. Contact: Ram Ramanujam, SVP, Marketing,[email protected]; Wolf Staud, Director, Marketing,[email protected].

Page 20: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

18 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Exhibitor Directory

J.A. Woollam Co. #907645 M St Ste 102, Lincoln, NE, 68508-2243402/477-7501; fax 402/[email protected]; www.jawoollam.comJ. A. Woollam Company offers a wide range of spectroscopicellipsometers for nondestructive materials characterization, includingthin film thickness (single and multilayer), optical constants,composition, growth/etch rates and more. Instruments available forresearch and manufacturing metrology covering spectral ranges fromvacuum ultra-violet to far infrared. Offering table-top, in-line and in-situ models. Contact: James Hilfiker, Applications Engineer.

JCMwave GmbH #1004Haarer Str 14a, Putzbrunn, Germany, 8564049 89 460 6568; fax 49 89 460 [email protected]; www.jcmwave.com

New Product: JCMwave - Rigorous simulation tools for advancedlithography.

JCMwave offers software for precise and fast electromagneticsimulations. Application areas include DUV and EUV lithography,optical metrology, surface plasmons, resonance phenomena, meta-materials, photonic crystals and others. In lithography simulationbenchmarks with competing methods JCMwave’s products weresuperior in accuracy and speed by orders of magnitude. JCMwave isa spin-off company from Zuse Institute Berlin, a leading researchinstitute for applied mathematics. Contact: Sven Burger.

Coffee Break Sponsor

JSR Micro, Inc. #713

1280 N Mathilda Ave, Sunnyvale, CA, 95054408/543-8800; fax 408/543-8999www.jsrmicro.comJSR Micro, Inc. is an innovation company that designs andmanufactures materials for the leading IC manufacturers. JSR Microproduces high performance CMP consumables including pads andslurries and advanced photoresists. JSR Micro is also a pioneer inspin-on low-k dielectric materials. JSR CMP pads contain uniformlydispersed WSP Compound™ and JSR CMP slurries containSoft•brasive™ technology for superior polishing performance.Contact: Debbie Stiewing, Assistant to the Vice President,[email protected].

King Industries, Inc. #625Science Rd, Norwalk, CT, 06850203/866-5551; fax 203/[email protected]; www.kingindustries.com

KLA-Tencor Corp. #1013

160 Rio Robles, San Jose, CA, 95134408/875-3000; fax 408/[email protected]; www.kla-tencor.comKLA-Tencor Corporation is the world’s leading supplier of inspection,measurement products and solutions used for advanced processcontrol and yield management in the semiconductor, photomaskmanufacturing and related industries. The company’s comprehensiveportfolio of tools, software, analysis, services and expertise isdesigned to help customers optimize yield throughout the entireprocess—from R&D to final yield analysis in production.

Laser Focus World #1005

98 Spit Brook Rd, Nashua, NH, 03062-5737603/891-0123; fax 603/891-0574www.laserfocusworld.comLaser Focus World is a monthly magazine for engineers, researchers,scientists and technical professionals providing comprehensive globalcoverage of optoelectronic technologies, applications and markets.The magazine reports on and analyzes the latest developments andsignificant trends in both technology and business in the worldwideoptoelectronics and photonics industry.

Lasertec U.S.A., Inc. #206

2025 Gateway Pl Ste 480, San Jose, CA, 95110408/437-1441; fax 408/437-1430www.lasertec.co.jp

New Product: Photomask Inspection System “MATRICS” Series.

Photmask Inspection System: MATRICS Series, Pellicle/PhotomaskParticle Inspection System: PEGSIS P100, EUVL MaskblankInspection System: M7360, Maskblanks Inspection System: M3320,Mask Process Monitoring System: M2351, Wafer Review/InspectionSystem: M5350, Phase-Shift Measurement System: MPM193/248.Contact: JJ Yeh, Sales Manager, [email protected]; Ji Lee, Salesand Business development, [email protected].

LINOS Photonics, Inc. #305

459 Fortune Blvd, Milford, MA, 01757508/478-6200; fax 508/[email protected]; www.linos-photonics.com

New Product: Precision optics and coatings for UV applications.

LINOS offers an industry-leading selection of optical components,opto-mechanical and opto-electronic devices - over 4,000 standardproducts plus thousands of custom devices. Products include lenses,mirrors, beamsplitters, filters, prisms, polarizers, laser optics, beamexpanders, CCD and machine vision lenses, positioning systems,fiber optic components, laser modulators, Pockels cells, Faradayisolators, x-ray imaging lenses and cw Optical Parametric Oscillator.Contact: David J. Butler, Business Unit Support Manager,[email protected]

Media Lario Technologies #624Localita’ Pascolo, Bosisio Parini, Italy, I-2384239 31 867 111; fax 39 31 876 [email protected]; www.media-lario.com

New Product: High-precision reflective optical components &systems with thermal management.

Media-Lario Technologies is a supplier of EUV Collector optics,offering optical design, thin film expertise, integrated thermalmanagement and cost-effective electroforming manufacturingtechnology. With the drive toward lower wavelengths inSemiconductor and FPD manufacturing, MLT is well positioned toserve these demanding applications for high-thermal load laser andflash lamp annealing as well as inspection and metrology with its fleetof thermally managed reflective optics offerings. Contact: AhmadKermani, Vice President Customer Operations,[email protected].

Page 21: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 19

Exhibitor Directory

Lanyard SponsorPoster Reception Sponsor

Mentor Graphics #213

8005 SW Boeckman Rd, Wilsonville, OR, 97070-7777503/685-7000; fax 503/685-1521www.mentor.comMentor Graphics Calibre Design to Silicon Platform. In a continuingtradition of delivering advanced technology, the Calibre platform ofintegrated tools efficiently and accurately manages every facet of thedesign-to-silicon transition and the complexities of the nanometer era.Recognized worldwide as the industry standard and market leader, theCalibre platform includes physical verification, parasitic extraction,design-for-manufacturability, resolution enhancement technologies andmask data preparation.

Plenary Sponsor

Mercury ComputerSystems, Inc. #722199 Riverneck Rd, Chelmsford, MA, 01824866/627-6951; fax 978/[email protected]; www.mc.comMercury Computer Systems is a leading provider of high-performance,real-time digital signal, image and data processing solutions. TheCompany’s multi-processor systems have long been used to processreal-time radar, sonar and signals intelligence data for the military.Mercury’s advanced multi-core computing systems are now used instate-of-the-art semiconductor applications including lithography,photomask generation, RET, OPC, wafer inspection, defect classificationand metrology. Contact: James McKibben, Director BusinessDevelopment, [email protected].

MetroBoost #2081750 Halford Ave Ste 218, Santa Clara, CA, 95051408/243-1067; fax 408/[email protected]; www.metroboost.com

New Product: MetroChip - Microscope Calibration Target - 20mm x20mm x 0.75mm.

MetroBoost provides calibration wafers and analysis software formetrology and lithography in semiconductor manufacturing. Productsinclude 200-mm and 300-mm MetroCal patterned wafers for calibrationand periodic monitoring of critical dimension metrology tools and OverlayBooster, an overlay analysis software package for control andoptimization of scanner and stepper lithography tools. Contact: FaridAskary, President, [email protected].

Metrosol, Inc. #308

2101 Donley Dr #101, Austin, TX, 78758512/833-8750; fax 512/[email protected]; www.metrosol.com

New Product: SHORTY ES series short wavelength optical metrologysystems.

Ultra-thin film characterization via the only commercially availablesystems that measure in the VUV down to 120 nm—providing increasedsensitivity and crucial measurement data not observable at longerwavelengths. Decouple thickness, composition and other parameterinformation. Applications include: Fast and ultra-sensitivecharacterization of contamination on lenses, windows and in immersionfluids. Improved accuracy and sensitivity for optical properties at 193 nm,157 nm and below. Contact: Bill Stueve, Vice President Sales/Marketing,[email protected]; Henry Yeung, Business DevelopmentManager, [email protected].

General Refreshment Sponsor

Micro Lithography Inc. #9271257 Elko Dr, Sunnyvale, CA, 94089-2211408/747-1769; fax 408/747-1978www.mliusa.comMLI is featuring pellicles formulated to yield high rates of transmissionand long lifetimes for UV exposure. Our complete line of pellicle filmsranges from mid (g-line, i-line) to deep UV (193 nm, 248 nm). Framesare available in over 500 different sizes. We supply pellicles for LCDmasks. We can custom make anti-reflective coating (ARC) solutionsfor your photolithographic needs. Contact: Corbin Imai, SalesRepresentative, [email protected]; Dick Whitaker, SalesRepresentative, [email protected].

MicroChem Corp. #8021254 Chestnut St, Newton, MA, 02464-1418617/965-5511; fax 617/965-5818www.microchem.com

Microlithography World #72498 Spit Brook Rd, Nashua, NH, 03062-5737603/891-9253; fax 603/891-9290www.mliusa.comMicrolithography World is the only publication exclusively focused onthe widely diversified Advanced Lithography Industry.Microlithography is a quarterly publication of Solid State Technology.Contact: Marc Levenson, Editor-in-Chief, [email protected].

Micronic Laser Systems AB #426

Nytorpsvaqen 9, PO Box 3141, Taby, Sweden, S-183 0346 8638 5200; fax 46 8638 [email protected]; www.micronic.seMicronic provides semiconductor mask pattern generators rangingfrom half-micron technology down to the 45nm technology node. TheSigma7000 series uses Micronic´s unique writing strategy based onspatial light modulator (SLM) technology to meet 90-45nm technologyrequirements including advanced PSM applications. Micronic´sOmega6000 product line is based on multi-beam laser scanning. It isdesigned for cost-effective volume production of semiconductorphotomasks down to the 130nm technology node. Contact: DonMiller, Director Sales, [email protected].

Mitsui ChemicalsAmerica, Inc. #20082099 Gateway Pl Ste 260, San Jose, CA, 95110408/487-2889; fax 408/453-0684www.mitsuichemicals.com

New Product: Mitsui Photomask Pellicle.

Since 1986, Mitsui has been the industry leader in providing pelliclesto the semiconductor industry. Mitsui’s ISO 9001 certified full-automated plant produces Mitsui Pellicle, which transmits more than99% of exposed light with excellent uniformity and longevity. MitsuiPellicle, manufactured by rigorous selection of all materials and with15 years accumulated expertise of non-dust structure, contributes tomaximum production yields by eliminating pellicle related particlegenerations. Contact: Masanari Kitajima, General Manager,[email protected].

Page 22: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

20 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Exhibitor Directory

Molecular Imprints, Inc. #506

1807-C W Baker Ln Ste 100, Austin, TX, 78726512/339-7760; fax 512/[email protected]; www.molecularimprints.comMolecular Imprints, Inc. (MII) is a global developer and manufacturer ofnano-lithography systems for high resolution and for 3-dimensionalpattern replication. Contact: John Doering,[email protected].

n&k Technology, Inc. #10264051 Burton Dr, Santa Clara, CA, 95054-1585408/850-7300; fax 408/[email protected]; www.nandk.comn&k Technology, Inc., of Santa Clara, California, manufactures advancedmetrology tools for semiconductor, photomask, flat panel display anddata storage industries. The company’s high resolution opticalmetrology equipment are used for film thickness, n and k, phase shift,trench depth, CD and profile measurements. Contact: Allan Deyto,Account Manager, [email protected].

Nanometrics Inc. #1131550 Buckeye Dr, Milpitas, CA, 95035408/435-9600; fax 408/[email protected]; www.nanometrics.comSupplier of advanced integrated and standalone metrology equipment.Core products include film analysis (using broadband reflectometry andspectroscopic ellipsometry), optical CD (linewidth/profiling)measurement, overlay metrology systems for CMP, CVD, etch andlithography process monitoring. Nanometrics also offers advancedlattice metrology systems for specialized processes using strainedsilicon, SOI or epitaxial layers for high performance logic,optoelectronics and LED manufacturing.

Nanonex Corp. #4271 Deer Park Dr Ste O, Monmouth Junction, NJ, 08852732/355-1600; fax 732/[email protected]; www.nanonex.com

New Product: NXB100, NXB200, Ultra-100.

Nanonex NIL solution offers low-cost, high-throughput, large-areapatterning of 3D nanostructures with sub-10 nm resolution and accurateoverlay alignment. It also includes all forms of nanoimprinting, such asthermoplastic, uv-curable, thermal curable and direct imprinting(embossing). The Nanonex NIL solution can meet the needs of a broadspectrum of markets, such as optical devices, displays, data storage,biotech, semiconductor ICs, chemical synthesis and advancedmaterials. Contact: Larry Koecher, Chief Operating Officer,[email protected]; John Pong, Sales Director,[email protected].

National Institute of Standards &Technology #720MS 8101, 100 Bureau Dr, Gaithersburg, MD, 20899301/975-4000; fax 301/[email protected] in 1901, NIST is a non-regulatory federal agency within theU.S. Commerce Department’s Technology Administration. NIST’smission is to promote U.S. innovation and industrial competitiveness byadvancing measurement science, standards and technology in waysthat enhance economic security and improve our quality of life. NISThas an operating budget of about $858 million and operates in twolocations: Gaithersburg, MD. and Boulder, CO. Contact: StephenKnight, Director, Office of Microelectronics Programs,[email protected]; Jack Martinez, Senior Scientist, Office ofMicroelectronics Programs, [email protected].

New Focus, Inc. #618

2584 Junction Ave, San Jose, CA, 95134408/919-1500; fax 408/[email protected]; www.newfocus.comNew Focus™, a leader in the design and manufacture of photonicscomponents and subsystems, offers an extensive line of lasers,optomechanics, detectors and high-resolution actuators. Additionally,we engineer and manufacture integrated solutions for precision beamdelivery and metrology applications. Designed for the demands ofsemiconductor industry, products are assembled in class 100environments with materials qualified for use in DUV and EUVapplications. Contact: [email protected].

Nikon Precision Inc. #601

1399 Shoreway Rd, Belmont, CA, 94002-4105650/508-4674; fax 650/[email protected]; www.nikon.com

New Product: NSR-SF150: A scan field i-line stepper with ultrahigh throughput and low cost of ownership.

Nikon Corporation is a worldwide leader in lithography equipmentwith more than 7,800 exposure systems installed worldwide. Nikonoffers an extensive selection of production-class steppers andscanners that serve the microelectronics manufacturing industry.Nikon Precision provides service, training, technical support and salesand marketing for Nikon equipment in North America.

OBDUCAT AB #924PO Box 580, Malmoe, Sweden, 201 2546 40 36 2100; fax 46 40 36 21 [email protected]; www.obducat.com

New Product: Nanoimprint lithography for high volume massproduction (HVM).

Obducat is the leading supplier of lithography solutions for productionand replication of advanced micro- and nano structures for massreplication as well as for R&D purposes. Our Nanoimprint lithographytools are used in a variety of applications including: Storage media,photonic devices, sensors, MEMS/NEMS and Bio-Medical devicesand semiconductors. Obducat’s Electron Beam Lithography Systemsemploy a unique radial stage well suited for information storageapplications. Contact: Kenneth Mason, Business DevelopmentManager North America, [email protected]; Obducat SalesDepartment, [email protected].

Olympus Micro Imaging #209One Corporate Dr, Orangeburg, NY, 10962866/642-4725; fax 800/[email protected]; www.olympusindustrial.comOlympus Industrial now provides seamless integration of digitalimaging solutions using the Discover series of digital cameras andimage analysis software. In addition, Olympus continues to provide itsworld-class line of materials research microscopes as well as a newselection of metrology tools that provide three dimensionmeasurements. Olympus continues to strive to be the premier supplierof optical imaging and metrology tools for the material industry.Contact: Matt Smith, Director of Micro Imaging Marketing,[email protected]; Joel Young, Vice President SalesMicro Imaging Division, [email protected].

Page 23: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 21

Exhibitor Directory

OnWafer Technologies, Inc. #2045627 Gibraltar Dr Ste 200, Pleasanton, CA, 94588925/416-3100; fax 925/[email protected]; www.onwafer.comOnWafer Technologies is the pioneer and global leader of ProcessZone Control segment within the Metrology market. Applicationscurrently target photolithography and plasma etch processes and willultimately extend to a broad range of mission-critical processtechnologies. OnWafer’s “mobil metrology” solutions feature wireless,wafer-based sensors, software and optimization programs to monitor,measure and dynamically tune process results. Founded in 2000.Privately owned. Contact: [email protected].

ORC Manufacturing Co., Ltd.#62017835 Sky Park Cir Ste B, Irvine, CA, 92614949/852-1551; fax 949/[email protected]; www.orc.co.jp

New Product: Excimer Lamps. Stepper Lamps.

ORC has been “supplying industry with light” for over half a centuryas a manufacturer of specialized light sources and equipment. Ourleading edge optoelectronics technologies, products and systemsplay major roles in the fields of semiconductor, nanotechnology, liquidcrystal display and printed circuitry. ORC also manufactures powersupplies, integration units, irradiance meters, lamp houses andcomplete sub-assemblies for various UV applications. Contact: NeilNakao, General Manager, [email protected].

Osram Sylvania Inc. #902Display Optics Div., 100 Endicott St, Danvers, MA, 01923978/777-1900; fax 978/750-2089www.sylvania.comOSRAM’s product range for the Semiconductor industry includes lowwattage Mercury and Xenon short arc lamps for mask alignment,wafer inspection and microscopy. High wattage Mercury short arclamps (i-line and g-line) for most Lithography tools used in theSemiconductor industry. High wattage Mercury/Xenon lamps for LCDand PCB manufacturing. Infrared halogen lamps 200-3000 watts forannealing, surface treatment and process heating (RTP). Contact:David Dorman, Product Marketing Manager, Semiconductor,[email protected].

Pall Corp. #2072200 Northern Blvd, East Hills, NY, 11548516/484-5400; fax 516/[email protected]; www.pall.com

New Product: 0.04um Asymmetric P-Nylon filter: Low pressuredrop for higher viscosity applications.

Pall Microelectronics provides a complete line of filtration/ purificationproducts for lithography applications. The PhotoKleen EZD-3Lassembly is designed for the latest generation photoresist dispensesystems by providing safe and simple filter change-outs. TheAsymmetric P-Nylon filter provides proven 193nm and BARC defectreducing performance. Contact: Michael Mesawich, Vice PresidentMarketing, [email protected]; Don Stevens Jr., VicePresident Sales, [email protected].

Particle Measuring Systems #8075475 Airport Blvd, Boulder, CO, 80301800/238-1801; fax 303/[email protected]; www.pmeasuring.com

New Product: AirSentry II Gas Analyzer.

Detect optical contamination before costly damage occurs. Contact:Ed Terrell, Sales Manager, [email protected].

Photonics Spectra #408Berkshire Common, 2 South St, Pittsfield, MA, 01201413/499-0514; fax 413/[email protected]; www.photonics.comPhotonics Spectra is the leading photonics magazine serving industriesthat use photonic technology: Lasers, imaging, fiber optics, optics,electro-optics and photonic component manufacturing. It presents thelatest news articles and in-depth reports on photonics technology. It isdistributed free to those who use or apply photonics. Contact: RonSherwood, Regional Manager, [email protected];Nancy Lamontagne, Managing Editor, [email protected].

Photronics, Inc. #20215 Secor Rd, Brookfield, CT, 06804-3972203/775-9000; fax 203/740-5618www.photronics.comPhotronics is a leading worldwide manufacturer of photomasks andreticles for use in the manufacture of semiconductors, flat paneldisplays, and other types of electrical and optical components.Photronics services its customers at strategically locatedmanufacturing facilities in Asia, Europe, and North America. Additionalinformation on the Company can be accessed at www.photronics.com.Contact: Mike McCarthy, VP, Investor Relations and Communications,[email protected].

Pixer Technology Ltd. #110944 Maale Camon, Karmiel, Israel, 21613972 4 908 8600; fax 972 4 908 8666www.pixertech.com

New Product: CDC101 -on-the-fly high-resolution CriticalDimensions Control for mask makers and IC Manufacturers.

Pixer is a supplier of semiconductor capital equipment, focusing oninnovative solutions that improve IC manufacturers and mask makersoverall yield. It provides both with solutions to correct, improve andselectively optimize the photolithography masks that are used toimprint an IC design onto wafers in the manufacturing process. Pixerdevelops and manufactures highly integrated systems based on itsinnovative optical laser technologies. The company is based in Karmiel,Israel. Contact: Gidi Gottlieb, Director of Marketing,[email protected]; Bill Turnquist, Vice President Sales,[email protected].

Pozzetta Products, Inc. #4073219 S Platte River Dr, Englewood, CO, 80110303/783-3161; fax 303/761-8625www.pozzetta.com

New Product: Several new products & services designed to protectagainst particles, ESD, outgassing & high costs.

Companies around the world trust Pozzetta to create secureenvironments for the handling, storage and transport of photomasksand wafers. Pozzetta will protect your valuable products from particles,ESD damage, outgassed components and high costs. Contact: ScottReese, Sales - US, [email protected]; Natalie Marshall, Sales -UK & Europe, [email protected].

Page 24: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

22 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Exhibitor Directory

QueensgateInstruments Ltd. #1103Woodland Rd, Torquay, United Kingdom, TQ2 7QY44 1803 407865; fax 44 1803 [email protected]; www.nanopositioning.com

New Product: SPNS 1100 is a single channel, high performancelinear displacement measurement system.

We provide nanopositioning and sensing solutions for high technologyindustries. Over 70% of sales are custom designs of performancecritical components for multi-national corporations based in the USA,Europe and Japan. Products include flexure guided nanomechanisms, open and closed loop stages, capacitance positionsensors and interferometers for metrology applications. Mechanicalengineering and nanotechnology. Contact: Robert Ching, SalesDirector, [email protected]; David Daymond, Sales Coordinator,[email protected].

Raith USA, Inc. #5072805 Veterans Hwy Ste 23, Ronkonkoma, NY, 11779631/738-9500; fax 631/[email protected]; www.raithusa.com

New Product: The unique ionLiNE will produce exciting results fornanolithography, fabrication and engineering.

Raith offers a variety of lithography products for research anddevelopment. These products range from attachments for SEMs andFIBs to complete turnkey systems with full wafer and mask handlingcapabilities. We offer a range of Electron Beam Lithography systemsas well as our new ionLiNE Ion Beam Lithography system. Raithcelebrated our 25th year in 2005 with worldwide operations and over700 installations. Our products are designed and built with expertengineering in Dortmund, Germany. Contact: Brian Whitehead, SalesEngineer, [email protected].

RAVE LLC #220

430 S Congress Ave Ste 7, Delray Beach, FL, 33445561/330-0411; fax 561/330-0647www.ravenano.com

New Product: RAVE LLC manufactures and distributes defectrepair systems for all photomask types.

The nm450 Photomask Repair System employs proprietarynanomachining technology, providing advanced photomask defectrepair in support of the ITRS 45nm node and beyond. Uniqueapplications include precision removal of Quartz and “Soft”contaminant defects, plus the precise removal of EUV, MoSi, BinaryChrome and Carbon Patch Defects. The fp650 PhotomaskFemtosecond Laser Repair System provides the optimumcombination of leading edge design rule support, through pelliclerepair, large and small area image reconstruction and low cost ofownership mask repair. Contact: David Lee,[email protected].

Renishaw Inc. #10095277 Trillium Blvd, Hoffman Estates, IL, 60192847/286-9953; fax 847/[email protected]; www.renishaw.com

New Product: New fiber-launched high stability RLE20 differentiallaser interferometer feedback system.

Renishaw’s range of precision optical, magnetic and laser encodersare famous for their innovative design, high accuracy, high speed andreliability and have become the established choice for many industrialapplications. Contact: Howard Salt, Business Manager EncoderSystems, [email protected]; Jeff Selega, MarketingManager, [email protected].

Rohm and HaasElectronic Materials #501Microelectronic Technologies, 455 Forest St, Marlboro, MA, 01752-3902800/832-6200; fax 508/480-0853www.rohmhaas.comRohm and Haas Electronic Materials is a global technology leaderfocused on the semiconductor manufacturing, circuit board andadvanced packaging industries; our products and technologies areintegral elements in electronic devices. Our MicroelectronicTechnologies products include g-Line, i-Line, DUV and 193 nmphotoresists, anti-reflectants, developers, electroplating chemistries,advanced removers and Metalorganics that drive advances inlithography and device performance. Contact: Raj Saini, SalesAdministration, [email protected].

RSoft Design Group, Inc. #718

400 Executive Blvd Ste 100, Ossining, NY, 10562914/923-2164; fax 914/[email protected]; www.rsoftinc.com

New Product: DiffractMOD 2.0.

RSoft is the worldwide leader in photonics design automationsoftware and serves several industries including opticalcommunication, optoelectronics and semiconductor manufacturing.Within optical communications, RSoft is the only company to providea full range of design, optimization and planning tools. RSoft alsoprovides award-winning design tools for optoelectronics componentsand subsystems as well as advanced electromagnetic modelingsoftware for optical metrology and lithography. Contact: ZhengyuHuang, Vice President Sales and Business Development,[email protected]; Carl Klinges, Sales Manager,[email protected].

Rudolph Technologies #519One Rudolph Rd, Flanders, NJ, 07836973/691-1300; fax 973/[email protected]; www.rudolphtech.comA worldwide leader in the design, development, manufacture andsupport of high-performance process control metrology, defectinspection and data analysis systems used by semiconductor devicemanufacturers, Rudolph provides a full-fab solution through itsfamilies of proprietary products that provide critical yield-enhancinginformation, enabling microelectronic device manufacturers to drivedown costs.

Page 25: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 23

Exhibitor Directory

SAES Pure Gas, Inc. #2224175 Santa Fe Rd, San Luis Obispo, CA, 93401805/541-9299; fax 805/[email protected]; www.puregastechnologies.com

New Product: Indroducing our new MicroTorr line. New sizes andcapabilities for point of use gas purification.

SAES Pure Gas, Inc., a member of the SAES Getters Group, is theworld leader in gas purification technology. The SAES Pure GasMicroTorr and MonoTorr Point of Use purifiers are offered in a widerange of sizes to meet individual flow and purity requirements. SAESPure Gas purifiers are engineered for simplified installation,maintenance-free operation and have the backing of over 60 years ofexpertise in getter technologies by the SAES Getters Group. Contact:Greg Perry, Inside Sales, [email protected].

Sagantec #110846485 Landing Pkwy, Fremont, CA, 94539510/360-5200; fax 510/[email protected]; www.sagantec.comDFM-Fix™ corrects IC physical design and optimizes it for the bestlithography performance and manufacturing yield. With its silicon-proven layout optimization technology, Sagantec tools accelerateconvergence between design and manufacturing providing the fastestpath to 65nm, 45nm and 32nm implementation. Sagantec productsenable physical design reuse, improved manufacturability and yield ofboth digital and mixed-signal designs in advanced technology nodes.Contact: Richard Gary, Vice President Sales, [email protected];Alok Mehrotra, Country Manager Asia-Pacific Sales,[email protected].

SAGEM SA #107Avenue de la Tour Maury, St. Pierre du Perray, France, F-9128033 1 69 89 72 00; fax 33 1 69 89 72 20www.sagem.com

Semiconductor InternationalMagazine #5242000 Clearwater Dr, Oak Brook, IL, 60516630/288-8000; fax 630/[email protected]; www.semiconductor.netSemiconductor International is the world’s leading trade publicationserving the semiconductor manufacturing industry. Print and digitaleditions reach 42,500 qualified buyers of semiconductormanufacturing equipment and materials around the world. Additionalproducts include Semiconductor Packaging, SemiSource,Semiconductor International Product Showcase, SemiconductorInternational Japan, Semiconductor International China, electronicnewsletters and the website, www.semiconductor.net. Contact: JohnBold, Publisher, [email protected]; Pete Singer, Editor-in-Chief, [email protected].

SensArray Corp. #6075451 Patrick Henry Dr, Santa Clara, CA, 95054-1167408/986-5600; fax 408/[email protected]; www.sensarray.com

New Product: The newest generation of Integral Wafer.

SensArray is the leading provider of wafer level process metrology forsemiconductor manufacturing. SensArray products acquire, displayand utilize actual process response data to monitor, control, diagnoseand optimize processes for the IC and photomask manufacturing.Utilizing SensArray solutions benefit with increased performance andimprove control of critical parameters during semiconductormanufacturing processes. Our mission is for you to know the truthabout your process.

Poster Reception Sponsor

Shin-Etsu MicroSi, Inc. #111310028 S 51st St, Phoenix, AZ, 85044-5203480/893-8898; fax 408/[email protected]; www.microsi.comShin-Etsu MicroSi, Inc., together with our parent company Shin-EtsuChemical Co., Ltd. represents world-class leadership in thedevelopment and manufacture of specialty materials for thesemiconductor industries. Our product lines are specifically designedto address today’s photolithography and packaging requirements.Contact: Edwin Nichols, Product Marketing Manager,[email protected].

Sokudo USA, LLC #8213303 Scott Blvd M/S 10856, Santa Clara, CA, 95052408/496-8053; fax 408/496-8095www.sokudo.comSOKUDO Co., Ltd. is a joint venture company established betweenDainippon Screen Mfg. Co., Ltd., and Applied Materials, Inc., for theadvancement of semiconductor coat/develop track equipment.The word “SOKUDO” in Japanese translates as “SPEED” in English.True to our company name, our goal is to become our customer’scoat/develop track supplier of choice through accelerated productdevelopment.

Solid State Technology #72498 Spit Brook, Rd, Nashua, NH, 03062603/891-9253; fax 603/891-9290www.solid-state.comSolid State Technology, in its 50th year of publication, providesleading coverage online and in print of the latest process, equipmentand materials technologies for the worldwide semiconductormanufacturing industry. Our mission is to spark ideas within ourreaders, as well as provide them with innovative solutions for the ever-changing semiconductor marketplace. Our expanded, media-richSolid State Technology International Media Network. For moreinformation visit www.solid-state.com. Contact: Paul Weingartner,Regional Sales Manager, [email protected]; Kristine Collins,Regional Sales Manager, [email protected].

Page 26: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

24 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

SPIE Industry Resources #100PO Box 10, Bellingham, WA, 98227360/676-3290; fax 360/647-1445http://spie.orgSPIE provides valuable resources to industry that support yourmarketing, R&D, training and recruiting efforts. For example,exhibitions, advertising and sponsorships increase visibility of yourorganization and help you educate the market about your offerings.Access to scientific and engineering information published in the SPIEDigital Library and on the SPIE Newsroom help your R&D team todiscover the innovations and breakthroughs that lead to successfulnew products. Leadership in this fast-evolving, knowledge-drivenenvironment requires continuous learning and education of technicalstaff to retain your competitive advantage, making SPIE In-CompanyTraining invaluable. And when growth drives demand for new skilledemployees, the SPIEWorks job site is the place to find the specializedtalent you want to hire.

Star Tech Instruments #1003

203/[email protected]; www.startechinst.comStar Tech Instruments manufactures energy probes and imagingsensors for the XUV and DUV spectral range. Contact: W. Fricke,[email protected].

Steinmeyer, Inc. #1105217 Middlesex Tpke, Burlington, MA, 01803781/273-6220; fax 781/273-6602www.steinmeyer.comSteinmeyer has been manufacturing precision ball screws since 1965and has established a global reputation for reliability andperformance. At Steinmeyer, we’re also dedicated to the design andengineering of precision linear and rotary stages. These compactproducts are manufactured by our FMD division, located in Dresdenand boast some of the lowest runout accuracies available. Toparaphrase our motto we would like to “welcome you to whereprecision is”!

Sumika ElectronicMaterials, Inc./SumitomoChemicals Co. Ltd. #4003832 E Watkins St, Phoenix, AZ, 85034602/659-2500; fax 602/[email protected]; www.sumikamaterials.comSumika Electronic Materials, a U.S. subsidiary of Sumitomo ChemicalCompany Ltd., is a leading supplier of advanced photoresists, EBRsolvents, post CMP cleaners and Aluminum targets. Sumitomolithography related products include leading edge 193nm, 248nm, i-line and e-beam photoresists designed exclusively to meet customerspecific applications.

SUSS MicroTec Inc. #824228 Suss Dr, Waterbury Center, VT, 05677802/244-5181; fax 802/[email protected]; www.suss.comSUSS MicroTec is a global supplier of production and test equipmentfor markets including Advanced Packaging, MEMS, Nanotechnology,Compound Semiconductor, Silicon-On-Insulator and 3D Interconnect.Producers of Mask Aligners, Spin & Spray Coaters, Wafer & DeviceBonders as well as Test Systems. Our commitment to superiorperformance, cost effective solutions and high levels of customizationhave made SUSS equipment both market and technology leadersthroughout the world. Contact: Carol Menard, Marketing Specialist,[email protected]; Louise Jones, Marketing Specialist,[email protected].

DPT Panel SponsorShow Bag Sponsor

Synopsys, Inc. #813

700 E Middlefield Rd, Mountain View, CA, 94043-4024650/584-5000; fax 650/[email protected]; www.synopsys.comSynopsys offers the most comprehensive Design for Manufacturing(DFM) solution for current and advanced technology nodes enablingcustomers to reach 45nm and beyond. Our Manufacturing YieldManagement solutions extend into the fab, providing real-time accessto yield data and the analysis capability to reduce defects. Thissystematic approach to DFM makes intelligent use of data throughoutthe flow, so designs at 45nm and advanced geometries achieveentitled yield. Visit us in booth #813. Contact: J. Tracy Weed,[email protected]; Srinivas Raghvendra, [email protected].

SynQuest Laboratories, Inc. #406PO Box 309, Alachula, FL, 32616-0309877/425-8676; fax 386/[email protected]; www.synquestlabs.com

New Product: Fluorochemicals.

SynQuest is a leading supplier of fluorinated intermediates for thepharmaceutical, electronics, materials and gas industries. SynQuestoffers a comprehensive range of fluorine-containing building blocks,biochemicals, monomers, chiral intermediates and gases from gramsto tons. We offer custom synthesis and contract research servicesand can take projects from laboratory concept through full scaleproduction with our parent company, Central Glass of Japan. Contact:Michio Ishida, Director, [email protected].

Technical ManufacturingCorp. #1010

15 Centennial Dr, Peabody, MA, 01960978/532-6330; fax 978/531-8682www.techmfg.com

New Product: Mag-NetX Magnetic Field Cancellation System.

TMC manufactures a complete line of active and passive vibrationisolation systems. STACIS® is the world’s most advanced vibrationisolation system which provides piezoelectric vibration cancellationwith an active bandwidth from 0.3 Hz to 250 Hz. Electro-Damp II is anactive vibration isolation system incorporating pneumatic vibrationisolation with linear motor feedback and feed forward damping toenhance vibration isolation and quickly settle payload disturbancesincluding XY stage forces. Contact: Sales, [email protected].

Exhibitor Directory

Page 27: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 25

The Precision Alliance #8081171 Market St Ste 111, Fort Mill, SC, 29708803/396-5544; fax 803/[email protected]; www.tpa-us.comThe Precision Alliance is a North American and European sales &marketing alliance between a few, relatively small companies thathave aligned themselves to provide a complete miniature productoffering to the automation industry. TPA was established to answerthe ever-increasing demand for higher precision and lower costs. Ourcombined product offering provides designers with the widest varietyof cost effective positioning solutions from a single source. Contact:Todd Kanipe, Sales Manager, [email protected]; Dennis Barnes,President, [email protected].

Timbre Technologies, Inc.a TEL Company #201

2953 Bunker Hill Ln #301, Santa Clara, CA, 95054408/200-1400; fax 408/[email protected]; www.timbrecom.com

New Product: Timbre’s newest ODP release expands metrologycapability for advanced production control.

Timbre Technologies’ ODP (Optical Digital Profilometry) solutionprovides advanced profile metrology to meet the demandingrequirements of CD process control for the 65nm node and below.This production-proven scatterometry solution provides CDs, profileand film stack information in one single package. ODP usesbroadband light to provide non-destructive, high-speed, detailedprofiles for a wide range of complex 2D and 3D applications for waferand mask metrology.

Nanotechnology Group Panel Sponsor

Tokyo Ohka KogyoAmerica, Inc. #913190 Topaz St, Milpitas, CA, 95035408/956-9901; fax 408/[email protected]; www.tok.co.jpContact: Natalie King, [email protected].

Lunch Sponsor

Toppan #712

131 Old Settlers Blvd, Round Rock, TX, 78664512/310-6500; fax 512/[email protected]; www.photomask.comTogether, Toppan Printing, TCE and Toppan Photomasks provide abroad array of technology options to fit the imaging needs of anyfabless, foundry or IDM company. Our global network of sales offices,data centers and manufacturing sites provide local service andsupport for every step of the photomask production process. Contact:Don Needham, Sales, [email protected].

Transfer Devices, Inc. #825500 Laurelwood Rd Ste 11, Santa Clara, CA, 95054408/[email protected]; www.transferdevices.com

USHIO America, Inc. #3015440 Cerritos Ave, Cypress, CA, 90630714/236-8600; fax 714/[email protected] ; www.ushiosemi.comUSHIO, the leading specialty lighting manufacturer of energy sourcesfor Photolithography, low-k curing, RTP, EPI, CVD, PVD andinspection applications. USHIO, known as the industry benchmark forquality, service and innovative technologies, remains thesemiconductor manufacturer’s choice for light energy since the dawnof the industry. USHIO continues to support and create new productsand applications, improve existing process productivity; all with anenvironmentally conscious direction. Contact: David Guidry, SeniorSales Manager - Semiconductor.

Veeco Instruments #920

100 Sunnyside Blvd, Woodbury, NY, 11797516/677-0200; fax 513/[email protected]; www.veeco.comVeeco is a worldwide leader in precise non-destructive 3D metrologyand low defect density deposition for the semiconductor andPhotomask industry. The Dimension X3D is a non-destructive,accurate 3D profile metrology tool for the control of advancedphotoresist and etch processes enabling metrology of LER/LWR,SWR and accurate 3D profile metrology not currently met by existingCD techniques. The Nexus LDD-IBD is a high performance,production worthy deposition system for next generation Photomaskapplications.

Vistec SemiconductorSystems Inc. #112148073 Fremont Blvd, Fremont, CA, 94538-6541510/623-3000; fax 512/[email protected]; www.vistec-semi.com

New Product: Vistec IPRO4 Pattern Placement Metrology,LWM9045 Photomask CD SEM.

Vistec Semiconductor Systems Inc. offers a wide range of lithography,metrology and inspection products for both wafers and photomasks.Products include Shaped Beam Electron Beam Lithography systemsfor photomask and direct write applications as well as Gaussian BeamElectron Beam Lithography systems for nano-lithography. Vistec alsooffers the INS3300 and LDS3300 which are micro and macro defectreview systems for wafer inspection applications. Contact: JohnWhittey, Global Sales Director - Photomask Products,[email protected]; Maarten Kramer, Vice PresidentNorth American Sales, [email protected].

VLSI Standards, Inc. #11233087 N First St, San Jose, CA, 95134-2006408/428-1800; fax 408/[email protected]; www.vlsistd.com

New Product: NanoCD Line Width and Nanolattice PitchCalibration Standards Photomask handling CD-AFMs & CD-SEMs

See VLSI Standards for all of your Advanced Lithography calibrationrequirements. Line Width Standards down to 25 nm. Pitch Standardsdown to 100 nm. Come ask VLSI Standards about all of our newproduct offerings. Contact: Marc Helvey, Worldwide Sales,[email protected].

Exhibitor Directory

Page 28: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

26 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Exhibitor Directory

Wacom Corp. #1007146 Red Schoolhouse Rd, Spring Valley, NY, 10977845/735-1303; fax 845/[email protected]

New Product: Super High Intensity Lamps.

WACOM, serving the semiconductor industry since 1971, is a leadingmanufacturer of photolithography lamps. WACOM is known for havingsome of the most technically advanced lamps in the industry, withlong-life, high intensity types, along with an extensive array of sizesand applications. We are committed to providing the most completequality and service to our customers now and in the years to come!Contact: Al Ruggiero, Director Sales and Engineering.

XEI Scientific, Inc. #10111755 E Bayshore Rd Ste 17, Redwood City, CA, 94063650/369-0133; fax 650/[email protected]; www.evactron.comXEI Scientific supplies the Evactron® De-Contaminator to the ElectronMicroscope community. This highly effective tool removes organicsand hydrocarbons from vacuum chambers and specimens to preventcontamination build-up. The Evactron De-Contaminator uses a smallRF plasma to create oxygen radicals from air to oxidize organics andremove them as H2O and CO vapors. It is effective and easy to installon SEMs and FIBs. Contact: Vincent Carlino, Global Sales Director;Ronald Vane, President, [email protected].

Yield EngineeringSystems, Inc. #706

2185 Oakland Rd, San Jose, CA, 95131-1578408/954-8353; fax 408/[email protected]; www.yieldengineering.com

New Product: YES-1224P CVD system is enhanced with plasma,combining two processes into one.

Yield Engineering Systems (YES) manufactures engineering processcontrol equipment, including high temperature cure ovens, chemicalvapor deposition (CVD) systems and plasma etching tools. YESequipment is used for precise surface modification and thin filmcoating of semiconductor wafers and NEMS devices. Applicationsinclude resist processing, low-k dielectric repair, carbon nanotubeadhesion and nanoscale patterning for CMOS. Contact: Lori Cantrell,Vice President Sales & Marketing, [email protected].

Zygo Corp. #803

21 Laurel Brook Rd, Middlefield, CT, 06455-0448860/347-8506; fax 860/[email protected]; www.zygo.comZygo Corporation is a worldwide developer and supplier of high-performance metrology instruments and systems, high-precisionoptics, optical assemblies and automation for the semiconductor andindustrial markets. ZYGO’s products raise production yields andproductivity for our customers, thereby increasing their profits andenabling their success. Our status as an industry leader is due tocontinuous innovation, technology implementation and a closeworking relationship with our customers. Contact: Robert Ham,[email protected]; William Raynak, [email protected].

Page 29: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 27

Page 30: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

28 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Product Categories

Abrasives, Chemicals &Process Materials

AZ Electronic MaterialsUSA Corp

Brewer ScienceCMPC Surface FinishesCyantek Corp.Eastman Chemical Co.Invarium, Inc.JSR Micro, Inc.Pall Corp.Rohm and Haas

Electronic Materials

Cameras and CCDComponents

Digital Optics Corp.Invarium, Inc.

Chemical & BiologicalSensing

Invarium, Inc.SynQuest Laboratories,

Inc.

Clinical, Chemical andBiologicalInstrumentation

CMPC Surface FinishesInvarium, Inc.

Communications

CMPC Surface FinishesDigital Optics Corp.Invarium, Inc.RSoft Design Group, Inc.Synopsys, Inc.

Displays

CMPC Surface FinishesCorning Inc.Invarium, Inc.Media Lario TechnologiesOBDUCAT AB

Distributor or Reseller

Invarium, Inc.Mitsui Chemicals

America, Inc.The Precision Alliance

Electron-BeamLithography

Abeam TechnologiesAerotech, Inc.Air Products and

Chemicals, Inc.Anchor Semiconductor

Inc.ASMLBrewer ScienceInvarium, Inc.KLA-Tencor Corp.OBDUCAT ABRaith USA, Inc.SAES Pure Gas, Inc.Sumika Electronic

Materials, Inc./Sumitomo ChemicalsCo. Ltd.

Synopsys, Inc.Vistec Semiconductor

Systems Inc.

Electronic ImagingComponents,Equipment, Systems

Aerotech, Inc.CMPC Surface FinishesInvarium, Inc.Media Lario TechnologiesMercury Computer

Systems, Inc.ORC Manufacturing Co.,

Ltd.SAES Pure Gas, Inc.The Precision Alliance

Electronics, SignalAnalysis Equipment

Abeam TechnologiesInvarium, Inc.SAES Pure Gas, Inc.

EUV Lithography

Aerotech, Inc.Air Products and

Chemicals, Inc.Anchor Semiconductor

Inc.ASMLCymer, Inc.Energetiq Technology,

Inc.EV Group Inc.Gudeng Precision

Industrial Co., Ltd.International Radiation

Detectors, Inc.Invarium, Inc.

JCMwave GmbHKLA-Tencor Corp.Media Lario TechnologiesMicronic Laser Systems

ABNational Institute of

Standards &Technology

Nikon Precision Inc.ORC Manufacturing Co.,

Ltd.RSoft Design Group, Inc.SAES Pure Gas, Inc.Sumika Electronic

Materials, Inc./Sumitomo ChemicalsCo. Ltd.

SUSS MicroTec Inc.Synopsys, Inc.

Fiber Optic Components,Equipment, Systems

Aerotech, Inc.Digital Optics Corp.Invarium, Inc.Mercury Computer

Systems, Inc.Renishaw Inc.RSoft Design Group, Inc.SAES Pure Gas, Inc.

Finished Optics, Filters,& Coatings, OpticalFabrication Equipment

Aerotech, Inc.CMPC Surface FinishesCorning Inc.CUNO Inc.CVI Laser LLCInvarium, Inc.LINOS Photonics, Inc.Media Lario TechnologiesSAES Pure Gas, Inc.Zygo Corp.

High Speed Imaging andSensing

Invarium, Inc.KLA-Tencor Corp.Mercury Computer

Systems, Inc.SAES Pure Gas, Inc.

Illumination Engineering

Digital Optics Corp.Invarium, Inc.JCMwave GmbHMedia Lario TechnologiesSAES Pure Gas, Inc.

Infrared Sources,Detectors, Systems

Digital Optics Corp.Eastman Chemical Co.Invarium, Inc.Mercury Computer

Systems, Inc.Osram Sylvania Inc.SAES Pure Gas, Inc.

Ion-Beam Lithography

Aerotech, Inc.Air Products and

Chemicals, Inc.ASMLInvarium, Inc.Raith USA, Inc.SAES Pure Gas, Inc.SUSS MicroTec Inc.Synopsys, Inc.

Lasers and Other LightSources, LaserAccessories, LaserSystems

Cymer, Inc.Energetiq Technology,

Inc.Invarium, Inc.KLA-Tencor Corp.Media Lario TechnologiesOsram Sylvania Inc.Renishaw Inc.SAES Pure Gas, Inc.Wacom Corp.

Metrology, Inspectionand Process Control

Abeam TechnologiesAerotech, Inc.Anchor Semiconductor

Inc.Applied MaterialsBrion Technologies, Inc.CMPC Surface FinishesCorning Inc.Digital Optics Corp.Donaldson Co., Inc.Energetiq Technology,

Inc.Foothill Instruments, LLCHitachi High

Technologies America,Inc.

Invarium, Inc.J.A. Woollam Co.JCMwave GmbHKLA-Tencor Corp.

Lasertec U.S.A., Inc.Media Lario TechnologiesMetroBoostMetrosol, Inc.n&k Technology, Inc.Nanometrics Inc.National Institute of

Standards &Technology

Nikon Precision Inc.OnWafer Technologies,

Inc.ORC Manufacturing Co.,

Ltd.Particle Measuring

SystemsRaith USA, Inc.Renishaw Inc.RSoft Design Group, Inc.Rudolph TechnologiesSAES Pure Gas, Inc.SensArray Corp.Steinmeyer, Inc.Synopsys, Inc.Timbre Technologies, Inc.

a TEL CompanyVistec Semiconductor

Systems Inc.VLSI Standards, Inc.Zygo Corp.

Nanotechnology

Abeam TechnologiesAerotech, Inc.Brewer ScienceCMPC Surface FinishesCymer, Inc.Donaldson Co., Inc.EV Group Inc.Gudeng Precision

Industrial Co., Ltd.Hitachi High

Technologies America,Inc.

Invarium, Inc.JCMwave GmbHMolecular Imprints, Inc.Nanonex Corp.OBDUCAT ABORC Manufacturing Co.,

Ltd.Pall Corp.Queensgate Instruments

Ltd.Renishaw Inc.RSoft Design Group, Inc.SAES Pure Gas, Inc.Steinmeyer, Inc.

Page 31: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 29

Product Categories

Synopsys, Inc.Vistec Semiconductor

Systems Inc.Yield Engineering

Systems, Inc.

Non-Optical Lithography

Abeam TechnologiesAerotech, Inc.Air Products and

Chemicals, Inc.Invarium, Inc.Nanonex Corp.SAES Pure Gas, Inc.

Optical Components

Abeam TechnologiesCMPC Surface FinishesCorning Inc.Digital Optics Corp.Invarium, Inc.KLA-Tencor Corp.LINOS Photonics, Inc.Media Lario TechnologiesOBDUCAT ABOlympus Micro ImagingPozzetta Products, Inc.RSoft Design Group, Inc.SAES Pure Gas, Inc.The Precision AllianceUSHIO America, Inc.

Optical Detectors

Eastman Chemical Co.International Radiation

Detectors, Inc.Invarium, Inc.Star Tech Instruments

Optical Fibers

Invarium, Inc.RSoft Design Group, Inc.SAES Pure Gas, Inc.

Optical Materials andSubstrates

Applied MaterialsCMPC Surface FinishesCorning Inc.Inko Industrial Corp.Invarium, Inc.Mitsui Chemicals

America, Inc.

National Institute ofStandards &Technology

Pozzetta Products, Inc.Rohm and Haas

Electronic MaterialsSAES Pure Gas, Inc.

Optical Test andMeasurementEquipment,Interferometer

ASMLCMPC Surface FinishesCorning Inc.Foothill Instruments, LLCInternational Radiation

Detectors, Inc.Invarium, Inc.J.A. Woollam Co.KLA-Tencor Corp.Mercury Computer

Systems, Inc.National Institute of

Standards &Technology

Particle MeasuringSystems

Renishaw Inc.Star Tech InstrumentsSynopsys, Inc.VLSI Standards, Inc.Zygo Corp.

Optical/LaserMicrolithography

Abeam TechnologiesAerotech, Inc.Anchor Semiconductor

Inc.ASMLCorning Inc.Digital Optics Corp.Donaldson Co., Inc.Invarium, Inc.JCMwave GmbHKLA-Tencor Corp.Micro Lithography Inc.Micronic Laser Systems

ABNikon Precision Inc.Osram Sylvania Inc.Pixer Technology Ltd.RSoft Design Group, Inc.SAES Pure Gas, Inc.Synopsys, Inc.

Optics Manufacturing

Aerotech, Inc.ASMLCMPC Surface FinishesCorning Inc.Digital Optics Corp.Invarium, Inc.LINOS Photonics, Inc.SAES Pure Gas, Inc.Synopsys, Inc.Vistec Semiconductor

Systems Inc.

Photonics EquipmentManufacturer

Invarium, Inc.OBDUCAT ABRenishaw Inc.SAES Pure Gas, Inc.The Precision AllianceVistec Semiconductor

Systems Inc.

Positioning Equipment

Aerotech, Inc.CMPC Surface FinishesInvarium, Inc.Queensgate Instruments

Ltd.Renishaw Inc.Steinmeyer, Inc.SUSS MicroTec Inc.The Precision Alliance

Resist Technology andProcessing

Abeam TechnologiesApplied MaterialsBrewer ScienceCyantek Corp.CyberOptics

SemiconductorDigital Optics Corp.Energetiq Technology,

Inc.Invarium, Inc.JSR Micro, Inc.KLA-Tencor Corp.Nanonex Corp.OBDUCAT ABPall Corp.Rohm and Haas

Electronic Materials

SAES Pure Gas, Inc.Sokudo USA, LLCSumika Electronic

Materials, Inc./Sumitomo ChemicalsCo. Ltd.

Synopsys, Inc.Tokyo Ohka Kogyo

America, Inc.Yield Engineering

Systems, Inc.

Sensor & SensorSystems

CyberOpticsSemiconductor

Digital Optics Corp.International Radiation

Detectors, Inc.Invarium, Inc.National Institute of

Standards &Technology

Renishaw Inc.RSoft Design Group, Inc.SensArray Corp.Star Tech Instruments

Services andPublications (IncludingProfessional Societies)

Invarium, Inc.KLA-Tencor Corp.Photonics SpectraSemiconductor

International Magazine

Software

Abeam TechnologiesAnchor Semiconductor

Inc.ASMLCadence Design

Systems, Inc.Invarium, Inc.JCMwave GmbHKLA-Tencor Corp.OnWafer Technologies,

Inc.RSoft Design Group, Inc.Rudolph TechnologiesSagantecSynopsys, Inc.

Vacuum, Cooling, GasHandling Equipment

Invarium, Inc.SAES Pure Gas, Inc.Steinmeyer, Inc.Yield Engineering

Systems, Inc.

Wafer HandlingAutomation

Aerotech, Inc.CyberOptics

SemiconductorEV Group Inc.Invarium, Inc.Pozzetta Products, Inc.Steinmeyer, Inc.Synopsys, Inc.The Precision AllianceVistec Semiconductor

Systems Inc.

X-Ray Lithography

Aerotech, Inc.Energetiq Technology,

Inc.Invarium, Inc.SAES Pure Gas, Inc.Synopsys, Inc.

Page 32: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

30 SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected]

Corporate Sustaining Members

4AD Enterprises, Inc./EKSMA,Ltd.

4D Technology Corp.A&I Ltd.Access Laser Co.Aculight Corp.ADE Phase ShiftAdvanced Microoptic Systems

GmbHAerotech, Inc./World

HeadquartersAF Optical Inc.Agiltron, Inc.Alfalight, Inc.ALIO IndustriesAlphaAlpine Research Optics Corp./

HeadquartersAlson E. Hatheway, Inc.Altos Photonics, Inc.Ampex Data Systems Corp.Analytical Spectral Devices,

Inc.Anda Optec, SIA/biolitec Div/

LatviaAndor Technology Ltd./North

American OfficeAndover Corp.Apollo Instruments, Inc.Apollo Optical Systems, LLCAprio Technologies, Inc./

Marketing & SalesArcher OpTx, Inc.Argyle International, Inc.Ariel Optics, Inc.Asahi Spectra USA Inc.ASELSAN MGEO Div/

Microelectronics, Guidanceand Electro-optics Div

ASML US, Inc.asphericon GmbHAvo PhotonicsAxsys Technologies, Inc./

Imaging SystemsAyase America Inc.AZ Electronic Materials USA

Corp./USA Corp.Azure Photonics Co.Barr Associates, Inc.Beamtech Optronics Co. Ltd.Berliner Glas U.S.Bioptigen, Inc.Blue Hill Optical Technologies

LLCBoston Electronics Corp.Boston Micromachines Corp.Boulder Nonlinear Systems,

Inc.Brandstrom Instruments, Inc.Brandywine Optics, Inc.Breault Research Organization,

Inc.Brewer Science, Inc./North

America HeadquartersBrighten Optics Ltd.Brion Technologies, Inc./

Corporate HeadquartersBristol Instruments, Inc.Calmar Optcom Inc.Cambridge Research &

Instrumentation, Inc./Headquarters

Cambridge Technology, Inc.Cascade Technologies Ltd.Central Astronomical

Observatory at PulkovoCentral Electronics Engineering

Research Institute/CEERICtr

Central R&D Institute forRobotics and TechnicalCybernetics

Central Research InstituteCyclone

CeramOptec Industries, Inc./biolitec Div/USA

Chernyshevsky Saratov StateUniv.

China Daheng Group, Inc.Chroma Technology Corp.Chung Shan Institute of

Science and TechnologyCI Systems, Inc./California

Office/Simi ValleyClear Shape Technologies, Inc.Cleveland Crystals, Inc./A

Gooch & Housego PLCCoastal Optical Systems Inc./

Production/ShopCobolt ABCoherent, Inc./Corporate

HeadquartersCommunication Automation

Mounting Ltd.Continuum, Inc.CorActive High-Tech Inc.Corning Inc.Corning Tropel Corp.Creative Display Systems, LLCCreatv MicroTech, Inc.Cristal Laser SACrystal Fibre A/SCrystaLaser LCCrystaltechno Ltd.CRYSTECH Inc.Crytur Ltd.Ctr. of New Technologies

OPTRONCVI Laser LLCCyantek Corp.Daugavpils Univ.gital Optics Corp.Docter Optics Inc.Dongjin Semichem Co., Ltd./

Electronic Materials DivDRS Technologies, Inc.e2v technologies ltd.Edmund Optics Inc./Main

OfficeELCAN Optical TechnologiesElectro-Optical Imaging, Inc.Electro-Optical Systems Inc.Elliot Scientific Ltd.EM4, Inc.Engineering Synthesis Design,

Inc.Epner Technology Inc.Evaporated Metal Films Corp.Exalos AGExitech Inc.F&K Delvotec, Inc.Fairchild ImagingFar Eastern State Technical

Univ.Federal Scientific and

Production Ctr.Federal State Unitary

Enterprise RPC ISTOKFemtochrome Research, Inc.FemtoLasers, Inc.Fiberguide Industries, Inc.Fibertech Optica, Inc.Firebird Technologies Inc.FISBA OPTIK LLC/Rochester

NYFJW Optical Systems, Inc.FLIR Systems, Inc./Boston

DivisionForeal Spectrum, Inc.Frankfurt Laser Co.Fresnel Technologies Inc.Gamma Scientific

General Physics Institute/Russian Academy ofSciences

Glass Fab, Inc.Goodrich Corp./Optical & Space

Systems DivGraphic Security Systems Corp.Grintech GmbHG-S PLASTIC OPTICS/A

Germanow-Simon Co.GSI Group Inc./Corporate Office-

Mfg. Ctr.Halbo OpticsHamamatsu Corp.Hamatech USA Inc.HC Photonics Corp.Headwall Photonics Inc.Heidelberg Instruments Inc./

Service USAHellma International, Inc.Heraeus Optics LLCHextek Corp.High Plains OpticsHoloEye Photonics AGHong Kong Polytechnic Univ./

Advanced OpticsManufacturing

Hong Kong Productivity Council/Manufacturing Technology Div

HORIBA Jobin Yvon Inc./OSDDiv

House of Optics Scientific Ctr.Hoya Corp.Imperx Inc.IMRA America, Inc./

Headquarters & ResearchFacility

Incom Inc.Infrared Fiber Systems, Inc.Infrared Systems Development

Corp.Ingeneric GmbHInstitut für Mikrotechnik Mainz

GmbHInstitute for Applied Physics/

Russian Academy ofSciences

Institute for Automation andControl Processes

Institute for Infocomm Research,Agency for Space,Technology and Research

Institute for Laser PhysicsInstitute for Roentgen OpticsInstitute of Atmospheric Optics/

Siberian Branch of RASInstitute of Atmospheric Physics/

Russian Academy ofSciences

Institute of Fine Mechanics andOptics

Institute of Laser and InformationTechnologies/RussianAcademy of Sciences

Institute of MicrostructurePhysics/Russian Academy ofSciences

Institute of Physics andTechnology/Russian Academyof Sciences

Institute of Radioengineering andElectronics/Russian Academyof Sciences

Institute of SemiconductorPhysics

Institute of Spectroscopy/Russian Academy ofSciences

International RadiationDetectors, Inc.

International Union of InstrumentEngineers

Companies, large andsmall, play a critical role insupporting the growth andvitality of optics andphotonics. Throughcollaboration, they steerthe latest innovationstoward practical relevancein the global marketplace.

 

SPIE Corporate Membershipenhances your organizationby providing significantdiscounts on:

• SPIE exhibitions*

• SPIE publications

• SPIE DigitalLibrary subscriptions

• Advertisingrates

• Mailing lists

• And recruitmentservices.

 * Corporate Members

receive a 15% discounton exhibit space at eventssolely owned by SPIE.

Get complete details atspie.org/membership

Page 33: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),

SPIE Advanced Lithography • spie.org/events/al • Tel: +1 360 676 3290 • [email protected] 31

Corporate Sustaining Members

Intevac, Inc.IO Industries, Inc.Ioffe Physical Technical

InstituteIPG Photonics Corp.Isomet Corp.JDS Uniphase/Commercial and

Consumer ProdJENOPTIK Laser, Optik,

Systeme GmbH/BusinessUnit Optics

Jenoptik Polymer Systems, Inc.JEOL USA Inc.JMAR Technologies, Inc./

Corporate HeadquartersJoint Stock Co. Lytkarino

Optical Glass FactoryJSR Micro, Inc.JT IngramJupiterKapteyn-Murnane Labs., Inc.Kazan Optic-Mechanic Plant

Production Corp.Kazan Physical-Technical

Institute/Russian Academyof Sciences

KBTEM-OMO State Research& Production Association

KEOS Optoelectronics, Inc.KLA-Tencor Corp.Kreischer Optics, Ltd.Kuban State Univ.Kursk State Technical Univ.L-3 Communications Cincinnati

ElectronicsLaCroix Optical Co.Laflin Ltd.Lambda Research Corp.Lambda Research Optics, Inc./

Lasers Optics & CoatingsLand Engineering AgencyLas Cumbres ObservatoryLaser Compact Co. Ltd.Laser Components IG, Inc.Laser Focus WorldLaser Physics Scientific

Research InstituteLaserline Inc.Lasertec USA Inc.Lasertel, Inc.LASOS GmbHLattice Electro Optics, Inc.Latvijas Univ./Institute of Solid

State PhysicsLeica Instruments (Singapore)

Pte Ltd.LEISTER Technologies LLCLeybold Optics USA, Inc.Light Age, Inc.LightPath Technologies, Inc./

Corporate OfficeLightspeed TechnologiesLightWorks Optics, Inc.Lincoln Laser Co.LINOS Photonics, Inc.LOMO plcLouis Rudzinsky Associates,

Inc./OPTemps Div.Lumera Corp.Luxel Corp.M.V. Lomonosov Moscow

State Univ.M3 Measurement Solutions IncMad City Labs, Inc.Market Tech, Inc.Matrix Technology CorporationMax Levy Autograph Inc.Melles GriotMEMS Optical, Inc.

Mentor Graphics Corp./Designto Silicon

Metavac, Inc.MetroLux Analytics, Inc.Metrosol, Inc.Micro Laser Systems, Inc.Micro Photonics, Inc.Microelectronic Technologies

Joint stock InstituteMicromotion GmbHMicronic Laser Systems ABMildex Inc.MilSys Technologies LLCMindrum Precision Inc.Minsk Physics Institute/Belarus

Academy of SciencesMinus K Technology Inc.MLD Technologies, LLCModel Optics, Inc.Molecular Imprints, Inc./

HeadquartersMoscow Engineering Physics

InstituteMoscow Institute of Physics

and TechnologyMoscow Mining InstituteMoscow Power Engineering

Institute Technical Univ.Moscow Scientific Techn. Soc.

of Instr. & Meas EngMoscow State Inst. of

Electronics andMathematics

Moscow State Univ. ofGeodesy and Cartography

MPA Crystal CorpMPB Communications Inc.mso jena Mikroschichtoptik

GmbHN.E. Bauman Moscow State

Technical Univ.Naked Optics Corp.NASA Marshall Space Flight

Ctr./Space OpticsManufacturing Tech Ctr

New England PhotoconductorCorp.

New Focus, Inc.New Mexico Optics Industry

AssociationNew Scale Technologies, Inc./

Main OfficeNew Source Technology, LLCNewport Corp.NexTech Solutions, Inc.Nikon Precision Inc.nLight Corp.North CrystalsNorthrop Grumman Corp./

Cutting Edge OptronicsNozomi Photonics Co., Ltd.NPO Geofizika-Cosmos

Scientific & Production EnterNufernNutfield Technology, Inc.Observatorio Astronomico

NacionalOcean Optics, Inc.Octec Ltd./The Western Ctr.OFSOhara Corp.Omega Optical, Inc./Corporate

OfficeOndax, Inc.ONTAR Corp.Ophir Optics, Inc.Opnext, Inc.Optical Research AssociatesOptical Support, Inc.Optics 1, Inc.

OPTICS 1, Inc./CommericialSystems Div.

Optics For HireOptics for Research, Inc.Optikos Corp.Optikron GmbHOptilab LLCOptimax Systems, Inc.OptiPro SystemsOptiSource, LLCOpto Diode Corp.OptoSigma Corp.OPTWARE Corp.Orion Research and Production

AssociationOZ Optics Ltd.P.N. Lebedev Physical Institute/

Russian Academy ofSciences

PDF Solutions, Inc.PerfectWave Technologies LLCPerm Scientific-Industrial

Instrument-Making Co.Petrozavodsk State Univ./

Information MeasurmentSystems

PFG OpticsPhotoMed TechnologiesPhoton DesignPhoton Engineering, LLCPhoton Etc. Inc.Photonic Cleaning

TechnologiesPhotonic Products Ltd.Photonics Industries

International, Inc.Photonis-DEP B.V.Photooptics Ltd.Photop Technologies, Inc.Photron USA, Inc.Phytron Inc.PI (Physik Instrumente) L.P.PicarroPiezosystem Jena Inc.PLX Inc.Poco Graphite, Inc.Point Source Ltd.PolarOnyx, Inc.Polymer Assembly Technology,

Inc.Polymicro Technologies, LLCPOLYUS Research &

Development InstitutePower Technology, Inc.Precision Asphere LLCPrecision Optics Corp.Precitech, Inc.Princeton Instruments/ActonPrinceton Lightwave Corp.Prism Books Private Ltd.Process Materials Inc.PULSAR Science Research

Institute/RC Kremniy Div.PVP Advanced EO Systems,

Inc.QED Technologies Inc./

Corporate HeadquartersQIOPTIQQuantronix Corp.Quintessence Photonics Corp.Ramenskoye Design Co.RAVE LLCRaydiance, Inc.Raytheon Co./Vision SystemsREAGENT Scientific Technical

Ctr. Ltd.RedShift Systems Corp.Research Electro-Optics, Inc.Research Institute of GOZNAK

Research Institute of PulseTechniques

Reynard Corp.Riga Technical Univ./Institute of

Technical PhysicsRockwell Laser Industries, Inc.Rocky Mountain Instrument

Co./Laser DivRolyn Optics Co.RoMack, Inc.RPC Photonics, Inc.RPMCRSoft Design Group, Inc.Rubicon Technology Inc.Russian Chapter of Society for

Info Display DivRussian Federal Nuclear Ctr.Russian Social Fund TOPEC

Solid State OptoelectronSacher Lasertechnik GmbHSaint Gobain CrystalsSalem Distributing Co./

Precision Div.Samara Institute of Image

Processing Systems/Russian Academy ofSciences

Satisloh North America Inc./Precision Optics

SCANLAB America, Inc.SCD-Semi Conductor DevicesSchneider Optics, Inc./OEMSCHOTT North America, Inc./

Optics for DevicesScientific and Technological

Ctr. for UniqueInstrumentation

Scientific ResearchAssociation/Astrophysics

Scientific Research Inst. ofPrecise Instruments

Scientific Research Institute ofAviation Equipmen

Scientific Solutions, Inc.Sci-in TechSciMeasure Analytical

Systems, Inc.SensL Technologies Ltd.Sensors Unlimited, Inc.Sensovation AGSenspex, Inc.Servometer - PMG LLCSGS Slicing Solutions Inc.Shanghai OpticsSilicon Canvas Inc.Sill Optics GmbH & Co., KGSiskiyou Corp.Sonoma Photonics Inc.Sparkle Optics Corp.Special Optics Manufacture

and Design, Inc.Specim Spectral Imaging Ltd.Spectralus Corp.Spectrogon AB/Headquarters -

SwedenSpectrum Scientific, Inc.Spectrum Thin Films Corp.SphereOptics, LLCSpica Technologies, Inc.Spiricon, Inc.SRC SMC Technological Ctr.

MSIEESRICO Inc.SRIPDE-Science Research

Institute for Precision DeSt. Petersburg Institute of Fine

Mechanics and OpticsStandards Productivity and

Innovation Board/NationalMetrology Ctr.

Stanford Computer Optics Inc.Star Tech InstrumentsState Scientific-Manufacturing

Assoc.State Univ. of Control Systems

& RadioelectronicsStellarNet, Inc.StockerYale, Inc.Super OptronicsSurface FinishesSwiss Jewel Co.Synopsys, Inc.Syntec Technologies Inc.TAC/Tour Andover Controls/

Precision InstrumentsTDISIE Siberian Branch/

Russian Academy ofSciences

Techmetals, Inc./Optics Div.Technical Manufacturing Corp.Technomedica Research &

Manufacturing Co.TecOptics, Inc.Tempo Plastic Co./Foam Boxes

for Optics Div.TFI TelemarkThe Cooke Corp.The Univ. of ArizonaThermo Electron, CIDTEC/

CIDTEC Cameras & ImagersTinsley Labs.TLC InternationalTopGaN Ltd.Toppan Photomasks, Inc./

Headquarters for ToppanPhotomasks, Inc.

Toptica Photonics, Inc.Tower Optical Corp.Toyota Central Research and

Development Labs., Inc.Trex Advanced Materials/

MaterialsTRUMPF Inc.Tydex JS Co.U.S. Photonics IncUmicore Thin Film ProductsUnaxis OpticsUNIQUE ICs LLCUniv. of Arizona/Optical

Sciences Ctr.Univ. of Colorado/Boulder/

BoulderUniv. of Iowa LibrariesUniv. of North Carolina/

Charlotte/Atkins LibraryUniversal Photonics Inc.Ural Optical and Mechanical

PlantV.I. Ulyanov (Lenin) State

Electrical EngineeringVavilov State Optical Institute/

All-Russian Research Ctr.Veeco InstrumentsVeeco Metrology LLC/AFMsVeeco Tucson Inc.Virtual Industries, Inc.Visotek Inc.Volga State Academy of

Telecommunications andInfo

Volga Technology Ltd.WaveFront Sciences, Inc.Westchester Technologies, Inc.Western Photonics TechnologyWordingham TechnologiesYield Engineering Systems Inc.YUCO Optics Corp.Zygo Corp.

Page 34: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),
Page 35: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),
Page 36: Exhibition Guide - SPIE€¦ · Cadence Design Systems, Inc. . . . #520 ... CyberOptics Semiconductor will discuss how wireless and wafer-like precision measurement devices (WaferSense™),