220
AML – Wafer Bonding Machines & Services Wafer Bonding 18 th June 2009 Course Tutor: Tony Rogers, Applied Microengineering Ltd, UK

WB course june 2009

Embed Size (px)

Citation preview

Page 1: WB course june 2009

AML – Wafer Bonding Machines & Services

Wafer Bonding

18th June 2009

Course Tutor: Tony Rogers,

Applied Microengineering Ltd, UK

Page 2: WB course june 2009

AML – Wafer Bonding Machines & Services

Course Schedule

09:00 Introduction of Tutor and Participants

09:15 Wafer Bonding: Theory and Background (anodic bonding).

09:45 Practical issues for anodic bonding (types of glass, flatness control, compatible materials, temperature limitations, interconnect methods, alignment etc.)

10:45 Break

11:00 Wafer Bonding: Theory and Background (Si direct bonding)

11:45 Comparison of various wafer bonding techniques (anodic, silicon direct, glass frit, adhesive, eutectic).

12:45 Lunch

13:45 Wafer bonding techniques – continued

14:15 Applications of wafer bonding

14:45 Break

15:15 Review of commercially available bonding equipment

16:15 Q+A session

16:45 Close

Page 3: WB course june 2009

AML – Wafer Bonding Machines & Services

Types of Wafer Bonding

Wafer bonding processes include:

• Anodic bonding (ref’s 1-12, 48)

• Direct (fusion) bonding (ref’s 19, 34-36, 44-46)

• Glass frit bonding (ref 28)

• Eutectic bonding (ref 29,30,31,50)

•Solder bonding (ref 25)

• Adhesive bonding (ref 19,20,33)

•Thermo-compression bonding (ref 19,20,49)

Page 4: WB course june 2009

AML – Wafer Bonding Machines & Services

Energy Content of various Bond Types

Bond type Energy content (kJ/mol)

Ionic bonds 590 – 1050

Covalent bonds 563 – 710

Metallic 113 – 347

Van der Waals (intermolecular) bonds:

4 – 42 (H2)

Page 5: WB course june 2009

AML – Wafer Bonding Machines & Services

Energy Content Vs Interatomic Distance for various Bond Types

Therefore wafers need to be polished to (<few nm Ra) and flat in order for direct bonding to be possible.

Ref 33.

Page 6: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodic Bonding Theory & Background

Page 7: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodic Bonding Theory & Background

•Process discovered in 1969 by Wallis & Pomerantz (1)

•Also known as Electrostatic Bonding, Field-Assisted Bonding, or Mallory Bonding

•Primarily used for bonding Silicon to Glass

•Other material combinations have been demonstrated (2, 3)

Page 8: WB course june 2009

AML – Wafer Bonding Machines & Services

Features of Anodic Bonding•Bonding temperature is below the softening temperature of the glass

•Thermal expansion of the two materials needs to be well matched

•Materials must be polished to less than 5 nm and flat (4)

•Process temperatures typically in the range 300 –500oC

•Applied voltages between 100V and 2kV

•Glass needs to contain mobile ions

Page 9: WB course june 2009

AML – Wafer Bonding Machines & Services

Description of the Bonding Process (1 of 5)

•The two wafers are heated to the required temperature

•The wafers are brought into contact

•Voltage applied (glass negative)

•Ionic movement in the glass due to electrolysis (5)

•Depletion of mobile ions at the Si-glass interface

•Voltage drop in the depleted layer produces large electrostatic attractive force at the interface

Page 10: WB course june 2009

AML – Wafer Bonding Machines & Services

Description of the Bonding Process (2 of 5)

•Wafers are pulled into intimate contact

•Oxygen liberated at the silicon:glass interface (6,7)

•Anodic oxidation of the silicon occurs leading to high strength Si-O-Si chemical bond (7,8)

•Bond is irreversible (9)

•Composition of the glass is now inhomogeneous (10, 11)

•Bond is hermetic

Page 11: WB course june 2009

AML – Wafer Bonding Machines & Services

Description of the Bonding Process (3 of 5)

Bulk (low field)

+

+

+

+

+

+

+

+

-

-

-

-

-

-

-

-

CathodeAnode

Glass

Depletion layer (high field)

SiliconNaO

Page 12: WB course june 2009

AML – Wafer Bonding Machines & Services

Description of the Bonding Process (4 of 5)

ref. (4)

Page 13: WB course june 2009

AML – Wafer Bonding Machines & Services

Description of the Bonding Process (5 of 5)

ref. (4)

Page 14: WB course june 2009

AML – Wafer Bonding Machines & Services

00.5

11.5

22.5

33.5

0 20 40 60 80 100Time [sec.]

I [m

A] 1000 V

800 V

600 V400 V

00.5

11.5

22.5

33.5

0 50 100 150 200Time [sec.]

I [m

A] 400 °C

375 °C

350 °C300 °C

Bonding current and the Sodium depleted layer (source – SensoNor)- Thermal activation energy for the sodium ions: 0,97 +/- 0,14 eV

0

0.2

0.4

0.6

0.8

1

1.2

300 325 350 375 400

The bonding temperature [°C]

The

dep

th o

f the

de

plet

ed la

yer

[µm

]0

0.20.40.60.8

11.2

0 200 400 600 800 1000

The applied voltage [V]

The

dep

th o

f the

de

plet

ed la

yer

[µm

]Bonding at

1000 VBond temp

400 OC

Page 15: WB course june 2009

AML – Wafer Bonding Machines & Services

0

5

10

15

20

25

0 0.02 0.04 0.06 0.08 0.1

Wafer separation air gap [µm]The

ele

ctro

stat

ic p

ress

ure

[103

atm

]

0.1µm oxide

without oxide

increasing oxide thickness

0

10

20

30

40

0 500 1000

The applied voltage [V]

The

ele

ctro

stat

ic p

ress

ure

[103

atm

]

without oxide

0.1 µm SiO2

0.3 µm SiO2

The bonding pressure for “bulk”bonding(source – SensoNor)

Bonding pressure ~20000 atm with bonding on bare silicon at 800V

Bonding at 1000 V and 400 degC Bonding at 400 degC

Page 16: WB course june 2009

AML – Wafer Bonding Machines & Services

Strength of the Anodic Bond

•Difficult to measure because when the bonded sample is pulled apart, the break occurs in the glass and not at the Si-glass interface

•Values for pull tests are typically 30- 40Mpa (glass fracture) (12)

Page 17: WB course june 2009

AML – Wafer Bonding Machines & Services

Standard Bonding - Typical conditionsGlass: 100mm diameter borofloat 33, 0.5 mm thick, could have some metallisation (eg Ti/W:Au)

Silicon: 100mm diameter, 500µm thick, could have etched features (type (p or n), resistivity, orientation not important)

Temperature: 370°C

Voltage: 800 V

Time (that high voltage is applied): 10 minutes

Pre-clamping pressure: 100N (greater pressure exerted by electrostatic forces anyway, but pre-pressure helps with I vs t reproducibility)

Alignment accuracy: +/- 5µm (limited by TCE runout)

Total cycle time: 30 minutes

Page 18: WB course june 2009

AML – Wafer Bonding Machines & Services

Benefits of Anodic Bonding

Page 19: WB course june 2009

AML – Wafer Bonding Machines & Services

Benefits of Anodic Bonding•Low bonding temperature giving more process flexibility (e.g. can bond to metallised wafers without suffering diffusion of the metals into the wafer)

•Thermally matched, low stress bond producing stable mechanical dimensions over a wide temperature range

•Procedure can be optimised for producing flat assemblies

•No measurable flow of the glass occurs, hence sealing around previously machined grooves, cavities etc. without any loss of dimensional control

Page 20: WB course june 2009

AML – Wafer Bonding Machines & Services

Benefits of Anodic Bonding

•Since glass is an electrical insulator, parasitic capacitances are kept extremely small

•Hermetic seals – the bonding process can readily be performed in vacuum, allowing hermetically sealed cavities to be formed (or the sealing-in of a special gas mixture)

•Glass transparency at optical wavelengths enables simple, but highly accurate, alignment of pre-patterned glass and silicon wafers. The transparency can also be exploited via optical addressing, and to see inside microfluidic devices

Page 21: WB course june 2009

AML – Wafer Bonding Machines & Services

Benefits of Anodic Bonding•High yield process – tolerant to particle contamination and wafer warp

•Low cost wafer scale process for wafer scale packaging (note: bonding can be done at the chip level when required)

•High bond strength – higher than the fracture strength of the glass

•Multi-stack bonding is possible

•Anodic Bonding Review Paper ref 48

Page 22: WB course june 2009

AML – Wafer Bonding Machines & Services

Practical Issues for Anodic Bonding

Page 23: WB course june 2009

AML – Wafer Bonding Machines & Services

Control of Bonding Current (1 of 2)• Anodic bonding has conventionally been done under voltage limited conditions

• In this mode there is typically a high current spike (~40mA) at the start

• With a voltage of ~1kV this spike dissipates ~40W at the interface

• At the start of the bond only selected wafer areas are in intimate contact

• Therefore Joule heating is large and concentrated, causing hot spots

Page 24: WB course june 2009

AML – Wafer Bonding Machines & Services

Control of Bonding Current (2 of 2)•The hot spots change the local stress and result in device to device variation

• The use of current limiting results in a gentle increase in voltage, and more uniform, lower power dissipation and hence better device to device repeatability

• This has been demonstrated to significantly improve the yield in the manufacture of MEMS gyroscopes for which stress can significantly affect temperature sensitivity

• Current limiting also results in fewer rejects due to voltage breakdown in the glass.

Page 25: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodically Bonded Structures -some Design Issues

Diaphragms

When bonding to wafers with thin diaphragms, these can be electrostatically attracted to the glass during bonding and become permanently bonded. Possible solutions are to coat the glass in the cavities with gold, or to back etch the silicon to thin the diaphragms after bonding.

Page 26: WB course june 2009

AML – Wafer Bonding Machines & Services

Bonding of wafers with flexible structures (source – SensoNor)

silicon

glass

V

depleted layerVd ~ V

0 V

cathode

Pel

Non-bonding overload protection

• Electrostatic collapse• Bonding for structure

silicon

glassscreen electrode press contact

Non-bonding overload protection byuse of shield electrodes

silicon

glass

V

0 V

cathode

Page 27: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodically Bonded Structures -some Design Issues

Access to the silicon surface (e.g. for bond pads)

This can be achieved either by pre-machining through holes in the glass or by using additional depth-controlled cuts during the dicing process.

Page 28: WB course june 2009

AML – Wafer Bonding Machines & Services

Suitable GlassesNeed good match of TCE to silicon – hence borosilicate glasses

Manufacturer Designation Comments

Corning 7740 Used to be the standard glass for anodic bonding, but Corning no longer make it

Corning 7070 Has high bonding temperature and low softening point.

Hoya SD1, SD2 Expensive. Suffers from severe staining and electrical breakdown. This can be greatly reduced by backside metallisation.

Schott Borofloat 33 Float glass. Available in a wide variety of thicknesses. Std low cost glass for anodic bonding – all wafer sizes

Pilkington CMZ Specifically made for solar cells. Available only in thin sections. Expensive.

Page 29: WB course june 2009

AML – Wafer Bonding Machines & Services

Glass Properties to Consider

• Thermal Expansion Coefficient

• Volume Electrical Resistivity

• Viscosity (Strain Point)

• Chemical Resistance

• Bulk Modulus (Stiffness)

Page 30: WB course june 2009

AML – Wafer Bonding Machines & Services

Silicon – Glass Differential Expansion

Ref (13)

Page 31: WB course june 2009

AML – Wafer Bonding Machines & Services

Electrical Resistivity of Glass• The glass electrical resistivity is important when bonding to wafers with oxide or nitride coatings, especially when there are also micromachined cavities defined in these coatings

This is because the percentage of the applied voltage that is dropped across the coating / cavity depends on the ratio of the coating resistance to the glass resistance at the bonding temperature.

• Too high a voltage across thin insulating layers and cavities can cause electrical breakdown

Page 32: WB course june 2009

AML – Wafer Bonding Machines & Services

Resistivity

ref. (13)

Page 33: WB course june 2009

AML – Wafer Bonding Machines & Services

Glass Viscosity Considerations• It is necessary to bond at a temperature well below the strain point of the glass to achieve bonding around micromachined cavities whilst preventing any measurable flow of the glass, which could compromise dimensional control.

• For 7740 glass (strain point 510oC), with a normal bonding temperature of ~400oC, glass flow is not a problem. However, for 7070 glass (strain point 456oC) with a normal bonding temperature of ~450oC, glass flow becomes an important consideration.

Page 34: WB course june 2009

AML – Wafer Bonding Machines & Services

Wafer Stiffness

The stiffness of the wafers can be measured in terms of the flexural rigidity which is given by:

F = Eh3/(12(1-ν2)

Where E = Bulk Modulus

h = wafer thickness

ν = Poisson Ratio

Page 35: WB course june 2009

AML – Wafer Bonding Machines & Services

Flexural Rigidity of Various Glass and Silicon Wafers

Glass Type

Thickness (mm)

Flexural Rigidity (Nm)

Stiffness RatioGlass / 0.5mm <111> Si

Stiffness RatioGlass / 0.5mm <100> Si

7740 1 5.45 2.69 3.68

7740 0.5 0.68 0.33 0.46

7070 1 4.47 2.20 3.02

Si <111> 0.5 2.03 - -

Si <100> 0.5 1.48 - -

Si <100> 0.1 0.012 - -

Page 36: WB course june 2009

AML – Wafer Bonding Machines & Services

Wafer Flatness Considerations

• Silicon wafers are not necessarily flat. Standard SEMI specifications for Si wafers allows a warp / bow of +/-25μm

• AML’s experience with glass wafers is that these tend to be much flatter than the Si (typical bow <5 μm)

• When the wafers are bonded, the bow in the Si may be removed resulting in stress at the interface – depending on the relative stiffnesses of the two wafers.

Page 37: WB course june 2009

AML – Wafer Bonding Machines & Services

Flatness Control

Two sources of bow – thermal; compositional

Can minimise thermal bow by selecting the optimum temperature for thermal mismatch (provided this is hot enough to allow bonding to take place).

Composition of glass is permanently altered by the flow of current during anodic bonding. Excess of Na+ at free surface, depletion of Na+ at bond interface. This causes the free surface to go into compression. This needs to be taken into account for final flatness.

Page 38: WB course june 2009

AML – Wafer Bonding Machines & Services

Compatible Materials

Interbond Metallisation – Al no good (oxidation of the Al)

Bonding to oxides (thermal and LPCVD – OK, PECVD – NO)

Bonding to nitrides (LPCVD OK, PECVD - NO)

Page 39: WB course june 2009

AML – Wafer Bonding Machines & Services

CompatibleMaterials

ref. (13)

Page 40: WB course june 2009

AML – Wafer Bonding Machines & Services

Temperature limitations

Generally must have > 300°C (to get sufficient ionic conduction)

Page 41: WB course june 2009

AML – Wafer Bonding Machines & Services

Interconnect Methods

Can use ordinary metal tracks if hermetic seal not needed, or ifonly 1 lead across the seal

If need hermetic seal, can use thin Ti/W:Au (up to 50nm), or use the buried via technique (SensoNor)

Page 42: WB course june 2009

AML – Wafer Bonding Machines & Services

Interconnect Methods

Vertical feedthroughs

These can be either in the glass or in the silicon, and are perhaps the most versatile and reliable method of providing electrical connection across the seal.

Page 43: WB course june 2009

AML – Wafer Bonding Machines & Services

Interconnect Methods

Example of a vertical feedthrough in glass

ref. (16)

Page 44: WB course june 2009

AML – Wafer Bonding Machines & Services

Surface Quality

More tolerant of particle contamination than fusion bonding, because electrostatic force assists movement of bonding front.

Permitted surface roughness a few nm, versus a few Å for fusion bonding.

Permitted bow up to 50µm for a standard thickness wafer. Fusion bonding requires a heavy clamping force if there is significant bow.

Page 45: WB course june 2009

AML – Wafer Bonding Machines & Services

Rucking up

This problem can occur with very thin substrates, especially where there are few cavities built into the glass or silicon.

When bonding is initiated in several places at once (happens when using a large area electrode), bond fronts will converge in places on the wafer, and an unbondable bubble will appear.

Can be prevented by using a single central electrode – but bonding will be slower. Can arrange to involve one or more additional rings of electrodes as bond front progresses, but simplest solution is to use a graphite electrode that produces uniform bonding over the whole interface

Page 46: WB course june 2009

AML – Wafer Bonding Machines & Services

Oxygen GenerationThe process causes oxygen ions to accumulate at the bonding surface of the glass (7)

Where there is no silicon to bind to these, oxygen gas is liberated. The shallower the cavity it is liberated into, the greater will be the pressure.

This pressure can be considerable. A useful number is the product of pressure and cavity depth (approximately 1bar.micron)

This pressure can vary across the wafer, according to how early during the bonding process any particular cavity has become sealed.

Page 47: WB course june 2009

AML – Wafer Bonding Machines & Services

Oxygen GenerationThings to do about this:

•Make cavities as deep as possible, or provide a channel to a large volume reservoir

•Leave cavities unsealed, and seal as part of the packaging process.

•Incorporate a getter inside the cavity

Page 48: WB course june 2009

AML – Wafer Bonding Machines & Services

Effect on CMOS DevicesHigh electrostatic field can have damaging effects on CMOS devices:“ … the electrostatic bonding between silicon and glass affects the electrical characteristics of the silicon. The presence and the movement of the positive and negative ions through the glass, the formation of a thin oxide layer at the interface and the related charging effects, cause changes in the resistivity of the silicon underneath the glass, in the breakdown voltages and the leakage currents of the p-n junctions.(15)”

AML is currently developing a technique that will allow the use of anodic bonding with CMOS devices, provided that the CMOS circuit is designed for compatibility with the anodic bonding process.

Page 49: WB course june 2009

AML – Wafer Bonding Machines & Services

Bonding to Bulk Micromachined Wafers• Micromachining of both the Si and glass wafers is possible prior to bonding

• All standard wet and dry etching techniques can be used for the Si

• Glass machining can be achieved via:

Ultrasonic machining

Laser processing

Water-jet machining

Powder blasting

Chemical etching

Page 50: WB course june 2009

AML – Wafer Bonding Machines & Services

Bonding to Surface Micromachined wafers

• Bonding to silicon that has surface layers of thermal oxide and LPCVD nitride has been demonstrated

• PECVD layers can be problematic due to the presence of hydrogen, which makes the oxygen unavailable for bonding to the silicon

• Hermetic sealing can be achieved over metallisation tracks provided that the step height is <50nm (13).

• Readily oxidisable metals such as aluminium should be avoided (13).

Page 51: WB course june 2009

AML – Wafer Bonding Machines & Services

Thin Film Bonding

Can bond two silicon wafers together, using a thin sputtered, evaporated, or sol-gel deposited glass film.

General problem is there is usually not much thickness of glass. Therefore limited supply of Na+ and O 2- ions

Sputtering is a slow process, but should result in a layer with the same composition as the sputtering target.

Evaporation is another method that can be used

Sol-gel is currently under investigation as a possible method

Page 52: WB course june 2009

AML – Wafer Bonding Machines & Services

Thin Film Bonding

General issues with thin-film bonding:

• Flatness control

• Thickness control (electrical breakdown)

• Roughness control

•Therefore we recommend that unless there are good specific reasons for using this technique then it should be avoided

Page 53: WB course june 2009

AML – Wafer Bonding Machines & Services

Multi-stack Bonding• Can build up more complex sandwiches of glass and silicon.

• Both silicon:glass:silicon & glass:silicon:glass are possible

• Both can be performed using a two-stage bonding process

• Often find that 2nd bond is more difficult to make than the first, usually because a finite amount of bow has been introduced, and the assembly is now also stiffer than just the silicon.

With AML bonders we have a simple process for both

Page 54: WB course june 2009

AML – Wafer Bonding Machines & Services

Multi-stack BondingSi-glass-Si

•This can be done in two stages; i.e. one silicon wafer is bonded to the glass. Then the 2nd bond is made

•With AML bonders we have a simple process for doing this 2nd bond

• The bonded wafer pair is mounted on the upper platen, glass side down, and the 2nd bond done as normal

• It is often necessary to use slightly higher temperature / voltage to overcome the bow of the first bond

• Alignment can be achieved using IR optics, or visible if there are appropriate holes machined in the Si

Page 55: WB course june 2009

AML – Wafer Bonding Machines & Services

Multi-stack Bondingglass:Si:glass

•This can be done in two stages; i.e. one glass wafer is bonded to the silicon. Then the wafers are turned over, and the other glass wafer is bonded on.

• The problem is making electrical connection to the central Si wafer

•With AML bonders we have a simple process for doing this

• Alignment can be achieved for all three wafers provided the Si wafer is patterned on both sides

• Care needs to be taken not to bond the lower glass to the platen!

Page 56: WB course june 2009

AML – Wafer Bonding Machines & Services

Multi-stack Bonding in One Step

With appropriate design and switching of the electrode HT supplies, the operation can be carried out in one step. This minimises the problem of bow.

More difficult to achieve alignment with one-step bonding (especially if both glass wafers need to be aligned to the silicon)

Page 57: WB course june 2009

AML – Wafer Bonding Machines & Services

Silicon Direct Bonding Process

Hydrophylic bonding

Hydrophobic bonding

Page 58: WB course june 2009

AML – Wafer Bonding Machines & Services

Schematic of hydrophilic direct bond formation, before annealing (ref 19)

Page 59: WB course june 2009

AML – Wafer Bonding Machines & Services

•Bring clean, polished surfaces into intimate contact

• Forms reversible low strength bond

•Heat to form stable / permanent bond

•Results in nearly perfect high-strength bond

Silicon Direct Bonding Process

Page 60: WB course june 2009

AML – Wafer Bonding Machines & Services

Bonding Kinetics – first contact• Attractive forces – hydrogen bonding / van der

Waals force• Repulsive forces – strain energy of wafer bow /

warp • Attractive force across bonded area must

counteract repulsive force of the induced strain in wafers as the surfaces are brought into intimate contact

• Initial hydrogen bond converted to higher strength bond by heat / time / pre bond activation treatment

Page 61: WB course june 2009

AML – Wafer Bonding Machines & Services

Direct Bonding Video

Page 62: WB course june 2009

AML – Wafer Bonding Machines & Services

Video of crack propagation

Crack Propagation (Si-Si direct bond, post 450C anneal)

Page 63: WB course june 2009

AML – Wafer Bonding Machines & Services

Strained Attraction

• Surface bow and waviness can be overcome by elastic deformation of the wafers. Surface roughness can be overcome if the range of the hydrogen bonding attraction is large enough (e.g. water molecule triplets (ref 19 p.59))

• Bonds can self propagate from an initial contact point if the range and magnitude of the attractive forces is high enough to overcome the roughness, waviness and bow of the wafers

• Wafers can be forced into contact. If the bond area is made large enough the bond may not delaminate (ref 34)

Page 64: WB course june 2009

AML – Wafer Bonding Machines & Services

SiO2 Surface

SiO2 Surface

•Pre-Bond Hydration–SiO2 terminates in Si dangling bonds that react with water

•Heating (200ºC) –Hydrogen bond bridging develops between OH groups

•Post-Bond (>300ºC)–Hydrogen bonds are replaced with Si-O-Si bonds

• Further anneal at ~1000oC for full strength

SiO2-SiO2 Hydrophylic Direct Bonding

Page 65: WB course june 2009

AML – Wafer Bonding Machines & Services

Si-Si Hydrophobic Direct Bonding

To prepare wafers for hydrophobic bonding it is necessary to remove the surface oxide. This is normally done using an HF dip

After the dip the wafers can optionally be rinsed in DI water. If this is done then the mechanism for bond front propagation is essentially the same as for the hydrophylic case

Page 66: WB course june 2009

AML – Wafer Bonding Machines & Services

Silicon Surface

Silicon Surface

•Pre-Bond Hydration

–dangling Si bonds react with water

•Heating (200ºC) –Hydrogen bond bridging develops between OH groups

•Post-Bond (>300ºC) –Hydrogen bonds are replaced with Si-O-Si bonds

•Completion (>500ºC) –Si-O-Si bonds are replaced with Si-Si

Si-Si Hydrophobic Direct Bonding

Page 67: WB course june 2009

AML – Wafer Bonding Machines & Services

Si Hydrophylic Direct Bonding –Process info

Hydrophilic: RCA Clean

25°C - 110°C: Hydrogen bonds

110°C - 200°C:Si + 2H2O → SiO2 + 2H2

200°C - 700°C:Si — OH + OH — Si →Si – O – Si + H2O

>700°C:Increasing contact area; further hydrogen removal

Page 68: WB course june 2009

AML – Wafer Bonding Machines & Services

Si Hydrophobic Direct Bonding –Process info

Hydrophobic: (HF Dip)25°C - 400°C: van der Waals

400°C – 700°C:H desorption

>700°C:H completely removed: bond strength equal to bulk Si

Page 69: WB course june 2009

AML – Wafer Bonding Machines & Services

Si-Si Direct Bonding – Bond Strength

Bond strength vs. anneal temperature for hydrophilic / hydrophobic bonding.

Page 70: WB course june 2009

AML – Wafer Bonding Machines & Services

Si-Si Hydrophobic bonding

For applications whereby the bond interface needs to be oxide-free then it is best to omit the water rinse after HF dip

The bond propagation is the driven by fluoride bonding rather than hydrogen bonding

Page 71: WB course june 2009

AML – Wafer Bonding Machines & Services

SiO2-SiO2 Bond Strength• increases with increasing temperature

• does not depend on oxide thickness

• not a strong function of annealing time for temperatures below 1200ºC

• increases with annealing time for temperatures above 1200ºC

• Easier to form pre bond, lower requirements of wafer surface w.r.t. Si-Si bond

Si-Si Bonds Strength • very strong -bonds performed at 500ºC are equivalent to SiO2-SiO2 bonds performed at temperatures > 1000ºC

• Si-Si bonds performed at > 600ºC can be too strong to measure

• More difficult to form pre bond / higher requirements of wafers

Wafer Bond Strength

Page 72: WB course june 2009

AML – Wafer Bonding Machines & Services

Silicon Surface

Silicon Surface

Oxide Interface

•Nearly perfect bond

•Fractures do NOT follow bond

Quality of a Si Direct Bond

Page 73: WB course june 2009

AML – Wafer Bonding Machines & Services

Silicon Surface

Silicon Surface

Oxide Interface

Si-Si Bonds using UHV Direct Bonding

If Si wafer are heated up to >850C in UHV (<2×10-8 Torr), then a super clean Si surface can be achieved, where OH groups, H2O and H is removed from the surface to leave highly reactive Si. Two wafers can be bonded under these conditions to give a true Si-Si hydrophobic direct bond. Alternatively a gas can be allowed into the chamber to terminate the Si with selected molecules. This technique can allow the bond to be tuned for specific applications.

Page 74: WB course june 2009

AML – Wafer Bonding Machines & Services

Particulate

•Void Formation (mm-scale)

–insufficient wafer flatness

–surface contamination

–particulates

•Extreme Cleanliness Needed!!

Imperfectly Bonded Wafers

Page 75: WB course june 2009

AML – Wafer Bonding Machines & Services

Si-Si Direct Bonding – Wafer Specifications

Ra Surface Roughness: <1nm (ideally < 0.5nm Ra)

Flatness / TTV: <2μm

Bow: <25 μm (single peak)

Other RequirementsRecessed alignment marks

Class 10 cleanliness

Page 76: WB course june 2009

AML – Wafer Bonding Machines & Services

Schematic of wafer surface before cleaning

Wafer Cleaning

Page 77: WB course june 2009

AML – Wafer Bonding Machines & Services

Wafer Cleaning _issues with Organic contamination / H2O inclusion

•Depending on the latter annealing stages, organic contamination can cause significant defects in the bond. If a high temeprature anneal step is performed (over 300C) then organics can result in void formation. Cleaned Si surfaces can easily trap organics, especially if hydrophobic.•A UV organic removal cleaning step immediately before bonding can be recommended.•Interface H2O can also cause voiding at elevated temperature –need to optimise surface H2O to minimise voiding, but allow bond propagation.•Appropriate anneal temperature ramp rate can minimise H2O voids (ref 47)

Page 78: WB course june 2009

AML – Wafer Bonding Machines & Services

Wafer Cleaning _issues with Organic contamination / H2O inclusion

IR image of pre bond. Some particle related voids.

IR image of post 800C annealed bond. Voids caused by organic contamination generating gas during the anneal

Page 79: WB course june 2009

AML – Wafer Bonding Machines & Services

Bonding Criterion• Successful bonding requires intimate contact of wafers• Flatness deviations prevent bonding• Moderate flatness deviations can be accommodated

through elastic deformation

100 mm

10-100 μm

ρ

wafer bow

100-1000 μm

surface waviness surface roughness

<10 A

Bonding is a competition between surface and strain energy.

Page 80: WB course june 2009

AML – Wafer Bonding Machines & Services

Hard to bond surface Easy to bond surface

•Bearing Ratio should be considered in conjunction with Ra

Surface Roughness – Ra can be misleading

Same Ra.

Overall bond strength is a function of the contact area therefore the greater the surface contact then the higher the bond strength

Page 81: WB course june 2009

Example of a “easy to bond” surface – Bearing Ratio / Firestone Abbot Curve

Page 82: WB course june 2009

Example of a “hard to bond” surface– Bearing Ratio / Firestone Abbot Curve

Page 83: WB course june 2009

AML – Wafer Bonding Machines & Services

Additional Factorsmountingetch pattern

100 mm

100 mm

particles

~1 mm

~1 μm

~1 mm

(Ref’s 35,36)

Page 84: WB course june 2009

AML – Wafer Bonding Machines & Services

Modeling Approach• Surface forces expressed

in terms of work of adhesion

• Balance between surface and strain energy

• Each flatness deviation increases the strain energy in the bonded stack

WdA

dUE ≤

Bonding Criterion:

(Ref’s 35,36)

Page 85: WB course june 2009

AML – Wafer Bonding Machines & Services

Axisymmetric Analysis of Bowed Wafers

silicon wafers, E=150GPa, ν=0.22, ρ=10m

Strain energy accumulation rate:

where: advance ratio, R=b/ainitial curvature, κo=1/ρofinal curvature, κf = 1/ρf

w

Wafer thickness is critical

Must have one compliant wafer

(Ref’s 35,36)

Page 86: WB course june 2009

AML – Wafer Bonding Machines & Services

Effect of Etch Patterns• Reduce bonding area

and energy available to deform wafers

• Shallow features– reduce surface

energy

– do not effect stiffness

• Deep features– reduce surface

energy

–reduce stiffness

(Ref’s 35,36)

Page 87: WB course june 2009

AML – Wafer Bonding Machines & Services

Effect of Etch Patterns

Comparison of model results with actual bond.

(Ref’s 35,36)

Page 88: WB course june 2009

AML – Wafer Bonding Machines & Services

Low Temperature (Plasma) Activated

Direct Bonding

Page 89: WB course june 2009

AML – Wafer Bonding Machines & Services

Plasma Activated Direct Bonding

• This is an important development in the last few years and has been subject of extensive R&D

• Enables full strength Si:Si (SiO2:SiO2) at temperatures as low as 200oC

• The mechanism is not fully understood but linked to “dangling bonds”

• Very short plasma exposures needed (~30s) makes in-situ process viable

• Opportunity for removing expensive, difficult to dispose wet chemicals

• Makes direct bonding more attractive process (temperature compatible)

• Enables otherwise non-compatible materials to be bonded (eg GaAs:Si,

quartz:Si etc.)

Page 90: WB course june 2009

AML – Wafer Bonding Machines & Services

Plasma Activation / Low Temperature Direct Bonding

Comparison of activated & Non-activated Bond Strength vs Anneal temperature

Page 91: WB course june 2009

AML – Wafer Bonding Machines & Services

Plasma Activation Process Conditions

• Wafers are exposed to brief low power plasma• Typical RIE plasma power of ~50W-200W, • Exposure time ~20 sec to 200 sec• Increased plasma exposure roughens surface• Water dip post exposure - can improve quality but compromises bond strength vs. anneal curve• Oxygen is most common plasma, also N2 and Ar.#• H2 plasma can be used to create hydrophobic surface

Page 92: WB course june 2009

AML – Wafer Bonding Machines & Services

Plasma Bonding Notes• Strong bonds can be achieved at anneal temepratures of 200C• Bond interface will be porous –• Therefore may not be suitable for hermetic sealing

Page 93: WB course june 2009

AML – Wafer Bonding Machines & Services

Surface Activation Using Radicals (RAD Activation)

• Exposing wafer surfaces to Plasma can cause roughening of the surface = narrow process window (ref 44)

• Exposing wafer surface only to the Radical components of the plasma achieves bond strength without roughening wafer surface.

Page 94: WB course june 2009

AML – Wafer Bonding Machines & Services

Radical ActivationAFM results showing Surface Characteristics vs

Activation Time

Wafer Process Pressure Time Sa

Phase (˚)

Sa

Topographic (nm)

1 0.51 0.172 1 0.183 0.84 0.754 0.52 0.295 0.42 0.266 0.34 0.247 0.58 0.148 - -9 0.42 0.110 - -11 0.16 0.1312 - -13 0.18 0.1414 0.19 0.1215 Plasma 200mTorr 2 - -

- -

Plasma

RAD (Air)

None

2

5

10

2

5

10

65mTorr

60mTorr

AML data

Page 95: WB course june 2009

AML – Wafer Bonding Machines & Services

Radical-Co-axial Source

Sour

ce

Sour

ce

DC source

R. pump

Gas supply

Only radicals in this region

Wafers for in-situ activation

Earthed screen

Page 96: WB course june 2009

AML – Wafer Bonding Machines & Services

Radical Activation Tool

Experimental set-up using glass vacuum chamber – showing electrical discharge confined between the ring electrodes

Page 97: WB course june 2009

Radical Activation

Spectra of untreated and treated silicon

0

1000

2000

3000

4000

5000

6000

02004006008001000

Binding energy /eV

Coun

ts p

er s

econ

d

Centre

Spectra of untreated and treated silicon

0

1000

2000

3000

4000

5000

6000

02004006008001000

Binding energy /eV

Cou

nts

per

seco

nd

Untreated

XPS spectra showing comparison of silicon wafer before and after activation by Oxygen Radicals

Shows reduced carbon peak post exposure

Page 98: WB course june 2009

AML – Wafer Bonding Machines & Services

Plasma Activation / Low Temperature Direct Bonding

•A drawback with plasma activated bonding is the long term stability of the interface.

•Electrical measurements have been made on plasma activated wafers which have been stored for 5 years.

•These show the capacitance across the interface, and the resistance of the interface changes with time.

Page 99: WB course june 2009

AML – Wafer Bonding Machines & Services

Direct Bonding of Substrates Other Than Si

• Low tempature activated bonding means dis-similar substrates can be joined (CTE mismatch less important)• Surafce roughness/ flatness requirements the same (or better) than Si case, hydrophilic / hydrophobic considerations apply•Important substrates are Quartz – Quartz; Pyrex –Pyrex (pharmasutical); Si – Pyrex (replaces anodic bond) and Si – Sapphire (SOI)

Page 100: WB course june 2009

AML – Wafer Bonding Machines & Services

Dissimilar substrates• Important emerging technology – engineered substrates,

e.g. Si on sapphire, Si on Quartz – improved CMOS / optoelectronic performance. (Ref 45,46)

• Different CTE materials need to be joined = requirement for low temperature bonding / smart cut process in order to minimise CTE induced strain.

• Need to effect the smart cut process without causing bulk material fracture / bond delamination.

• After smart cut a high temperature anneal can be used to remove / diffuse interface species (OH groups).

Page 101: WB course june 2009

AML – Wafer Bonding Machines & Services

Dissimilar substrates• Contacting wafers at intermediate elevated temperature can

increase the working range and allow smart cut temperature to be achieved without fracture / delamination.

• May also need to contact at high temperatureif the bonded pair is going to need further processing – eg if the TCE mismatch allows a 200C temperature range after bonding and the device needs to work at RT but will require further processing at 400C, the best to contact the wafers at 200C

• Note that it is necessary to maintain some water on wafer surfaces and so contacting at higher temperatures may not result in bondfront propagation

Page 102: WB course june 2009

AML – Wafer Bonding Machines & Services

Alignment of Si-Si Bonded WafersCan use IR optics, “front & back” camera

systems (eg EVG), or use wafer movement to enable optical path to both bonding surface

(eg Suss)

Double side polished wafers, with back-side alignment marks are necessary for the “front

& back” method

Page 103: WB course june 2009

AML – Wafer Bonding Machines & Services

IR Alignment of Si-Si Bonded Wafers

• Accuracy of IR alignment depends on the nature of the Si wafers

• Double side polished wafers- resolution of alignment features is dependent on imaging system and wavelength of light, 2μ accuracy possible

• Single side polished wafers- resolution limited by the roughness of the back surface, typically 10-20 μ features can be resolved

Page 104: WB course june 2009

AML – Wafer Bonding Machines & Services

Bond Inspection Techniques

• 3 main techniques for inspecting bond quality• Optical transmission, using visible and IR light• SAM (Scanning Acoustic Microscopy)• XRT (X Ray Topography)• TEM (Transmission Electron Topography) limited use but

best resolution

ref 19,20

Page 105: WB course june 2009

AML – Wafer Bonding Machines & Services

Optical Transmission

• Photon energy hν ≥ Bandgap Eg, photons can break bonds in material and easily be absorbed

• If ν < Eg/h then photons can travel through the material

• Standard exponential absorption relationship with material type and material thickness , Iz = I0exp(-αZ)

• Photons can be absorbed by free carriers (hence metals are opaque). Heavily doped materials do not transmit.

Page 106: WB course june 2009

AML – Wafer Bonding Machines & Services

Material Bandgap vs. Minimum Transmissive Wavelength

0

0.5

1

1.5

2

0 1 2 3 4 5 6 7 8 9

min tramsmission wavelength (um)

mat

eria

l min

ban

dgap

(eV)

material bandgap (eV) min wavelength (um)Ge 0.67 1.85Si at 600K 1.03 1.20Si 1.12 1.10Ge at 600K 1.28 0.96InP 1.35 0.91AlGaAs 1.42 0.87GaAs 1.43 0.86AlAs 2.16 0.57a SiC 2.2 0.56b SiC 2.93 0.42GaN 3.4 0.36ZnS 3.6 0.34C 5.48 0.22AlN 6.2 0.20SiO2 8 0.15

• As material temperature increases min transmission wavelength increases

• CCD cameras only effective to ~1.1μm so Si at 300C not easy to image through

Page 107: WB course june 2009

AML – Wafer Bonding Machines & Services

• For 1.1μ light only voids with a depth of λ/4 can be imaged.

• Depth of void can be estimated from number of fringes (N) using D = N×(λ/4 )

• Typically a 1mm void can be seen. Smaller features can be seen using an IR microscope

• Non destructive technique• Cheap and quick to setup and get results• Poor resolution relative to other techniques

Optical Transmission

Page 108: WB course june 2009

AML – Wafer Bonding Machines & Services

Scanning Acoustic Microscopy (SAM)

• Acoustic waves, typically 10-200MHz focused on bond interface, reflected waves measured

• For 160MHz scan, resolution of 10μm• Attenuation increases with increasing frequency = better

resolution but smaller signal• Sample must be in liquid coupling medium, e.g. DI water.

Therefore no use for weakly bonded substrates• Results can be confusing if voids are not homogeneous• Better resolution than optical transmission but more

expensive and slower

Page 109: WB course june 2009

AML – Wafer Bonding Machines & Services

X-Ray Topography (XRT)

• Offers best resolution (2μ) but only of use for crystalline solids

• X-ray beam diffracted according to- n λ=2dsinθ (θ= Bragg angle, d = lattice spacing)

• Gives info on voids plus elastic and plastic lattice distortion

• Very slow technique, difficult to set up.• Very expensive systems

Page 110: WB course june 2009

AML – Wafer Bonding Machines & Services

Transmission Electron Microscopy (TEM)

• Need to thin samples to 1000~3000A• Very high resolution (2A)

Page 111: WB course june 2009

AML – Wafer Bonding Machines & Services

Bond Strength Measurement Techniques

Adhesive

Bonded Dies

Tensile Force

Tensile Force Shear Force

Shear Force Wafer 1

Adhesive

AdhesiveThin Film

Tensile Force

Wafer 2

Wafer 1 Adhesive

Blade Adhesive

Pressure

Wafer 1

Wafer 2

Wafer 1

Tensile & Shear Load Test Thin Film Bond – Tensile Load Test

Crack Opening Test Blister Test

Page 112: WB course june 2009

AML – Wafer Bonding Machines & Services

Bond Strength and Crack Propogation

• Bond strength can be estimated from crack length measurements

• Inserting a blade of known thickness between wafers will cause bond fracture of a length related to the bond strength

• Very large error on this measurement

Page 113: WB course june 2009

AML – Wafer Bonding Machines & Services

Wafer Bond Strength

Note: E = bulk modulus, t = wafer thickness, and y = blade tip radius are all known quantities. The crack length L needs to be measured to determine the bond energy, γ. The 4th power dependence means this is not an accurate technique

Page 114: WB course june 2009

AML – Wafer Bonding Machines & Services

Bond Strength and Crack Propogation

Crack Length vs. Bond energy

0.1

1

10

100

1000

10000

100000

0 0.005 0.01 0.015 0.02

crack length m

surf

ace

ener

gy J

m-2

ref 20

Page 115: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodic Bonding: Advantages over Direct Bonding

• Anodic Bonding temperature typically 400oC compared with ~1000oC for fusion (Note that this advantage is reversed with the new plasma activated direct bonding)

• Surface roughness requirement for fusion bonding is ~ few Ångströms compared with a few 10’s nm for anodic bonding (4)

• Anodic bonding more tolerant of surface particles and is generally a more robust process

Page 116: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodic Bonding: Drawbacks compared with Direct Bonding

• Silicon thermal expansion is not an exact match with glasstherefore some stress in bonded wafers

• Direct bonded wafers have higher temperature capabilitywhereas anodic bonding limited by strain point of the glass

• Direct bonded wafers can be used for subsequent IC processing, whereas the anodic bonding process introduces alkali metal ions:not allowed for CMOS processing (but AML working on process to overcome this)

Page 117: WB course june 2009

AML – Wafer Bonding Machines & Services

Comparison of Other Various Wafer Bonding Techniques

Page 118: WB course june 2009

AML – Wafer Bonding Machines & Services

Types of Wafer Bonding

Wafer bonding processes to be compared:

• Anodic bonding

• Direct (fusion) bonding

• Glass frit bonding

• Eutectic bonding

• Adhesive bonding

• Solder Bonding

Page 119: WB course june 2009

AML – Wafer Bonding Machines & Services

Glass Frit BondingThis process involves the deposition of a layer that contains glass frit on one of the surfaces to be bonded

The frit can be spun-on, screen printed or applied as a pre-form tape

The process typically involves controlled ramp heating and dwells at set temperatures to drive off the bonding material

Wide range of glass frits available with different reflow temperatures and thermal expansion coeff’s.

Page 120: WB course june 2009

AML – Wafer Bonding Machines & Services

Glass Frit BondingThe most widely used glass fritfor MEMS is Ferro’s FX11-036. This can be used for bonding silicon, glass and quartz.

Drawbacks of FX11-036 are :

1. relatively high process temperature (450C)

2. material contains lead

New low temperature (320C) glass frit (DM2700P/H848)and also lead-free glass frits (DM2995P/J141) have recently become available

Page 121: WB course june 2009

AML – Wafer Bonding Machines & Services

Glass Frit Bonding

One of the main reasons for using glass frit bonding is for achieving hermetic sealing on substrates with high topography or with a multitude of conducting lateral feedthroughs. The only other bonding option in this case is adhesive and this does not exhibit hermeticity

Can achieve vacuums of 1mBar

Page 122: WB course june 2009

AML – Wafer Bonding Machines & Services

Glass Frit Bonding

Organic Burn-out and Glazing Profile for DM2995P/J141

Page 123: WB course june 2009

AML – Wafer Bonding Machines & Services

Glass Frit Bonding

Sealing Profile for DM2995P/J141

Page 124: WB course june 2009

AML – Wafer Bonding Machines & Services

Lead-free frit properties (1 of 3)

Page 125: WB course june 2009

AML – Wafer Bonding Machines & Services

Lead-free frit properties (2 of 3)

Page 126: WB course june 2009

AML – Wafer Bonding Machines & Services

Lead-free frit properties (3 of 3)Sealing Time and Temperature Options (a) lead-free, (b) low T

Glazing and sealing profiles may vary depending on the size and thermal properties of the components being processed.

Clean, dry air atmosphere is preferred. Nitrogenatmosphere may require higher process temperatures or longer process times.

Vacuum atmosphere should be avoided.

(a)

(b)

Page 127: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodic Bonding: Comparison with Glass Frit Bonding

Advantages:

• No glass flow, therefore better dimensional control for micromachined cavities etc.

• Process temperature lower (for Si wafers) compared with 400 - 500oC for frit bonding

• typically shorter cycle times

• Precise contact forces not needed for anodic bonding

Drawbacks:

• Frit bonding can produce better vacuums

Page 128: WB course june 2009

AML – Wafer Bonding Machines & Services

Eutectic BondingEutectic bonding can be performed with a wide range of

alloys.

The eutectic composition of an alloy is the composition with the minimum melting point, and the eutectic temperature is the temperature at which this composition melts.

The standard methodology for eutectic bonding is to have one substrate (wafer 1) coated with a thin film of eutectic composition, and the other substrate (wafer 2) to be bonded, coated with a thin film of one of the two constituents of the eutectic material.

Page 129: WB course june 2009

AML – Wafer Bonding Machines & Services

Eutectic Bonding

Binary alloy phase diagram

The lowest melting point is at the eutectic concentration

Page 130: WB course june 2009

AML – Wafer Bonding Machines & Services

Eutectic BondingThe wafers are brought into contact at a temperature just below

the eutectic temperature and a force, typically ~2kN, applied.

The wafers are then heated to above the eutectic temperature and the eutectic composition on wafer 1 will melt and material from the coating on wafer 2 will begin to dissolve into the melt.

This changes the composition to a non-eutectic state and the material solidifies to form a bonding layer with a higher reflowtemperature than the eutectic temperature.

This results in a controllable, reproducible process and resulting bond that has higher temperature performance than the original M.P.

Page 131: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSn Eutectic Bonding

The most commonly used material combination for eutectic bonding of this type is Au:Sn.

The phase diagram shows that the desired 280 ° C AuSn eutectic point is at 20% wt tin, and has steep walls.

A 1% shift in the AuSn solder composition to the Au rich area can raise the melting point of the solder 30 ° C, making the solder unusable. [ref ]

Page 132: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSn Eutectic Bonding

Au:Sn Phase Diagram

Page 133: WB course june 2009

AML – Wafer Bonding Machines & Services

Depositing the Eutectic Layer (1/4)Stamped AuSn Solder Preforms

The minimum preform size is 25 microns thickness and 1mm x 1mm areas - due to difficulties in preform stamping and handling.

Vacuum-Deposited AuSn PreformsDeposit alternate layers of gold and tin until the target deposit

thickness and metal stoichiometry are reached.

Thin deposits are common (less than 1µm) and are usually made up of gold and tin layers from 0.1-0.5µm thick.

Gold is used for the top layer to protect the tin from oxidationwhile ensuring solderability during reflow.

Page 134: WB course june 2009

AML – Wafer Bonding Machines & Services

Depositing the Eutectic Layer (2/4)

Paste Screening AuSn PreformsSolder pastes are comprised of 80:20 alloy spheres with a

thixotropic carrier material to support the spheres and fluxing agents.

Metal content is controlled to ±1%

The solder feature size, volume, and placement accuracy are limited to the capabilities of the selected screen printing process.

Drawback of paste is the need for flux. When using flux there is always the possibility of out-gassing volatile compounds that may condense on the device surfaces or be trapped inside the package.

Page 135: WB course june 2009

AML – Wafer Bonding Machines & Services

Depositing the Eutectic Layer (3/4)

Electroplated AuSn Preformsphotoresist defines the shape, thickness and location

of the desired solder deposit.

Gold and tin are then sequentially plated in steps alternating between gold and tin.

Alternating Au and Sn plating layers, deposited in a 1.5:1 thickness ratio of Au to Sn, are necessary to achieve the proper 80Au:20Sn stoichiometric ratio.

The outer plated surface is always gold to insure proper wetting and reflow of the solder and substrate metalization during assembly.

Page 136: WB course june 2009

AML – Wafer Bonding Machines & Services

Depositing the Eutectic Layer (4/4)

With the proper selection of plating tools and chemistry it is possible to deposit AuSn solder features with a liquidus onset consistency of ±1°C. Solder features as small as 20 microns, with pitches as close as 5 microns, can be deposited at wafer scale with dimensional variations of better than ±5.0 %.

Page 137: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSn Eutectic BondingBenefits & Drawbacks

Benefits

Can be performed at temperatures as low as 300oC therefore compatible with wide range of materials

Metallic bond has high strength & good hermeticity

Drawbacks

Not compatible with lateral feedthroughs

Exact (<1%) compositional control required

Page 138: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSn Eutectic BondingExample of Bond Quality

Obtained using Bonder with Good platen parallelism and good force uniformity

Page 139: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSn Eutectic BondingExample of Bond Quality

Obtained using Bonder with poor platen parallelism and poor force uniformity

Page 140: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodic Bonding: Comparison with AuSn Eutectic Bonding

Advantages:

•No pre-forms or deposited interlayers required

Compatibility with conducting leadthroughs

Drawback:

AuSn bonding can be performed at ~300C – but very important to have exact eutectic composition

Page 141: WB course june 2009

AML – Wafer Bonding Machines & Services

Types of Eutectic Bonding

(Ref 42)

Page 142: WB course june 2009

AML – Wafer Bonding Machines & Services

Only need to gold coat one of the two wafers (assuming that we are bonding silicon wafers).

The silicon substrate itself provides the material for forming the AuSi eutectic.

For this reason it is important that the silicon is not covered by an oxide.

Standard practice is to dip the silicon in dilute or buffered HF prior to bonding in order remove any native oxide.

AuSi Eutectic Bonding

Page 143: WB course june 2009

AML – Wafer Bonding Machines & Services

Quality of the thin film deposition is important

The deposited layer should be low stress and include a diffusion barrier to prevent the movement of unwanted material to the surface.

If the process is performed correctly then high quality, strong bonds can be realised.

Vacuum cavities in 10-4 mBar range have been achieved [Ref 42)].

AuSi Eutectic Bonding

Page 144: WB course june 2009

AML – Wafer Bonding Machines & Services

Example of AuSi eutectic bonds (in-situ pre-treatment of wafer surfaces to remove native oxide prior to bonding

AuSi Eutectic Bonding

Page 145: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSi Eutectic Bonding (Ref 42)

Page 146: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSi Eutectic Bonding (Ref 42)

Page 147: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSi Eutectic Bonding

μ-probe analysis of TiAu-Si bond

(Ref 42)

Page 148: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSi Eutectic Bonding

SEM cross section of CrAu-Si bond

(Ref 42)

Page 149: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSi Eutectic Bonding

SEM cross section of NiAu-Si bond

(Ref 42)

Page 150: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSi Eutectic Bonding

SEM cross section of TiPtAu-Si bond

(Ref 42)

Page 151: WB course june 2009

AML – Wafer Bonding Machines & Services

AuSi Eutectic Bonding

VABOND Conclusion

(Ref 42)

Page 152: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodic Bonding: Comparison with AuSi Eutectic Bonding

Advantages:

• Anodic bonding can be used for Si that has surface coatings whereas eutectic bonding requires bare silicon

• No pre-forms or deposited interlayers required

• Compatibility with conducting leadthroughs

Note: process temperatures are comparable for the two processes

Page 153: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodic Bonding: Comparison with AuSi Eutectic Bonding

•Au-Si eutectic temperature 370ºC at 31% Au in Si Bonding temperature is well below Al interconnect melting point

Page 154: WB course june 2009

AML – Wafer Bonding Machines & Services

Low Temperature Eutectic Bonding

There are a range of indium alloys that allow eutectic bonding to be performed at temperatures < 200oC. (Ref 50)

This is important for compatibility with materials that cannot be subjected to higher temperatures.

Sufficiently low a temperature to consider using the process for joining dissimilar materials for which a thermal expansion mismatch exists

Page 155: WB course june 2009

AML – Wafer Bonding Machines & Services

Low Temperature Eutectic Bonding

In Au Phase Diagram

(Ref 43)

Page 156: WB course june 2009

AML – Wafer Bonding Machines & Services

The bonding principle of In-Au system:(a) multiplayer Au-In composite on the die converts to In-AuIn2 composite right after deposition;

(b) at 157 o C, indium layer melts and turns the composite into a mixture of liquid phase with AuIn2 grains;

(c) in 157-180 o C range, more AuIn2 is produced;

(d) solidification of the mixture to form a joint below 157 o C.

Despite the large mismatch on coefficient of thermal expansion (CTE) between silicon and copper, no die cracking is observed

Page 157: WB course june 2009

AML – Wafer Bonding Machines & Services

Materials for Adhesive BondingEpoxies Thermal or two part curing

UV-epoxies One of the substrates has to be transparent to UV light)

+ve photoresists UV / thermal curing, selective bonding, weak bond

-ve photoresists UV / thermal curing, selective bonding

Benzocyclobutene (BCB) thermal curing, high yield , selective bonding

PMMA thermal curing, hot melt

Fluoropolymers thermal curing, chemically very stable bond

Waxes hot melt, mainly for temporary bond

Page 158: WB course june 2009

AML – Wafer Bonding Machines & Services

Time Scale for Moisture Penetration through various Materials

(Ref 33)

Page 159: WB course june 2009

AML – Wafer Bonding Machines & Services

1. Cleaning and drying of the wafers. Remove particles, contaminations, and moisture from the wafer surfaces.

2. Treating the wafer surfaces with an adhesion promoter -optional.

Adhesion promoters can enhance the adhesion between the wafer surfaces and the polymer adhesive

3. Applying the polymer adhesive to the surface of one or both wafers; patterning the polymer adhesive optional.

The most commonly used application method is spin coating.

3a. Polymer patterning (optional)

Typical process steps for adhesive wafer bonding (1/3)

Page 160: WB course june 2009

AML – Wafer Bonding Machines & Services

4. Soft baking or partially curing of the polymer. Solvents and volatile substances are removed from the polymer coating. Thermosetting adhesives should not be polymerized, or may only be partially polymerized.

Thermoplastic adhesives may be completely polymerized, since they can be remelted to achieve bonding.

5. Placing the wafers in the bond chamber, establishing a vacuum atmosphere, and joining the wafers inside the bond chamber.

The wafers are joined in a vacuum atmosphere to prevent voids and gases from being trapped at the bond interface. The vacuum atmosphere can also be established after the wafers are joined, as long as trapped gases at the bond interface can be pumped away before the bond is initiated.

Typical process steps for adhesive wafer bonding (2/3)

Page 161: WB course june 2009

AML – Wafer Bonding Machines & Services

6. Apply pressure to the wafer stack with the bond tool.

The wafer and polymer adhesive surfaces are forced into intimate contact over the entire wafer. For thermosetting polymer adhesives, the bonding pressure should be applied before the curing temperature is reached. If thermoplastic polymer adhesives are used, the bond pressure can be applied after the bonding temperature is reached.

7. Remelting or curing the polymer adhesive while applying pressure with the bond tool.

The hardening procedure depends on the curing mechanism of the used polymer adhesive. The reflow of the polymer adhesive is typically triggered through elevated temperature.

8. Chamber purge, cool down, and bond pressure release.

Typical process steps for adhesive wafer bonding (3/3)

Page 162: WB course june 2009

AML – Wafer Bonding Machines & Services

Polymer adhesive and wafer materialsThe intermediate polymer adhesive must not release

solvents or by-products during the hardening process if the wafer materials are not permeable to gases.

Volatile substances get trapped as voids at the bond interface if they evolve from the polymer adhesive after the wafers are joined.

The polymer adhesive must provide sufficient wetting of the wafer surfaces and flow or achieve a viscoelastic state during the bonding process.

The wafer materials must be compatible with the bonding process e.g., temperatures, UV light transparency.

Page 163: WB course june 2009

AML – Wafer Bonding Machines & Services

Amount /size of particles, wafer surface topography, and adhesive thickness

Particle-free surfaces are key to good bonding results. Particles at the wafer surface that are larger than the thickness of the polymer adhesive may cause bonding defects or extended voids.

If the wafer surface topography is high compared to the thickness of the polymer layer, unbonded areas can result. The polymer reflow and the wafer deformation may not compensate for the topographic features on the wafer surface.

-The use of very thin 1μm polymer layers more likely results in unbonded areas than the use of thicker polymer layers. Thin polymer layers compensate for surface non-uniformities and particles at the bond interface to a lesser extend.

Page 164: WB course june 2009

AML – Wafer Bonding Machines & Services

Issue – accurate alignment can be difficult when using thick adhesive layers. The problem arises due the wafers moving relative to each other due to the pre-cured adhesive acting as a lubricating layer. This restricts alignment accuracy to approx20microns

AML have developed special tooling that overcomes this limitation.

The key step is to pin the wafers together at the centre during curing

Alignment during adhesive bonding

Page 165: WB course june 2009

In-situ observation of epoxy spread during aligned bonding

In Situ Observation of Bond Progress

Page 166: WB course june 2009

AML – Wafer Bonding Machines & Services

Bonding ToolsControlled wafer contact

The system shown enables the aligned wafers to brought into contact without any alignment shift (provided that the platens are set up to be parallel

Wafer bow system

lower chuck

The set up works even with thick adhesive bonding , enabling 1 micron alignment accuracy, whereas conventional mask aligner / transfer to bond chamber processes can only achieve 10-20 micron accuracy.

Page 167: WB course june 2009

AML – Wafer Bonding Machines & Services

Bonding pressure / force

The bonding pressure facilitates deformation of the intermediate polymer adhesive and the wafers, and brings the surfaces in sufficiently close contact to achieve bonding.

High bonding pressures increase the conceivable deformation of the polymer adhesive and the wafers. However, excessive bonding pressures may cause high stress. Thus, structures that are present on the wafers can be destroyed or the wafers may crack.

The bonding pressure that is introduced to the wafer stack should be uniform to avoid differences in the resulting thickness of the intermediate polymer material.

Polymer adhesives tend to flow from areas of higher pressure towards areas of lower pressure while they are in a liquid phase.

Page 168: WB course june 2009

AML – Wafer Bonding Machines & Services

Polymerisation level of the polymer adhesive

Thermosetting polymer adhesives should be unpolymerized or partly polymerized prior to bonding.

If the amount of polymerization before creating the bond is too high, the polymer adhesive does not deform and adapt sufficiently to the wafer surfaces.

For thermoplastic polymer adhesives the amount of polymerization before the bonding is not decisive. They remelt during the bonding process to achieve bonds between the surfaces.

Page 169: WB course june 2009

AML – Wafer Bonding Machines & Services

Wafer thickness

Thin wafers are more easily deformed by the bonding pressure to compensate to surface nonuniformities at the bond interface and thus, less stress is introduced at the bond interface.

Polymer curing conditions

The bonding temperature and the temperature ramping cycles have to be adjusted to the requirements of the used polymer adhesive.

Page 170: WB course june 2009

AML – Wafer Bonding Machines & Services

Anodic Bonding: Comparison with Adhesive Bonding

Advantages:

• Higher strength bond

• True hermetically sealed cavities achievable (polymer adhesiveshave significant diffusion rates)

• Better long term stability

• Zero thickness bondline results in better dimensional control

• Better thermal expansion match

Drawbacks:

• Higher process temperatures

• Sealing over thick tracks not possible

Page 171: WB course june 2009

AML – Wafer Bonding Machines & Services

Thermocompression Bonding

•Bond formed by plastic deformation of bonding layers•Bond formed with heat + pressure only•Relatively low temperature process (typically in the 250C~320C region)•Thin film intermediary as bonding layer•Au is the principle material used in thermocompression bonding. Cu and other metals can be used. Softer metals allow for less aggressive process conditions, e.g. indium.•Intermediary needs to yield under the available process conditions.•Accommodates larger surface roughness than direct bonding.

Page 172: WB course june 2009

AML – Wafer Bonding Machines & Services

Thermocompression Bonding 2

•For hermetic seals the surface roughness still needs to be very low•Thin film intermediary means thermal mismatch between bonding layerand substrate is less critical •Good dimensional control of the bond interface / structures in the interface •For large bonding areas, very high forces are required•Bonding has been successful at pressures between 1MPa and 120MPa.

Insert SAM example

Page 173: WB course june 2009

AML – Wafer Bonding Machines & Services

Thermocompression Bonding 3

•Trade off between process temperatures and bonding force •High strength bonding requires >30MPa.•Purity of the gold layer is important •A diffusion barrier is required to prevent Si diffusion into the gold layer.•Thermocompression reference – ref 49

SEM images of gold surface without diffusion barrier.

Page 174: WB course june 2009

AML – Wafer Bonding Machines & Services

Thermocompression 4

•Layer thickness can be as low as 0.25um, however thicker layers are required to overcome wafer TTV.•Important to have all bonding surface in contact at low pressure

•Bonding time is not a significant variable. Process can be very short•reference

Page 175: WB course june 2009

AML – Wafer Bonding Machines & Services

Thermocompression Applications

•Gold thermocompression bonding can be performed 260C, therefore many devices can be packaged

•In principle a thermocompression bond can form a hermetic seal given smooth surfaces and flat wafers.

•Bond can also be used to form interconnections to the device wafer during the encapsulation process.

•There is no out gassing during the bonding process so the technique is suitable for high vacuum packaging.

Page 176: WB course june 2009

AML – Wafer Bonding Machines & Services

Examples of Thermocompression Bonds

Page 177: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Wafer Bonding

• Glass Frit ~43%

• Anodic 37%

• Silicon bonding 8%

• Epoxy 7%

• Eutectic 5%

Breakdown of bonding applications in industrial devices

Page 178: WB course june 2009

AML – Wafer Bonding Machines & Services

Some products on the market that use anodic bonding

• Pressure sensors – Bosch• Accelerometers - SensoNor• Gyroscopes - British Aerospace• Micropumps - Debiotech

Page 179: WB course june 2009

AML – Wafer Bonding Machines & Services

Devices under development using anodic bonding

• Optical MEMS switches

• Microfluidic Devices

• Optical Tuneable filters

• Microphones

• Flow simulators for the oil industry

Page 180: WB course june 2009

AML – Wafer Bonding Machines & Services

Bosch - Bulk micromachining Pressure sensor

Sensor chip MembraneBondwires

Glass basis

Metallhousing

Test environment

Referencevacuum

Example of Anodic Bonding Application

Page 181: WB course june 2009

AML – Wafer Bonding Machines & Services

Example of SensoNor Product that uses Triple Stack Bonding

•• 4th generation 4th generation SensoNor wafer SensoNor wafer processprocess

•• 33--stack glassstack glass--SiSi--glassglass•• Hermetically sealedHermetically sealed•• Buried conductor Buried conductor

technology (patent)technology (patent)

Page 182: WB course june 2009

AML – Wafer Bonding Machines & Services

SensoNor - Foundry Service using Bulk Micromachining and Wafer Bonding

The central silicon wafer is structured using bulk micromachining to form beams, cantilevers, nozzles, etc, and the outer glass wafers are hermetically bonded using wafer bonding (in this case, anodic bonding)

Page 183: WB course june 2009

AML – Wafer Bonding Machines & Services

Product examplesAccelerometersAccelerometers

•• SA seriesSA series

e.g. 20 ,30 ,50e.g. 20 ,30 ,50

Tyre pressure sensorsTyre pressure sensors

•• SP seriesSP series

e.g. 10,11,12,13..e.g. 10,11,12,13..

SensoNor

Page 184: WB course june 2009

AML – Wafer Bonding Machines & Services

Silicon Sensing Products; Gyro

Page 185: WB course june 2009

AML – Wafer Bonding Machines & Services

Debiotech’s micropump

Page 186: WB course june 2009

AML – Wafer Bonding Machines & Services- APPLIED MICROENGINEERING - AML - the Design House

Debiotech’s micropump

Cross section showing pump mechanism

Pump chip

Page 187: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Silicon Direct Bonding

Page 188: WB course june 2009

AML – Wafer Bonding Machines & Services

Application of Direct BondingMicrofluidics (Valves and Pumps)

Page 189: WB course june 2009

AML – Wafer Bonding Machines & Services

Application of Direct BondingCombine Bonding with DRIE

Page 190: WB course june 2009

AML – Wafer Bonding Machines & Services

Application of Direct BondingMicrocombustor

•MEMS for power generation Hydrogen or hydrocarbon fuels

•Multi-wafer stack

•Aligned wafer bonding

Page 191: WB course june 2009

AML – Wafer Bonding Machines & Services

Application of Direct BondingMicrocombustor

Page 192: WB course june 2009

AML – Wafer Bonding Machines & Services

Smart Cut ™ Process

Page 193: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Glass Frit Bonding

Page 194: WB course june 2009

AML – Wafer Bonding Machines & Services

Bosch accelerometer

Cross Section of the accelerometer Structure

Glass frit bond

Page 195: WB course june 2009

AML – Wafer Bonding Machines & Services

Memscap Precision Pressure Sensor

Page 196: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Adhesive Bonding

Page 197: WB course june 2009

AML – Wafer Bonding Machines & Services

Three Dimensional Wafer Integration Using Adhesive Bonding

Page 198: WB course june 2009

AML – Wafer Bonding Machines & Services

Process Sequence for Membrane TransferBonding Using Adhesive Bonding

TransducerSacrificialDevice Wafer Sacrificial

Device Wafer

Target Wafer

Adhesive

Contact PadsElectricalVia Contacts

(a) (c)(b)

(d) (f)(e)Target WaferTarget Wafer

Target WaferTarget Wafer

Target Wafer

Page 199: WB course june 2009

AML – Wafer Bonding Machines & Services

Process Sequence for CMOS compatible Bolometers Using Adhesive Bonding

(h)

SacrificialDevice Wafer

ULTRA-i 300

Target Wafer (IC)

SacrificialDevice Wafer

SiTi/Pt

Sputtered Al

ContactPads (Al)

(a)

(g)(f)(e)

(c)(b)

ElectricalVia Contacts

(d)

MoSi

SiN

SiO2

ElectricalVia Contacts (Al)

Poly Silicon(Thermistor)

MoSiContact Pads (Al) SiNTi/Pl

Target Wafer (IC)Target Wafer (IC)

Target Wafer (IC)Target Wafer (IC)Target Wafer (IC)

Target Wafer (IC) Target Wafer (IC)

Page 200: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Low Temperature Bonding

• Opto- electronic Integration

• Thermal management

• Engineered Substrates

• Layer transfer

Page 201: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Low Temperature Bonding

Layer Transfer•Layer transfer by:-

–Bonding and Etch Back Using Etch Stop Layer

–Etch Release Layer, e.g. porous Si layer

–Layer Splitting, e.g. “Smart Cut” technology where H ion implantation causes a defect plane which can be cleanly split away from the bulk material

–Lateral Etching

•Important for SOI fabrication and epi layer fabrication

ref 19,20,

Page 202: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Low Temperature Bonding

Engineered Substrates

•Ability to bond at room temperature allows different CTE substrates to be bonded together, e.g.

•Particularly important for opto electronics, allows combination of electronics with high performance optical substrates

Page 203: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Low Temperature Bonding

Source:

MIT

Page 204: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Low Temperature Bonding

Source:

MIT

Page 205: WB course june 2009

AML – Wafer Bonding Machines & Services

Applications of Low Temperature Bonding

Source:

MIT

Page 206: WB course june 2009

AML – Wafer Bonding Machines & Services

Emerging Application:Wafer Level Packaging

• Anodic bonding already widely used for first order packaging (i.e. sensitive microstructure already hermetically sealed but bond pads exposed for wire bonding (e.g. SensoNor devices)

• Techniques under development for including vias in the glass, plated feedthroughs and solder bumps such that the diced silicon / glass chips become the complete package.

Page 207: WB course june 2009

AML – Wafer Bonding Machines & Services

Vacuum encapsulationMany MEMS devices require vacuum encapsulationbe used – some perform better than others

Many bonding methods can Avoid adhesives – issues with outgassing during bonding, and permeation in subsequent use

Anodic bonding and glass frit bonding limited to 1 mBar (oxygen evolutin for anodic, general outgassing for glas frit)

Metal seals are the most hermetic

Eutectic and thermocompression capable of achieving 10-4mBar

Maintaining the vacuum level requires use of getters

Page 208: WB course june 2009

AML – Wafer Bonding Machines & Services

GettersGetters maintain the vacuum level in encapsulated volumes by reacting with / adsorbing evolved gasses

For wafer bonding best to use thin film getters

Can be deposited and patterned to locate the getters only in the device cavities

Service provided by SAES Getters

Getters are chemically compatible with standard MEMS cleaning processes inc SC1 and SC2

Page 209: WB course june 2009

AML – Wafer Bonding Machines & Services

GettersCan remove H2O, O2, CO, CO2, N2 & H2

Improves the vacuum level and also extends the life of the device

Layer thickness typically 2 micron

Activation required at temperature 300 – 500C

Selective hydrogen getter also available

Page 210: WB course june 2009

Getter Activation Temp –Time Profile

Page 211: WB course june 2009

AML – Wafer Bonding Machines & Services

Commercially Available Wafer Bonding Equipment

For aligned bonding, only 3 serious manufacturers in the world

• AML

• EVG

• Suss Microtec (Karl Suss)

Page 212: WB course june 2009

AML – Wafer Bonding Machines & Services

Commercially Available Wafer Bonding Equipment

Important difference between AML wafer bonders and other commercially available wafer bonding eqpt.

AML bonders are the only ones to feature in-situ alignment

Page 213: WB course june 2009

AML – Wafer Bonding Machines & Services

AlignmentTwo approaches taken by bonder manufacturers: pre-alignment; and in-situ alignment.

Method Pros Cons

Pre-aligned •Can prepare wafers in advance•Simplifies bonder (no need for manipulator stage with vacuum feedthroughs)•No lower limit on glass thickness

•Requires separate aligner (usually a mask aligner), and a transfer tool for each wafer pair prepared in advance. •Cannot be sure alignment is maintained during transfer and bonding.

In-situ •What you see is what you get – can monitor (and change) alignment right up to the moment of bonding•All processes integrated in one machine•Much lower overall cost•Faster throughput

•Increased machine complexity. Needs its own split-field optical system•Upper wafer must be > 200μm thick, or else larger diameter than the silicon

Page 214: WB course june 2009

AML – Wafer Bonding Machines & Services

Important Issues for Bonding Equipment

Alignment system is compatible with required processes (visible or IR)

Platen flatness (<5μm needed for force uniformity), Parallelism < 10 μm

Accurate temperature control (+/- 2oC – needed for some processes)

Independent control of upper & lower platens

Active cooling using N2(needed for high throughput)

Graphite platens and current limiting (for high quality anodic bonding)

Uniform force distribution (for eutectic, adhesive and frit bonding)

High vacuum – 2x10-6 mBar (needed for some wafer-scale packaging applications, and devices such as bolometers)

Page 215: WB course june 2009

AML – Wafer Bonding Machines & Services

Bonding Environment• The surface chemistry of Si wafers is very important in direct

bonding• Initial bond energy shown to decrease with time in vacuum

(H2O molecules leave the surface, reduction in range and magnitude of hydrogen bonding)

• Variation in surface properties across the wafer can cause variation in bond strength from device to device

• AML system maintains large separation between the wafers. Therefore vacuum or process gas pressure is well known where it matters – at the wafer surfaces

• Large wafer separation allows rapid and controllable pumping time and therefore minimises time under vacuum

Page 216: WB course june 2009

AML – Wafer Bonding Machines & Services

AWB04•In-situ alignment +/-1μm• PC controlled system

•Up to 2.5kV @ 40mA

•Wafers up to 150mm as standard (200mm option)

•Max. wafer stack thickness 10mm

•Independent control of upper and lower heaters to 560ºC in 1ºC steps

•Highly flexible design – easily customised

• Force up to 5kN

Page 217: WB course june 2009

AML – Wafer Bonding Machines & Services

AWB04•PC control (Automatic & manual modes)

Page 218: WB course june 2009

Chamber Schematic for In-situ bonders

Page 219: WB course june 2009

AML – Wafer Bonding Machines & Services

Features of AML Bonding Equipment

• Platens held in large separation during heat up and pump down –good for vacuum encapsulation

• Top wafer held inverted, clamped at edge, no contact with bonding surface

• Top wafer is distorted by central pin to ensure single wavefront propagation

• Ability for in-situ cleaning / chemical preparation of the wafer surfaces prior to bondingView of chamber lid showing

in-situ optics

Page 220: WB course june 2009

AML – Wafer Bonding Machines & Services

AML tooling solutions for Direct Bonding• Two approaches – forced bond propagation and unforced bond

propagation • Ideally Si-Si bond is self propagating- minimises stress/bow in

the resulting assembly (ref 3). The AML spring pin / edge clamptool allows control of self bond propagation rate and bond initiation point

• If bulk physical properties and / or surface properties of the wafers mean a bond will not self propagate - necessary to force the wafers together. The AML pin chuck bond tool is designed for this requirement

• The pin chuck tool allows wafers to be force bonded to a particular curvature – in principle this could help to minimise stress or achieve a flatter post bond assembly (important if multi stack bonding is required) see below