22
Paris 2005 Journées Nationales du Réseau Doctoral de Microélectronique Intégration et caractérisation de barrières auto-positionnées pour la passivation des interconnexions cuivre sub-65 nm Sonarith Chhun Philips Semiconductors Crolles R&D, Crolles France LPM INSA, Lyon, France

Sonarith Chhun

  • Upload
    dayo

  • View
    41

  • Download
    0

Embed Size (px)

DESCRIPTION

Intégration et caractérisation de barrières auto-positionnées pour la passivation des interconnexions cuivre sub-65 nm. Sonarith Chhun. Philips Semiconductors Crolles R&D, Crolles France LPM INSA, Lyon, France. Sommaire. Introduction aux barrières auto-positionnées Principes et objectifs - PowerPoint PPT Presentation

Citation preview

Page 1: Sonarith Chhun

Paris 2005

Journées Nationales du Réseau Doctoral de Microélectronique

Intégration et caractérisation de barrières auto-positionnées pour la passivation des

interconnexions cuivre sub-65 nm

Sonarith Chhun

Philips Semiconductors Crolles R&D, Crolles FranceLPM INSA, Lyon, France

Page 2: Sonarith Chhun

2/22

JNRDM Paris 2005

Sommaire

Introduction aux barrières auto-positionnées

– Principes et objectifs

Mécanisme de formation de la barrière CuSiN

Évaluation de la barrière CuSiN

– Efficacité de la barrière CuSiN contre la diffusion du cuivre

Intégration de la barrière auto-positionnée CuSiN

– Impact électrique

– Fiabilité

Conclusion

Page 3: Sonarith Chhun

3/22

JNRDM Paris 2005

Interconnexions cuivre dans les technologies 90 nm

Source DrainGrille

Métal

STI STI

Substrat silicium

Isolant

Contact

Vue schématique en coupe d’une puce Coupe MEB colorée après dépassivation

Ligne

Via

Ligne

Inte

rco

nn

exio

ns

Zo

ne

acti

ve

Page 4: Sonarith Chhun

4/22

JNRDM Paris 2005

Architecture double Damascène Cuivre

Connexion métallique

Dépôt d’une barrière

diélectrique, de l’isolant & des masques

durs

Photo-lithographi

es et gravures

via et ligne

Métallisation par une barrière

métallique et cuivre

Polissage du cuivre

et des masques durs et dépôt d’une

barrière diélectriqu

e

CuivreCuivre

Barrière Barrière diélectriquediélectrique

Low kLow kMasque dur 2Masque dur 2

Masque dur 1Masque dur 1 Barrière Barrière métalliquemétallique

Page 5: Sonarith Chhun

5/22

JNRDM Paris 2005

Rôle– Empêche la diffusion du Cu

Inconvénients– Dégrade la résistance d’une

ligne contre l’électromigration

– Dégrade les performances électriques des interconnexions

Barrières à la diffusion du cuivre

Barrières contre la diffusion du cuivre :

TaN/TaTaN/Ta

SiCNSiCN ((RR=5=5))

Pour une technologie 90 nm

Isolant électrique: SiOC (SiOC (RR=3)=3)

Métal conducteur:: CuivreCuivre

Schéma d’une ligne et d’un via en coupe

Barrière Métallique

(TaN/Ta)

Barrière Barrière diélectrique diélectrique

SiCNSiCNLigne Cuivre

Via ViaIsolant

Barrière diélectrique

⇨ ⇨ Le cuivre est complètement encapsuléLe cuivre est complètement encapsulé

Page 6: Sonarith Chhun

6/22

JNRDM Paris 2005

Performances des interconnexions : produit R.C– Rligne augmente à chaque génération– Ccouplage doit diminuer pour compenser

Capacité totale C = f (C1,C2)– C1 : fixée par la permittivité de l’isolant

– C2 : contribution majeure de la barrière diélectrique barrière > isolant

Remplacer la barrière actuelle par une barrière auto-positionnée Remplacer la barrière actuelle par une barrière auto-positionnée pour pour ddiminuer la permittivité effective de l’empilementiminuer la permittivité effective de l’empilement

Impact de la barrière diélectrique

Ligne 1

Ligne 2

C1

C2  Isolant

Ligne 2

C1

C2

Ligne 1

Isolant

Pour une technologie 90 nmPermittivité de la barrière diélectrique SiCN

= 5Permittivité de l’isolant SiOC = 3

50

100

150

200

250

90 nm 65 nm 45 nm

To

tal c

apac

itan

ce

- 5 % With standard barrierWithout any barrier

- 9 %

- 12 %

Page 7: Sonarith Chhun

7/22

JNRDM Paris 2005

Barrière auto-positionnée de type CuSiN

Formation du composé CuSiN :

1) Nettoyage des lignes de cuivre (retrait de l’oxyde natif)

2) Décomposition d’un composé à base de Si incorporation de Si

3) NH3: incorporation d’azote Formation de la barrière CuSiN

Composé à base de Si Plasma NH3

Cu

Low k

CuSiNCuSiN

Page 8: Sonarith Chhun

8/22

JNRDM Paris 2005

Formation de la barrière CuSiN

Introduction aux barrières auto-positionnéesIntroduction aux barrières auto-positionnées

Mécanisme de formation de la barrière CuSiN

– Étude de 2 composés à base de Si

– Formation de CuSiN en fonction

• Du composé à base de Si

• De la microstructure du cuivre

– Mécanisme de formation de CuSiN

Évaluation de la barrière CuSiN Évaluation de la barrière CuSiN

Intégration de la barrière auto-positionnée CuSiNIntégration de la barrière auto-positionnée CuSiN

ConclusionConclusion

Page 9: Sonarith Chhun

9/22

JNRDM Paris 2005

Décomposition de composés à base de Si Composé à base de Si: Silane SiH4 et TMS (CH3)3SiH

– SIMS effectué sur pleine plaque encapsulée par du SiO2 – Siliciuration: Flux, pression et température identique

Décomposition totale du SiHDécomposition totale du SiH44 (T. Takewaki et al., VLSI 1995) (T. Takewaki et al., VLSI 1995) Décomposition partielle du TMS : moins de Si disponible à la surface du Décomposition partielle du TMS : moins de Si disponible à la surface du

CuCu

0 1000 2000 3000

1

104

106

102

SiO2 Cu

Cu

Si (Silane)Si (Silane)Si (TMS)

Erosion time (a.u)

Inte

nsity

(a.

u)

Cu

Cu

SiO2

SiH4 or TMS

Page 10: Sonarith Chhun

10/22

JNRDM Paris 2005

Impact du recuit cuivre sur la taille de grain 2 températures de recuit cuivre: 250 et 400°C

Concentration de macles plus importante pour un recuit à 250°C

Plus petits grains pour un cuivre recuit à 250°CPlus petits grains pour un cuivre recuit à 250°C

Cu recuit à 250°C Cu recuit à 400°C

1 µm1 µm1 µm

Tilted FIB SEM observation

Page 11: Sonarith Chhun

11/22

JNRDM Paris 2005

Analyse en profondeur : CuSiN / SiH4 Auger Electrons Spectrometry

Présence d’une couche de SiN au dessus du CuPrésence d’une couche de SiN au dessus du Cu Incorporation de Si plus profonde pour le cuivre recuit à Incorporation de Si plus profonde pour le cuivre recuit à

250°C250°C

Depth (A)

CuCu

CuSiNCuSiN

0 50 100 150 200 250

Inte

nsity

(a.u

.)

1

101

102

103

CuCu

SiSiNN 400°C400°C

250°C250°C

400°C400°C

250°C250°C

400°C400°C

250°C250°C

Page 12: Sonarith Chhun

12/22

JNRDM Paris 2005

Auger Electrons Spectrometry

Présence d’une couche de CuSiN de 2.5 nm Contrairement à CuSiN/SiH4, la profondeur d’incorporation des éléments est

indépendante de la microstructure du cuivre

L’incorporation en profondeur du Si dépend aussi de la L’incorporation en profondeur du Si dépend aussi de la microstructure du cuivremicrostructure du cuivre

0 50 100 150 200 250

Analyse en profondeur : CuSiN / TMS

Inte

nsity

(a.

u.)

1

101

102

103

Depth (A)

CuCu

CuSiNCuSiN CuCu

SiSi

NN250°C250°C

400°C400°C

400°C400°C

250°C250°C

400°C400°C

250°C250°C

0 10 20 30 40

Page 13: Sonarith Chhun

13/22

JNRDM Paris 2005

Observation TEM de la barrière CuSiN Coupe TEM d’une ligne de Cu ligne traitée par CuSiN/SiH4

CuSiN : 3.4 nmCuSiN : 3.4 nm

Couche de SiN de 4.7 nm formée par le procédé CuSiN Couche de SiN de 4.7 nm formée par le procédé CuSiN

SiN: 4.7 nmCuSiN3.4 nm

SiOC

Cu

CuSiN formée par le procédé

CuSiN / SiH4

Couche de SiN formée par le procédé CuSiN

Page 14: Sonarith Chhun

14/22

JNRDM Paris 2005

Bilan– L’incorporation de Si est contrôlée par le type composé à base de Si et par

la microstructure du cuivre– Un bicouche CuSiN / SiN est obtenu dans le cas du silane

Mécanisme de formation de CuSiN

1. Incorporation de Si à la surface des grains de cuivre et aux joints de grain

2. Saturation de la surface des grains et incorporation profonde de Si par les joints de grains

3. Le plasma NH3 réagit avec le CuSi pour former du CuSiN et les atomes de Si en excès réagissent avec le plasma pour former une couche de SiN L’épaisseur de CuSiN dépend du type de composé à base de Si L’épaisseur de CuSiN dépend du type de composé à base de Si

et de la microstructure du cuivreet de la microstructure du cuivre

Mécanisme de formation

SiCu

Joint de grains

SiN

CuSiN

Page 15: Sonarith Chhun

15/22

JNRDM Paris 2005

Performance barrière de CuSiN

Introduction aux barrières auto-positionnéesIntroduction aux barrières auto-positionnées

Mécanisme de formation de la barrière CuSiN Mécanisme de formation de la barrière CuSiN

Évaluation de la barrière CuSiN

– Rôle de barrière à la diffusion du cuivre

Intégration de la barrière auto-positionnée CuSiNIntégration de la barrière auto-positionnée CuSiN

ConclusionConclusion

Page 16: Sonarith Chhun

16/22

JNRDM Paris 2005

Efficacité de la barrière contre la diffusion du cuivre

Test de la barrière effectué sur pleine plaque– CuSiN / TMS (3 nm) Vs SiCN (40 nm) encapsulées par du SiO2

et recuit pendant 2h à 400°C

Une couche de 3 nm de CuSiN est suffisante pour Une couche de 3 nm de CuSiN est suffisante pour empêcher la diffusion du cuivreempêcher la diffusion du cuivre

106

104

102

1

Inte

nsity

(a.u

)

Erosion time (a.u)0 500 1000 1500

SiO2

Interface barrière / SiO2

CuCu ( (CuSiNCuSiN))

CuCu ( (SiCNSiCN))SiSi ( (CuSiNCuSiN))

SiSi ( (SiCNSiCN))Cu

SiCNSiCN

CuCuCuCuSiSiNN

TaN/Ta

CuSiSiO2

Cu

SiSiO2

Cu

+ recuit pendant 2 h à 400°C

Page 17: Sonarith Chhun

17/22

JNRDM Paris 2005

Intégration du CuSiN

Introduction aux barrières auto-positionnéesIntroduction aux barrières auto-positionnées

Mécanisme de formation de la barrière CuSiN Mécanisme de formation de la barrière CuSiN

Évaluation de la barrière CuSiN Évaluation de la barrière CuSiN

Intégration de la barrière auto-positionnée CuSiN

– Tests électriques

– Fiabilité

ConclusionConclusion

Page 18: Sonarith Chhun

18/22

JNRDM Paris 2005

0

20

40

60

80

100

Impact électrique : Résistance de ligne Résistance de ligne:

– Procédés CuSiN avec les 2 types de composé SiH4 Vs TMS

Légère dégradation des résistances mais inférieure à 2.5 %Légère dégradation des résistances mais inférieure à 2.5 % TMS : aucune dégradationTMS : aucune dégradation

SiCN

CuSiN/TMS

CuSiN/SiH4

2.5%

SiH4

TMS

Line resistance (a.u.)

Cum

ul (

%)

700 710 720

500 nm

SiCN

500 nmCuSiN

Page 19: Sonarith Chhun

19/22

JNRDM Paris 2005

Impact électrique : Capacité de couplage Capacité de couplage

– Tests effectué dans une technologie 90 nm– Gain espéré en retirant le liner SiCN (simulation): - 5 %

Un gain de 7 % sur les capacités de couplages en Un gain de 7 % sur les capacités de couplages en remplaçant le SiCN par du CuSiN pour une techno. 90 nmremplaçant le SiCN par du CuSiN pour une techno. 90 nm

SiCN

CuSiN

Coupling capacitance (a.u.)

Cu

mul (

%)

0

20

40

60

80

100

60 80 100 120

Spacing = 0.2 µm Spacing = 0.14 µm

- 7%- 7%

Barrière diélectrique

Cu

Barrière auto-positionnée

- 7 %- 7 %

Page 20: Sonarith Chhun

20/22

JNRDM Paris 2005

Fiabilité: Electromigration Tests d’électromigration

– Comparaison entre CuSiN and SiC

Temps de vie des interconnexions X10 en utilisant une Temps de vie des interconnexions X10 en utilisant une barrière CuSiN à la place d’une barrière standardbarrière CuSiN à la place d’une barrière standard

At 300°C for 1.2 µm wide lines At 250°C for 0.26 µm wide lines

1 10

Cum

ulat

ive

dist

ribu

tion

(%

)

Time to failure (a.u)

1001

99

50

25

10

90

75

1

111

11

11

1

1

2

22

22

22

22

2

1 10

Cum

ulat

ive

dist

ribu

tion

(%

)

Time to failure (a.u)

1001

99

50

25

10

90

75

1

111

11

11

1

1

2

22

22

22

22

2

99

50

25

10

90

75

1

101

1

111

111

11

11

1

2

22

22

22

22

2

2

Cum

ulat

ive

dist

ribu

tion

(%

)Time to failure (a.u)

99

50

25

10

90

75

1

101

1

111

111

11

11

1

2

22

22

22

22

2

2

Cum

ulat

ive

dist

ribu

tion

(%

)Time to failure (a.u)

SiC SiC

CuSiN CuSiNX10 X10

Page 21: Sonarith Chhun

21/22

JNRDM Paris 2005

Time-Dependent Dielectric Breakdown testé à 150°C– CuSiN (SiH4 Vs TMS) comparé à SiCN– Critère : 10 ans de durée de vie à 0.2 MV/cm à 100°C

Temps de vie des interconnexions +3 dec en utilisant une Temps de vie des interconnexions +3 dec en utilisant une barrière CuSiN par rapport à une barrière SiCNbarrière CuSiN par rapport à une barrière SiCN

Fiabilité: TDDB

10 years @ 100°C

0,2 MV/cm

CuSiN/TMS

CuSiN/SiH4

Test @ 150°C

SiCN (2 reference wafers)

0 1 2 3 4 5 6

Tim

eto

bre

akdo

wn

(s)

1

104

106

108

1012

1010

102

Electrical Field (MV/cm)

+ 3 dec

500 nm

SiCN

500 nmCuSiN

Page 22: Sonarith Chhun

22/22

JNRDM Paris 2005

Conclusion

Mécanisme de formation du CuSiN mis en évidence

– Épaisseur de CuSiN dépendante du composé à base de Si utilisé et

de la microstructure du cuivre

Performances du CuSiN

– Le CuSiN est une bonne barrière à la diffusion du Cu

– Temps de vie des interconnexions X10 sous tests d’EM

– Temps de vie des interconnexions + 3 dec sous test TDDB

– Diminution de 7 % des capacités de couplage pour une techno 90 nm

• À chaque génération technologique, ce gain doit augmenter

Les barrières auto-positionnées sont une solution idéale pour Les barrières auto-positionnées sont une solution idéale pour les technologies avancées d’interconnexions les technologies avancées d’interconnexions