96

Click here to load reader

LTE Physical Layer

Embed Size (px)

DESCRIPTION

presentation for implementation of lte physical layer it contatins a blocks and how each block is ?it may be also a good overview on revolution of mobile communication 2g, 3g, 4g.the physical layer is implemented using fpga and vhdl

Citation preview

Page 1: LTE Physical Layer

LTE Physical Layer

:Supervised By Prof

AHMED YAHIA04/17/2023

1

Page 2: LTE Physical Layer

Prepared by

• Ahmed Abdel-kader Mahmoud• Ahmed Mahmoud Abdel-rahman• Sabry Abdullah Mohamed• Mahmoud Mohsen Mohamed• Hesham Mohamed Refaat• Yaser Mohamd Osman

04/17/2023

2

Page 3: LTE Physical Layer

04/17/2023

3

Acknowledgement

Any attempt at any level cannot be satisfactorily completed without the will of God and the support and guidance of learned people. We would like to express our immense gratitude to Dr. Ahmed Yahia for his constant support and motivation that has encouraged us to come up with this project.

Page 4: LTE Physical Layer

04/17/2023

4

CONTENTS Introduction. Mobile Communication Evolution. LTE “Long Term Evolution”. Physical Layer Implementation. VHDL. Simulation. Future work. Conclusion.

Page 5: LTE Physical Layer

04/17/2023

5

Introduction To Mobile Communication

Cellular concept. Access techniques. Switching techniques. 1st generation. Why 2nd generation ?

Page 6: LTE Physical Layer

04/17/2023

6

Cellular Concept

• Frequency reuse• Reuse distance• Increasing capacity• Clusters

Page 7: LTE Physical Layer

04/17/2023

7

Cell TypesCell classifications:

Macro cell……. ( 1 Km: 35km)

Micro cell……..(100m –to- 1Km)

Pico cell…….....(10m)

Umbrella cell….(random)

Page 8: LTE Physical Layer

04/17/2023

8

Multiple Access TechniquesCDMATDMA

FDMAOFDMA

ff

f

Page 9: LTE Physical Layer

04/17/2023

9

Switching techniques:

Circuit Switching Packet Switching

Channel for one user Channel for multiple users

One path Multiple path

Fixed bit rate Variable bit rat

Billing by time Billing by volume

Used for real time application Used for data transfer

Page 10: LTE Physical Layer

04/17/2023

10

1st generation features:

Introduced to commercial uses in early of 1979 & late of 1980

Analog technology

Not compatible system : such as American system (AMPS) uses 800 MHZ and Germany system (c450) used 450 MHZ so no roaming• Access technique (FDMA)• Duplex technique (FDD)

Page 11: LTE Physical Layer

04/17/2023

11

Mobile Communication Evolutions

GSM

GPRS

EDGEUMTS

LTE

Page 12: LTE Physical Layer

04/17/2023

12

GSMGlobal System For Mobile Communication

Features. Frequency band. Network architecture.

Page 13: LTE Physical Layer

04/17/2023

13

Features:

Access techniques:

FDMA / TDMA.FDD.

Operating frequency: (900 –

1800 – 1900) MHz .

8 time slot / carrier.

Page 14: LTE Physical Layer

04/17/2023

14

Features:

Cellular concept: frequency reuse. Circuit switching.

Data rate: 9.6 Kb/s.

Hard handover

Page 15: LTE Physical Layer

04/17/2023

15

GSM frequency band:

890 MHz 915 MHz 935MHz 960 MHz

Uplink Guard band Downlink

Channel B.W= 200 KHz

carriers = 25 MHz / 200 KHz = 125 carrier

Page 16: LTE Physical Layer

04/17/2023

16

GSM Spectrum Allocation :

CH 1 54321 6 70 0 1

Time

CH 3 54321 6 70 0 1

CH 2 54321 6 70 0 1

CH 124 54321 6 70 0 1

Time slot = 0.577 ms Frame duration = 0.577 ms * 8 = 4.616 ms

Page 17: LTE Physical Layer

04/17/2023

17

Network Architecture GSM

GMSC

MSC

EIR AUC VLR HLR

BSC BSC

Other PLMNs

BTS

BTS

BTS

BTS

IWFSMC

Page 18: LTE Physical Layer

04/17/2023

18

GPRSGeneral Packet Radio Services:

Features. New services. Network Architecture. Network service area.

Page 19: LTE Physical Layer

04/17/2023

19

:Features

Various new coding schemes with transmission rates up to 21.4 Kb/s

per physical channel.

Theoretical transmission rate up to

171.2 Kb/s.

Packet & circuit switching.

GPRS enables point-to-point transmission and volume

dependent charging.

Page 20: LTE Physical Layer

04/17/2023

20

Features

Access techniques :

TDMA/FDMA.

Duplex techniques :

FDD.

Page 21: LTE Physical Layer

04/17/2023

21

Services offered

“Always on” internet access. Multimedia messaging service (MMS). Internet applications for smart devices through

wireless application protocol (WAP). Point-to-point (PTP) service : inter-networking

with the internet (IP).

Page 22: LTE Physical Layer

04/17/2023

22

Network Architecture Of GPRS

SGSN

GMSC

MSC

EIR

AUC

VLR

HLR

GGSN

Backbone Network

IP

IPNetwork

BTS/BTS/

BSC/PCU

Other PLMNs

Page 23: LTE Physical Layer

04/17/2023

23

CDMACode Division Multiple Access

Types Of Spread Spectrum Power Control

Page 24: LTE Physical Layer

04/17/2023

24

Types Of Spread Spectrum

Direct sequence spread spectrumFrequency hopping spread spectrumTime hopping spread spectrum

Page 25: LTE Physical Layer

04/17/2023

25

Direct sequence spread spectrum

Page 26: LTE Physical Layer

04/17/2023

26

UMTSUniversal Mobile Telecommunication System

Features.Frequency band.Network architecture.

Page 27: LTE Physical Layer

04/17/2023

27

UMTS Features

Wide band CDMA

Frequency band 2100 MHz

Channel bandwidth 5MHz

Chip rate 3.84 Mc/s

Page 28: LTE Physical Layer

04/17/2023

28

UMTS Features

Channelization codes (4x4 512 x512)

OVSF codes

Rake receiver Soft and softer hand over

Page 29: LTE Physical Layer

04/17/2023

29

UMTS Features

Inter system hand over

Macro diversity

Variable rate (AMR)

Vo-coder

Closed loop power control

Page 30: LTE Physical Layer

04/17/2023

30

Network Architecture UMTS

SGSN

GMSC

MSC

EIR

AUC

VLR

HLR

GGSN

IPNetwork

Node BNode BRNC

CGF Billing System

BTSBTSBSC

Node BNode BRNC

GSM BSS

UTRAN Core Network

Page 31: LTE Physical Layer

04/17/2023

31

Long Term Evolution

LTE Specifications Frequency BandLTE TargetsLTE Network ArchitectureOrthogonal Frequency Division Multiplexing

Page 32: LTE Physical Layer

04/17/2023

32

LTE Specifications

Digital technology

Cellular concept

OFDMA

Downlink.

SC-FDMA Uplink.

Page 33: LTE Physical Layer

04/17/2023

33

LTE Specifications

VOIP Technology. Frequency Band 2600MHz

Channel Bandwidth Up To

20MHz

MIMO Technology (2 x 2) or (4 x 4)

Page 34: LTE Physical Layer

04/17/2023

34

LTE Specifications

Packet Switching.

IP V6

FDD or TDD

New applications

“IP-TV, video streaming, HD

video”

Page 35: LTE Physical Layer

04/17/2023

35

LTE Frequency Band

• Channel bandwidth 5MHZ to 20 MHZ • Bit Rate 100 Mbps up to 1 Gbps • Sub carrier spacing 15 KHZ

2500MHz 2570MHz 2620MHz 2690MHz

FDD Uplink TDD band FDD Downlink

15 KHZ

Page 36: LTE Physical Layer

04/17/2023

36

LTE Network Architecture

External networks:Operator services (e.g. IMS) and internet

Services

E-UTRAN

eNode BeNode B

HSSPCRF P-GW

S-GW MMEEPC

Page 37: LTE Physical Layer

04/17/2023

37

LTE Targets Increasing User Throughput

Increasing Spectral Efficiency

Increasing Number Of Subcarrier15 KHZ

Page 38: LTE Physical Layer

04/17/2023

38

LTE TargetsDecreasing Latency Factor

MSC

BSC

BTS

MSC

BSC

BTS

MSC

RNC

Node B

MSC

RNC

Node B

S-GW

eNode B

S-GW

eNode B

GSM UMTS LTE

Page 39: LTE Physical Layer

04/17/2023

39

Basic channel access modesTransmitAntennas

ReceiveAntennas

SISO

The Radio Channel

MISO

Single Input Single Output

Multiple Input Single Output

(Transmit diversity)

ReceiveAntennas

TransmitAntennas

MIMO

The Radio Channel

SIMO

Single Input Multiple Output

(Receive diversity)

Multiple Input Multiple Output(Multiple data streams)

Page 40: LTE Physical Layer

04/17/2023

40

MIMO

• Transmitting multiple data streams in the same space and time used to be called interference!

• So how does MIMO work?1. MIMO capacity gains come from taking advantage of

spatial diversity in the radio channel

2. The performance can be optimized using precoding

Multiple Input Multiple Output(Multiple data streams)

Page 41: LTE Physical Layer

04/17/2023

41

OFDMAOrthogonal Frequency Division Multiplexing

OFDM is a spectrally efficient modulation technique ,It is conveniently implemented using IFFT and FFT operations

Bandwidth W being divided into K sub-carriers, leading to carrier spacing Δf, satisfying Δf =W/K

Symbol duration T satisfying T = 1/Δf

Page 42: LTE Physical Layer

SC-FDMA

For the LTE uplink, a different concept is used for the access technique. Although still using a form of OFDMA technology, the implementation is called Single Carrier Frequency Division Multiple Access (SC-FDMA).One of the key parameters that affects all mobiles is that of battery life. Even though battery performance is improving all the time, it is still necessary to ensure that the mobiles use as little battery power as possible

Sc-Fdma

Page 43: LTE Physical Layer

04/17/2023

43

Multi Path Propgation

Page 44: LTE Physical Layer

04/17/2023

44

Cyclic prefix insertion

Page 45: LTE Physical Layer

04/17/2023

45

FAST FOUREER TRANSFORM

• X(m)

• For An 8-point DFT We Would To Have To Perform complex Multiplication i.e. 64 complex Multiplication

• For An 8-point FFT We Would To Have To Perform complex Multiplication i.e. 12 complex Multiplication

Page 46: LTE Physical Layer

04/17/2023

46

4 minutes

30 seconds

48 seconds

1 second

8 seconds

LTE100

Mbps

HSDPA

WCDMA

EDGE

GPRS

Mobile technology competition

Page 47: LTE Physical Layer

04/17/2023

47Hard ware design

Page 48: LTE Physical Layer

04/17/2023

48

Data format

CP Insertion

S/P IFFTConvolutional Encoder

Channel interface

Channel

Channelinterface

Interleaver

Channel coding Modules

p/s

s/p

CP REMOVa

FFTp/sViterbiDecoder

DE- Interleaver

Data deformat

OFDM Tx And Rx

Page 49: LTE Physical Layer

04/17/2023

49

DATA FORMATION

10110100

Page 50: LTE Physical Layer

04/17/2023

50

Data format

CP Insertion

S/P IFFTConvolutional Encoder

Channel interface

Channel

Channelinterface

Interleaver

Channel coding Modules

p/s

s/p

CP REMOVa

FFTp/sViterbiDecoder

DE- Interleaver

Data deformat

OFDM Tx And Rx

Page 51: LTE Physical Layer

04/17/2023

51

Convolutional Encoder

0 0

+

+

Input Output

1

1

c1

c2

1

1 1

Page 52: LTE Physical Layer

04/17/2023

52

1 0

+

+

Input Output

1

0

c1

c2

0

101 1

Convolutional Encoder

Page 53: LTE Physical Layer

04/17/2023

53

0 1

+

+

Input Output

0

0

c1

c2

1

101 100

Convolutional Encoder

Page 54: LTE Physical Layer

04/17/2023

54

1 0

+

+

Input Output

0

1

c1

c2

1

101 100010

Convolutional Encoder

Page 55: LTE Physical Layer

04/17/2023

55

1 1

+

+

Input Output

1

0

c1

c2

0

101 10001010

Convolutional Encoder

Page 56: LTE Physical Layer

04/17/2023

56

0 1

+

+

Input Output

0

0

c1

c2

1

101 100010100 0

Convolutional Encoder

Page 57: LTE Physical Layer

04/17/2023

57

1 0

+

+

Input Output

1

0

c1

c2

0

101 100010100 001

Convolutional Encoder

Page 58: LTE Physical Layer

04/17/2023

58

0 1

+

+

Input Output

1

1

c1

c2

0

101 100010100 00111000 000000000 00000

Convolutional Encoder

Page 59: LTE Physical Layer

04/17/2023

59

Data format

CP Insertion

S/P IFFTConvolutional Encoder

Channel interface

Channel

Channelinterface

Interleaver

Channel coding Modules

p/s

s/p

CP REMOVa

FFTp/sViterbiDecoder

DE- Interleaver

Data deformat

OFDM Tx And Rx

Page 60: LTE Physical Layer

04/17/2023

60

INTERLAEVER

101 1

00

1

10

000

0111

Page 61: LTE Physical Layer

04/17/2023

61

INTERLAEVER

101 1

00

1

10

000

0111

Page 62: LTE Physical Layer

04/17/2023

62

OFDM Transmitter

Page 63: LTE Physical Layer

04/17/2023

63

Data format

Convolutional Encoder

Channel interface

Channel

Channelinterface

Interleaver

Channel coding Modules

s/p

CP REMOVa

FFTp/sViterbiDecoder

DE- Interleaver

Data deformat

OFDM Tx And Rx

CP Insertion

S/P IFFT

p/s

Page 64: LTE Physical Layer

04/17/2023

64

OFDM Transmitter

CP Insertio

n

S/P IFFTS/P

p/s

Page 65: LTE Physical Layer

04/17/2023

65

Data format

CP Insertion

S/P IFFTConvolutional Encoder

Channel interface

Channel

Channelinterface

Interleaver

Channel coding Modules

p/s

s/p

CP REMOVa

FFTp/sViterbiDecoder

DE- Interleaver

Data deformat

OFDM Tx And Rx

Page 66: LTE Physical Layer

04/17/2023

66

OFDM Receiver

CP removals/p

FFT S/P

1

0

1

1

0

01

0

101 10010

Page 67: LTE Physical Layer

04/17/2023

67

Data format

CP Insertion

S/P IFFTConvolutional Encoder

Channel interface

Channel

Channelinterface

Interleaver

Channel coding Modules

p/s

s/p

CP REMOVa

FFTp/sViterbiDecoder

DE- Interleaver

Data deformat

OFDM Tx And Rx

Page 68: LTE Physical Layer

04/17/2023

68

DE-INTERLEAVER

101 1

00

1

10

000

0111

1010

1111

0111

101 0

Page 69: LTE Physical Layer

04/17/2023

69

Data format

CP Insertion

S/P IFFTConvolutional Encoder

Channel interface

Channel

Channelinterface

Interleaver

Channel coding Modules

p/s

s/p

CP REMOVa

FFTp/sViterbiDecoder

DE- Interleaver

Data deformat

OFDM Tx And Rx

Page 70: LTE Physical Layer

04/17/2023

70

VITERBI DECODER

00 00 00

a (00)

b (01)

c (10)

d (11)

11 11 11

01

10 10

10

01 01

11

00

00 00 00 00 00

11 11 11

01 01 01

10 10 10

10 10 10

01 01 01

11 11 11

00 00 00

11

01

10

10

01

11

00

11

01

10

10

01

11

00

11010010100011 01

Page 71: LTE Physical Layer

04/17/2023

71

Viterbi decoder with error

00 00 00

a (00)

b (01)

c (10)

d (11)

11 11 11

01

10 10

10

01 01

11

00

00 00 00 00 00

11 11 11

01 01 01

10 10 10

10 10 10

01 01 01

11 11 11

00 00 00

11

01

10

10

01

11

00

11

01

10

10

01

11

00

11010110100010 01

1

1

2

2

1

3

2

3

4

1

3

4

3

4

3

4

3

4

4

3

1

5

4

4

4

4

5

1

3

3

5

2

5

2

4

5

6

3

3

5

2

5

2

1 11 10 0 0 0

Page 72: LTE Physical Layer

04/17/2023

72

Data format

CP Insertion

S/P IFFTConvolutional Encoder

Channel interface

Channel

Channelinterface

Interleaver

Channel coding Modules

p/s

s/p

CP REMOVa

FFTp/sViterbiDecoder

DE- Interleaver

Data deformat

OFDM Tx And Rx

Page 73: LTE Physical Layer

04/17/2023

73

DATA DE-FORMATION

1011010000000000

Page 74: LTE Physical Layer

04/17/2023

74

VHDLVHSIC Hardware

Description Language

Page 75: LTE Physical Layer

04/17/2023

75

VHDL’s Organization

• The basic VHDL model is known as a Design Entity and has two parts• Interface - denoted by keyword entity • defines I/O signals for the model

• Body - denoted by keyword architecture• describes how the model works

Page 76: LTE Physical Layer

04/17/2023

76

VHDL (Xor) Exampleentity XOR2_OP is -- Input/Output ports port (A, B : in BIT; Z : out BIT);end XOR2_OP;

architecture EXD of XOR2_OP is -- declarations go before beginbegin Z <= A xor B;end EXD

Interface

Body

Page 77: LTE Physical Layer

04/17/2023

77

Interleaver code

entity interleaver is

Port ( clk : in STD_LOGIC;

rst : in STD_LOGIC;

input : in STD_LOGIC;

output : out STD_LOGIC);

end inter;

Page 78: LTE Physical Layer

04/17/2023

78

Architecture partarchitecture Behavioral of interleaver is

signal temp1 : std_logic_vector (3 downto 0);

signal temp2 : std_logic_vector (3 downto 0);

signal temp3 : std_logic_vector (3 downto 0);

signal temp4 : std_logic_vector (3 downto 0);

signal x1 : std_logic_vector (3 downto 0);

signal x2 : std_logic_vector (3 downto 0);

signal x3 : std_logic_vector (3 downto 0);

signal x4 :std_logic_vector (3 downto 0);

signal y : std_logic_vector (15 downto 0);

signal count1 : std_logic_vector (4 downto 0);

signal count2 : std_logic_vector (4 downto 0);

temp1

temp2

temp3

temp4

x1 x2 x3 x4

Page 79: LTE Physical Layer

04/17/2023

79

Architecture part

begin

process (clk,rst,input)

begin

if rst = '1' then

output <= '0';

count1 <= "00000";

count2 <= "00000";

Page 80: LTE Physical Layer

04/17/2023

80

Architecture partelsif rising_edge (clk) then

if count1 < "10001" then

temp1 <= input & temp1 (3 downto 1);

temp2 <= temp1(0) & temp2 (3 downto 1);

temp3 <= temp2(0) & temp3 (3 downto 1);

temp4 <= temp3(0) & temp4 (3 downto 1);

x1 <= temp1(0) & temp2(0) & temp3(0) & temp4(0);

x2 <= temp1(1) & temp2(1) & temp3(1) & temp4(1);

x3 <= temp1(2) & temp2(2) & temp3(2) & temp4(2);

x4 <= temp1(3) & temp2(3) & temp3(3) & temp4(3);

count1 <= count1 + 1 ;

end if;

Page 81: LTE Physical Layer

04/17/2023

81

Architecture partif count1 = "10001" then

y <= x1 & x2 & x3 & x4 ;

count1 <= "00000";

end if;

if count2 < "10001" then

output <= y(0);

y <= '0' & y (15 downto 1);

count2 <= count2 + 1 ;

else

count2 <= "00000";

end if;

end if;

end process;

end Behavioral;

Page 82: LTE Physical Layer

04/17/2023

82Simulation

Page 83: LTE Physical Layer

04/17/2023

83

•Data Format block behave as parallel in serial out (PISO) register which converts the input with parallel form to the output with serial form.• It adds tail of Zeros to the input data.• It generates the required signals for convolutional encoder.

Data formation PISO

Page 84: LTE Physical Layer

04/17/2023

84

Data formation

Page 85: LTE Physical Layer

04/17/2023

85

Parallel to serial module

Page 86: LTE Physical Layer

04/17/2023

86

Convolutional encoder• We have used R = ½ , K=3.• It is used to encode each 1 bit into 2 bits for error detection

and correction purposes.

Page 87: LTE Physical Layer

04/17/2023

87

Convolutional encoder simulation

Page 88: LTE Physical Layer

04/17/2023

88

InterleaverRandomizes The Sequence of Data By Storing It Row by Row & Retrieving It Column by Column For Error Isolation

Page 89: LTE Physical Layer

04/17/2023

89

Interleaver Simulation

Page 90: LTE Physical Layer

04/17/2023

90

Data deformation SIPO

Page 91: LTE Physical Layer

04/17/2023

91

serial to Parallel module

Page 92: LTE Physical Layer

04/17/2023

92

Data de-formation

Page 93: LTE Physical Layer

04/17/2023

93

FPGA

• Xilinx XC3S500E Spartan-3E FPGA• • Up to 232 user-I/O pins• • Over 10,000 logic cells• 2-line,16-character LCD screen• 50MHz clock oscillator

Page 94: LTE Physical Layer

04/17/2023

94

Future work Suggestions

Channel Estimation Improvement

The next step in improvement would be use a channel estimator that utilizes channel statistics,which known as minimum mean-squared error estimation (MMSE).

Developing other modules

For the future works, it is suggested to develop other modules such as advanced error correction teqniques, QAM or QPSK modulation RF part. These modules will make a complete set of OFDM system for transmitter and receiver.

Page 95: LTE Physical Layer

04/17/2023

95

Conculosion• Presenting the way for people in the past to communicate• Presenting the creation of mobile phone starting from the 2nd Generation which

is called Global system for mobile communication (GSM)• The progresses that happened to make it better by using the General Packet

Radio Service (GPRS).• Presenting the birth of the 3rd Generation that enables all subscribers to send

and receive lager amount of data than GSM including pictures, faxes, e-mails and internet browsing.

• The real revolution of mobile communications occurs by the invention of the CDMA in USA and the Universal Mobile Telecommunication System (UMTS) in Europe.

• Enabling all subscribers to hold video calls and support them by offering larger capacity for data rate and multimedia messages.

• Finally, Presenting the 4th generation including LTE, and UMB increases the transmission rate with much higher quality.

Page 96: LTE Physical Layer

04/17/2023

96

Thanks all

To Parctical Part….