129

CNSE 2011 Faculty Rese CNSE 2011 Faculty Research Reportarch ReportDONE

Embed Size (px)

DESCRIPTION

CNSE 2011 Faculty Research Report

Citation preview

  • We welcome you to the College of Nanoscale Science and Engineering (CNSE) of the University at Albany - State University of New York. Nanotechnology is rapidly changing the face of our world, broadening our view via unprecedented access to information, unique opportunities to influence our environment, and an ever-growing ability to engineer materials for novel and previously unimaginable applications. At CNSE, we combine world-class academic pursuits in the areas of nanoscience, nanoengineering and nanobioscience with a focus on rapid transfer of novel concepts and ideas into industrial use. To this end, we have established a unique industry-university-government partnership model that provides access to state-of-the-art 300mm wafer processing capabilities required for rapid insertion of such concepts into the mainstream manufacturing menu. CNSE provides an environment that bridges the gap between university research opportunities and manufacturing implementation.

    Nanoscience refers to the observation, identification, description, discovery, experimental investigation, and theoretical interpretation of nanoscale phenomena.

    Nanoengineering is the application of nanoscience principles to practical ends, such as the design, manufacture, and operation of efficient and functional structures, machines, processes, and systems on the atomic scale.

    Nanoeconomics is the formulation, study, and analysis of the economic and business principles underlying the development and deployment of nanoscale know how, products, and systems.

    Nanobioscience refers to the application of nanoscale scientific concepts and principles to the study of biological and biomedical structures and systems.

    In addition, nanobioscience encompasses CNSE's NanoHealth initiative, which is aimed at developing novel nanotechnology applications in nanomedicine, including nanotoxicology and environmental and public health.

    Michael Liehr CNSE Vice President for Research

  • TABLE OF CONTENTS

    The Nanoscience Constellation Ion Beam Laboratory ............................................................................................ 6 Hassaram Bakhru, Ph.D., Professor and Head, Nanoscience Constellation Mengbing Huang, Ph.D., Associate Professor of Nanoscience EUV Research Projects ...................................................................................... 10 Robert Brainard, Ph.D., Professor of Nanoscience Metrology ............................................................................................................ 15 Alain Diebold, Ph.D., Empire Innovation Professor of Nanoscale Science, Executive Director Center for Nanoscale Metrology

    Defects and Microstructural Engineering ............................................................ 19 Kathleen Dunn, Ph.D., Associate Professor of Nanoscience Materials Fabrication and Integration .................................................................. 25 Eric Eisenbraun, Ph.D., Associate Professor of Nanoscience Nanoelectronics for CMOS and Post-CMOS ...................................................... 27

    Robert Geer, Ph.D., Professor of Nanoscale Science, Vice President for Academic Affairs and Chief Academic Officer

    E-Beam Lithography Research ........................................................................... 34 Timothy Groves, Ph.D., Empire Innovation Professor of Nanoscale Science and Associate Head, Nanoscience Constellation Spin-Transfer Graphene Research ..................................................................... 40 Vincent LaBella, Ph.D., Associate Professor of Nanoscience Microanalysis and Image Analysis ...................................................................... 43 Eric Lifshin, Ph.D., Professor of Nanoscience Reliability Science and Engineering .................................................................... 46 James Lloyd, Ph.D., Senior Research Scientist X-ray Scattering .................................................................................................. 50 Richard Matyi, Ph.D., Professor of Nanoscience Compound Semiconductor Research ................................................................. 52 Serge Oktyabrsky, Ph.D., Professor of Nanoscience

  • Surface Science .................................................................................................. 56 Carl Ventrice, Ph.D., Associate Professor of Nanoscience The Nanoengineering Constellation Plasmonic Based Chemical Sensors .................................................................. 59 Michael Carpenter, Ph.D., Associate Professor of Nanoengineering E-beam Lithography and Mask Writing ............................................................... 61 John Hartley, Ph.D., Professor and Head, Nanoengineering Constellation Device Physics.................................................................................................... 64 Ji Ung Lee, Ph.D., Empire Innovation Professor of Nanoscale Engineering Static and Dynamic Photoresist Shrinkage Effects in EUV Photoresists ............ 66 Warren Montgomery, Assistant Vice President, Advanced Technology Business Development EUV Technology Team ....................................................................................... 68 Warren Montgomery, Assistant Vice President, Advanced Technology Business Development Nanoelectronics .................................................................................................. 69 Bin Yu, Ph.D., Professor of Nanoengineering The Nanoeconomics Constellation Economics of High Technology Industries .......................................................... 73 Unnikrishnan Pillai, Ph.D., Assistant Professor of Nanoeconomics Nanotechnology Economic Impact Report .......................................................... 77 Laura Schultz, Ph.D., Assistant Professor of Nanoeconomics The Nanobioscience Constellation Sensors, Components, and Models of Stress and Damage Signaling ................ 80 Thomas Begley, Ph.D., Assistant Professor of Nanobioscience

  • Substrate-selective Patterning; Virus-based Nanoparticles for Cancer Therapy ................................................................................................. 84 Magnus Bergkvist, Ph.D., Assistant Professor of Nanobioscience Occupational and Environmental Health and Safety of Nanomaterials ............... 87 Sara Brenner, M.D., M.P.H., Assistant Professor of Nanobioscience and Assistant Vice President for NanoHealth Initiatives Nano-enabled Biotechnology .............................................................................. 93 Nathaniel Cady, Ph.D., Assistant Professor of Nanobioscience Development of CMOS-compatible Resistive Memory Devices ......................... 99 Nathaniel Cady, Ph.D., Assistant Professor of Nanobioscience Wafer Processing and Nanobioscience Research ............................................ 101 James Castracane, Ph.D., Professor and Head, Nanobioscience Constellation Cancer Cell Metastasis and Nanoscale Topography ........................................ 105 Nadine Hempel, Ph.D., Assistant Professor of Nanobioscience Development of Nano-based Therapeutics to Limit Cancer, Aging, and Infectious Disease Processes .......................................................................... 110 J. Andres Melendez, Ph.D., Professor of Nanobioscience and Associate Head, Nanobioscience Constellation Stem Cell Biology and Bio-NEMS/MEMS ......................................................... 114 Janet Paluh, Ph.D., Associate Professor of Nanobioscience Mammalian and Microbial Cell Bioprocessing .................................................. 117 Susan Sharfstein, Ph.D., Associate Professor of Nanobioscience Gene Expression .............................................................................................. 121 Scott Tenenbaum, Ph.D., Associate Professor of Nanobioscience Nanobioengineering Stem Cell Technology ...................................................... 125 Yubing Xie, Ph.D., Assistant Professor of Nanobioscience

  • Nanoscience Constellation

    Nanoscience refers to the observation, identification, description, discovery, experimental investigation, and theoretical interpretation of nanoscale phenomena.

  • 6

    The Nanoscience Constellation

    Ion Beam Laboratory (H. Bakhru and M. Huang)

    Scope: Ion beam characterization (RBS, NRA, PIXE, High-Res RBS, microbeam) and fabrication (ion implantation) Goal: Fabrication and characterization of nanoscale and microscale devices and structures for electronic and photonic applications 2011 Accomplishments TOPIC 1: Fabrication of Embedded Ag Nanoparticles in Silicon for Photovoltaic Applications

    Fabrication of Ag nanoparticles using ion implantation, thermal deposition, and annealing has been achieved with the Extrion 400kV implanter and Dynamitron 2MeV implanter. RBS data indicates large amounts of Ag gettered to cavity regions that can be placed at a desired depth by tailoring the implantation energy.

    Figure 1.

  • 7

    The Nanoscience Constellation

    N. Kadakia, M. Huang, and H. Bakhru. Embedded Silver Nanoparticle Fabrication for Surface Plasmon-enhanced Silicon Photovoltaics. SPIE Conf. Proc., 8111 (2011), DOI:10.1117/12.891638.

    N. Kadakia, M. Huang and H. Bakhru. Fabrication of Subsurface Metallic Nanoparticles for

    Enhanced Carrier Generation in Silicon-based Photovoltaics. MRS Conf. Proc., 1322, mrss11-1322-b06-07 (2011), DOI:10.1557/opl.2011.1104.

    TOPIC 2: LASER Annealing of Semiconductors-Dopant Diffusion and Activation of Boron in Silicon.

    Depth profiling of Boron in Silicon was achieved using Boron Nuclear reaction analysis combined with thin film sectioning. A depth resolution of less than 5 was achieved using these techniques. Laser annealed samples with junction depth less than 10 nm was characterized using this method. Defect characterization of LASER annealed samples showed increased amount of defects near the surface. The concentration profile can be calculated from:

    n

    nnn T

    BBZC = 1][

    where C[Zn] is the concentration of boron at depth Zn, Bn-1 is the Boron concentration before ozone oxidation, Bn is the Boron concentration after HF etching, and Tn is the thickness of the silicon layer removed using thin film sectioning. This experiment can be repeated continuously until we profile the concentration of boron to the desired depth as shown in Figure 2.

    Figure 2.

    Lakshmanan Vanamurthy, Mengbing Huang, Hassaram Bakhru, High Resolution Depth Profiling

    of Boron Ultra Shallow Junctions. To be submitted to the Journal of Vacuum Science and Technology A.

  • 8

    The Nanoscience Constellation

    TOPIC 3: Fabrication of Freestanding LiNbO3 Thin Films Via He Implantation and Femtosecond Laser Ablation

    A combination of ion-implantation exfoliation and femtosecond laser Ablation was used to fabricate thin micrometers-thick single-crystal lms of a complex oxide, LiNbO3. The process physics for the method is bounded by the threshold for ablation and the onset of laser thermal out-diffusion of the implanted He used in exfoliation selective etching

    In this work we have demonstrated ablative lateral patterning of implanted LNO, followed by HF-mediated exfoliation, and shown that precisely formed shapes can be obtained at useful patterning rates. In particular, we have demonstrated that trenches up to the implantation depth could be ablated in implanted samples and that patterned lms could then be exfoliated from their parent crystal. Our results show that this ablative writing method has a clear laser power process window: Low power reduces process rate, while a relatively high power level drives off implanted He, thus preventing subsequent HF selective etching for exfoliation.

    Avishai Ofan, Ophir Gaathon, Lihua Zhang, Kenneth Evans-Lutterodt, Sasha Bakhru, Hassaram Bakhru, Yimei Zhu, David Welch, and Richard M. Osgood, Jr. Twinning and dislocation pileups in heavily implanted LiNbO3 Phys. Rev. B 83, 064104 (2011)

    Ophir Gaathon, Avishai Ofan, Jerry I. Dadap, Lakshmanan Vanamurthy, Sasha Bakhru, Hassaram Bakhru, and Richard M. Osgood , Fabrication of freestanding LiNbO3 thin films via He implantation and femtosecond laser ablation. J. Vac. Sci. Technol. A 28, 462 (2010);

    M. Lilienblum, A. Ofan, . Hoffmann, O. Gaathon, L. Vanamurthy, S. Bakhru, H. Bakhru, R. M. Osgood, and E. Soergel Low-voltage nanodomain writing in He-implanted lithium niobate crystals, Appl. Phys. Lett. 96, 082902 (2010)

    Figure 3. Figure 4.

  • 9

    The Nanoscience Constellation

    TOPIC 4: Fabrication and Analysis of Embedded Nickel Nanoparticles in Silicon for Spintronic Applications

    Cavities were created by Hydrogen implantation and annealing inside a silicon substrate which were then decorated by implanted Nickel. This has been achieved using the Extrion 400KV implanter and the Dynamitron 2MeV implanter.

    Figure 6 Figure 6.

    Moment (emu) vs Magnetic Field (Oe)

    Figure 7. Figure 8.

    Figure 5.

  • 10

    The Nanoscience Constellation

    EUV Research Projects (Brainard Group)

    Scope: EUV Photoresist Fundamental Research Goal: To determine the root-cause of the degradation of LER in EUV resist thin films and to evaluate new nanoparticle ligands for improved EUV lithographic properties 2011 Accomplishments TOPIC 1: LER Limitations of EUV Thin Film Resists: Mechanistic Studies into Root Causes (Funded by Sematech; Published at EUV Symposium (11/11) and SPIE Advanced Lithography (2/12)) As EUV lithography advances toward better and better resolution, the thickness of resist films must decrease to minimize pattern collapse. Unfortunately, as the resists get thinner, their LER performance degrades (Figure 1). Our primary objective in this program is to determine the root-cause of the degradation of LER in EUV resist thin films. In this project, we lithographically evaluated resist LER performance as a function of resist optical density, Tg and substrate. To explore optical density, we designed a series of polymers with fluorine content in the range from 3 to 50 weight percent. Similarly, to explore glass transition (Tg), we designed a series of polymers with Tgs in the range from 80-140oC. For each of these sets of polymers, we evaluated the LER performance as a function of film thickness from 20-90 nm. Lastly, we studied the lithographic properties of an open source and commercial EUV resist as a function of substrate. All LER vs. thickness curves have been evaluated using a single mathematical model.

  • 11

    The Nanoscience Constellation

    Figure 1: Lithographic performance of four resists as a function of film thickness. TOPIC 2: Advanced Ligands for HfO2 Nanoparticle Resists (Funded by Sematech; Published at EUV Symposium (11/11) and SPIE Advanced Lithography (2/12)) As EUV prints features with increasingly smaller CDs, the thickness of the resist films will need to decrease. Unfortunately, however, traditional organic resists will be unable to provide the necessary etch resistance in these thin films. In response, our collaborators at Cornell University have developed EUV photoresists based on Hafnium Oxide nanoparticles resist capable of resolving 40-nm lines with 6 mJ/cm2 sensitivity. In this project, we conducted three studies aimed at providing new nanoparticle ligands for improved EUV lithographic properties (Figure 2). We conducted thermodynamic studies that determined the relative ligand binding energies of several ligand types. We designed and synthesized strongly-bound ligands capable of participating in free-radical imaging reactions and are capable of providing Nanoparticle films that are aqueous developable.

    PHO O

    HOS

    O OHO

    OO

    SOHO

    O

    OH

    PHO

    HOO

    F3C CF3

    OHOH

    O

    O

    Figure 2: New ligands for Hafnium Oxide Nanoparticle Resist.

    120 nm 60 nm 30 nmFilm Thickness

    (A) (B)

  • 12

    The Nanoscience Constellation

    TOPIC 3: Confidential EUV Resist Projects. Stable Acid Amplifiers for EUV Lithography (Confidential, Funded by an Industrial Partner). The microelectronics industry is capable of printing images in photoresists with 20-22 nm resolution with good sensitivity and low Line Edge Roughness (LER). In 2016, this industry will need to be able to print images with 11 nm resolution. However, the materials properties of the photoresists, and the diffusion length of photoelectrons and secondary electrons generated in these photoresists will need to reach higher control. Most EUV photoresists image using mechanisms based on acid catalysis. This catalytic acid is produced in these resists when Photoacid Generators (PAGs) interact with photons and electrons. This research project is aimed at producing advanced PAGs for use in EUV lithography. One challenge facing the developers of EUV resists is the need to simultaneously improve resolution, line-edge roughness (LER), and sensitivity. However, these characteristics are inversely related, a relationship commonly referred to as the RLS trade-off. EUV resists are composed primarily of organic polymers and photoacid generators (PAGs). During exposure to extreme ultraviolet (EUV, 13.5 nm) light, the PAGs produce strong, fluorinated acids. Acid amplifiers are compounds that detect an acid signal (from PAG) and produce additional acid. This can be helpful in a photoresist by creating more acid in exposed regions thereby creating faster resists. This paper is focused on the development of stable acid amplifiers (AA) and PAGs that produce lithographically useful strong, fluorinated acids. It has been shown that fluorinated sulfonic acids give improved lithographic performance due to more efficient catalysis with decreased diffusion. This, ultimately, allows the photoresist to have improved sensitivity and better resolution. Central to the design of new acid amplifiers for EUV lithography, is the need to control three properties:

    Acid Strength: Catalytic acids should be as strong as possible, which is best done by incorporating fluorine atoms into sulfonic acids.

    Acid Diffusion: Generated acids should diffuse as little as possible, which can be done through covalent linkage to polymer molecules.

    Stability: Acid amplifiers should be as stable as possible in the absence of catalytic acid, and be thermally unstable in the presence of acid.

    Unfortunately, acid amplifiers are significantly less stable as the strength of the acid generated by them increases. Figure 3 shows twelve first-order thermal decomposition

  • 13

    The Nanoscience Constellation

    rate constants as a function of acid strength as predicted pKa's and acid-amplifier body type. Figure 4 shows a plot of log decomposition rate vs. pKa of the acid generated by the AA. For the twelve compounds shown in Figure 3, the log-log plot is linear with R2 all greater than 0.98. We have developed a new type of AA that provides 4-5 orders of magnitude greater stability when the acid generated is pentafluorosulfonic acid, and can produce a stable AA that produces one of the strongest acids knowntriflic acid. The design and structures of several additional AAs will be presented along with their lithographic performance.

    Figure 3: First-order dissolution rates of acid amplifiers as a function of body, trigger, and acid

    precursor.

    Figure 4: A new AA body/trigger combination provides 10,000 to 100,000 times greater stability

    than the previously published acid amplifiers (A-D).

    OSO2R

    OH

    OSO2R

    OH

    OSO2R

    O

    SO3H

    F F

    F

    F

    F

    SO3H

    F3C

    SO3H

    CF3

    16 3.6 1.2

    21 5.1 1.7

    240 73 33

    Predicted pKa ( 0.5)

    -2.2

    -1.2

    -1.1

    Sulfonic Acid OSO2R

    O

    1.6

    2.4

    37

    -4

    -3

    -2

    -1

    0

    1

    2

    3

    -4 -3.5 -3 -2.5 -2 -1.5 -1

    Body ABody BBody CBody DBody E

    Log(

    Dec

    ompo

    sitio

    n R

    ate)

    pKa

    OSO2R

    O

    OSO2R

    OH

    OSO2R

    O

    OSO2R

    OH

    A

    B

    C

    D

    4-5 Order of MagnitudeImprovement in Stability

    New AA body-type that will be disclosed during

    SPIE presentation and manuscript

  • 14

    The Nanoscience Constellation

    Confidential EUV Resist Projects. Photoacid Generators for EUV Lithography (Confidential, Funded by an Industrial Partner).

    Confidential EUV Resist Projects. EUV Photoresists Capable of 11-nm Resolution (Confidential, Funded by an Industrial Partner).

    TOPIC 4: Material Development for Biological Applications Effect of extracellular scaffold elasticity on salivary gland acinar cells (Funded by NIH). Our group is collaborating with Professor Melinda Larsen in the department of Biological Sciences at the University at Albany. The very long-term goal of our collaboration is to build three-dimensional polymer matrices that will enable the growth differentiation of epithelial cells so that functioning, artificial salivary glands can be grown and implanted into patients. It will take many years of research before this dream can become a reality. However, the research proposed here can provide critical first steps toward completing our overarching goal. Synthesis of multifunctional PEG polymers. Our synthetic approach will allow us to control the composition of the polymer and, by extension, the properties of the hydrogel. We will prepare PEG polymers as random terpolymers from ethylene oxide (EO) monomers containing two important functionalities: methacrylate groups (OMMA) for cross-linking and alkynes (POMO) for attachment of IKVAV cell binding sites (Figure 5a). The resulting PEG backbone will be used to generate all hydrogel variants.

    Figure 5: Strategy for synthesis of PEG hydrogels to systematically explore 25 combinations of

    IKVAV and cross-linker levels.

    OOO

    OO

    O + +

    Polymerize

    HOO

    OO

    OO

    OOH

    O

    O

    O

    O

    PEGBackbone

    10%Crosslinkable

    Groups

    (a)

    O

    5%POMO

    (b)

    EO 10%OMMA

    O 5% IKVAVAttachment Sites

    Using Click Chemistry

    NoLow

    IKVAVMed.

    IKVAVMed-High

    IKVAVHigh

    IKVAV

    (c) 5 Levelsof X-LinkersIKVAV

  • 15

    The Nanoscience Constellation

    Metrology (Diebold Group)

    Scope: All areas of metrology including materials characterization and in-line measurements Goal: Provide R&D for metrology of nanoscale electronic and other materials/structures 2011 Accomplishments TOPIC 1: Advanced Semiconductor Materials Characterization of Structure vs Function {Optical (Ellipsometry, Photoreflectance, & Second Harmonic Generation), X-Ray Diffraction and Reflectivity, XPS, and Microscopy}

    X-Ray Characterization has shown clear differences in the phase and ordering of polycrystalline films fabricated using ALD processing. The texture (ordering) of high-k was found to depend on both thickness and deposition method: Post Deposition Anneal vs. Deposit/Anneal cycles. The crystal phase matters because the static dielectric constant for the amorphous, monoclinic, and tetragonal phases are about 20, 15, and 27, respectively. This information allows Tokyo Electron Technology Center USA (TEL) to develop a new ALD process for future high-k materials.

    Figure 1: Grazing Incidence XRD and Texture Analysis using Pole Figure-Data Taken at Brookhaven NL.

  • 16

    The Nanoscience Constellation

    Structural Characteristics of Electrically Scaled ALD HfO2 from Cyclical Deposition and Annealing Scheme, S. Consiglio, R. D. Clark, E. Bersch, J. D. LaRose, I. Wells, K. Tapily, G. J. Leusink, and A. C. Diebold, ECS Transactions 41, (2011) 89-108. http://www.ecsdl.org/getabs/servlet/GetabsServlet?prog=normal&id=ECSTF8000041000002000089000001&idtype=cvips&gifs=yes&ref=no

    TOPIC 2: 3D IC TSM Metrology, Scanning Acoustic, IR, & X-Ray Microscopy (XRM) Void Detection & FEM

    Fabrication of through-silicon vias (TSVs) is challenging and often hampered by the presence of voids inside the TSVs. Stress-assisted void growth in through- silicon vias (TSV) was studied by finite element stress modeling and X-ray computed tomography (XCT). Because physical cross sectioning of TSVs is not required for X-ray imaging, the same TSV can be imaged before and after annealing. Imaging of TSV by laboratory based X-Ray microscopy is discussed. Voids that nucleate (form) during copper electroplating are observed in as-deposited samples.

    Research with SEMATECH and the Fraunhofer Institute (IZFP), Dresden, compared simulated results with experimental data to show that void growth in TSVs is stress-assisted. Vacancies diffuse and coalesce at the void as a result of the hydrostatic stress gradient. This work proved that conformal plating is prone to voiding making bottoms p plating more reliable.

    Figure 2:

    A. X-Ray microscopy image of an array of Cu TSVs. The picture is a single view of tomographic image allowing 3D visualization of voids in TSV structures.

    B. Contour plot of simulated hydrostatic stress gradients at a void in a TSV after annealing.

    Applying X-ray Microscopy and Finite Element Modeling to Identify The Mechanism of Stress-

    Assisted Void Growth In Through Silicon Via (TSV), L.W. Kong, J. Lloyd, K. B Yeap, E. Zschech, A. Rudack, and A.C. Diebold, J. Appl. Phys. 110, (2011), 053502 1-7.

  • 17

    The Nanoscience Constellation

    TOPIC 3: Physics of Optical Processes

    Optical Metrology requires knowledge of the complex refractive index (or equivalently dielectric function). Recent attempts to measure the thickness of ET-SOI has shown that use the refractive index of bulk silicon results in a 20% error in thickness for 2 nm thick SOI. These ET SOI materials as also referred to as crystalline silicon quantum wells (c-Si QW). Until recently dielectric function of all nanoscale crystalline semiconductor materials was understood in terms of the effects of quantum confinement (QC). For instance, the experimentally measured blue shift (increase in energy) in the direct gap absorption or critical point (CP) of indirect band gap semiconductors (like silicon) with increased dimensional confinement seemed to be explained by the particle in a box analogy.

    Recently, we provided experimental evidence of the effect of change in phonon

    dispersion on the dielectric function of ET-SOI. HfO2 covered c-Si QWs show redshifts in the E1 CP energy and thus quantum confinement does not play a dominant role. Our paper presents a temperature dependent study of the dielectric function of c-Si QWs, which shows that electron-phonon interactions also play a key role in the optical properties of semiconductor nanostructures. We further demonstrate that the dielectric function will change with different phonon dispersions using c-Si QWs with three different surface layers: native oxide, thick silicon dioxide, and hafnium oxide. This work explains the unexpected challenges in determining the complex refractive index of FinFET fins when using scatterometry to measure critical dimensions.

    Figure 3:

    A. Second derivative of the imaginary part of the dielectric function of c-Si QW below a HfO2 (~9 nm) surface layer at 300 K. Inset: (a) Energy and (b) lifetime broadening () of the E1 CP extracted using direct space analysis.

    B. Imaginary part of the dielectric function of ET-SOi (c-Si QWs) (~ 5 nm) with native oxide, 20 nm SiO2, and 10 nm HfO2 with a SiO2 interfacial layer. Note the clear shift in the energy of the E1 CP and the changes in lifetime broadening.

  • 18

    The Nanoscience Constellation

    Electron-phonon interaction effects on the direct gap transitions of nanoscale Si films, V.K. Kamineni and A.C. Diebold, Appl. Phys. Lett. 99, (2011), 151903.

  • 19

    The Nanoscience Constellation

    Defects and Microstructural Engineering (Dunn Group) Scope: Using charged particle beams to uncover the relationships between crystalline defects and chemical inhomogeneities in advanced materials Goal: Manipulate microstructure defects to improve performance and achieve new functionalities 2011 Accomplishments TOPIC 1: Cryogenically Assisted Deposition of Metallic Nanostructures Using Focused Electron Beam Induced Deposition

    Electron Beam Induced Deposition (EBID) has been used to develop nanoscale structures for plasmonics, nanoscale templating, field emitters and contacts to nanoscale objects. However, the primary disadvantages of EBID are poor growth rates, low target purity and little ability to tailor deposit structure. Using a custom-built cryogenic stage for EBID, we demonstrated the growth is reaction-rate limited, yielding growth rates 4-5 orders of magnitude higher than conventional EBID. In addition, we showed that in this growth regime, the morphology of the structure can be tailored based on the electron fluence delivered to each pixel. The development of these structures was described by accounting for incomplete conversion of the precursor and diffusion of non-volatile reaction products during thermal cycling.

    (a)

    (a) Figure 1: Volumetric growth rate as a function of flux for cryo-EBID (red circles) and room-temperature EBID (black squares). Cryo-EBID is reaction-rate limited and has volumetric growth rates up to 4-5 orders of magnitude faster than RT-EBID.

    (b)

    (b) Figure 2: SEM image of cryo-EBID deposits showing change in morphology as a function of accumulated fluence (discrete changes in fluence indicated by white overlay).

  • 20

    The Nanoscience Constellation

    M. Bresin, B.L. Thiel, K.A. Dunn and M. Toth, Focused Electron Beam-Induced Deposition at Cryogenic Temperatures, J. Mater. Res. 26 (3), 357-364 (2011).

    M. Bresin, K.A. Dunn, and M. Toth, Investigation of Inter-diffusion between Layers in Cryogenic Enhanced Electron Beam-Induced-Deposition oral presentation at The 55th International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (Las Vegas, NV, May 31-June 3, 2011).

    TOPIC 2: Microstructure and Ordering in Quantum Dot Materials

    To enhance p-type doping in ZnSe/ZnTe, we have attempted to modify the quantum dot (QD) bandgap by incorporating sub-monolayer quantities of Mg along with Te, to form ZnMgTe, instead of pure ZnTe QDs. Strong vertical ordering but only weak lateral order of the QDs was found. Small scale oscillations in Secondary Ion Mass Spectrometry (SIMS) Mg signal throughout the multiple QD structure layers suggest strong confinement of Mg to the QD layer, with superlattice modeling of the high resolution x-ray diffraction (HRXRD) spectra suggesting 32% of the Mg confined to the ZnMgTe QDs themselves. Transmission Electron Microscopy (TEM) demonstrated the average period of the superlattice increased with the number of periods deposited and identified regions where vertical ordering of the layers broke down entirely.

    Figure 3: SIMS profiles for (a) sample A consisting of 100 periods, and (b) sample B consisting of 200 periods (b) showing periodic variations in Mg signal.

    Figure 4: TEM images for (c) sample A consisting of 100 periods of average thickness 3.93 0.04 nm, and (d) sample B consisting of 200 periods, of average thickness 4.82 0.02 nm.

  • 21

    The Nanoscience Constellation

    U. Manna, I. C. Noyan, Q. Zhang, I. F. Salakhutdinov, K. A. Dunn, S. W. Novak, R. Moug, M. C. Tamargo, G. F. Neumark, and I. L. Kuskovsky, Structural properties and spatial ordering of multilayer ZnMgTe/ZnSe quantum dots, accepted for publication in J. Appl. Phys.

    TOPIC 3: Impurity Incorporation and Redistribution in Copper for Advanced Interconnects

    For very narrow, high-aspect-ratio features, it is difficult to achieve a desired bamboo grain structure for optimal line resistivity and reliability. The exact cause of this problem is not known; conventional wisdom suggests grain boundaries in the copper are pinned by additives from the plating bath (or fragments thereof) which become incorporated into the copper during plating. To examine this, we used a custom-built 5-syringe injection plating system to seamlessly modulate the composition of the electroplating bath during deposition. These bath modulations translated into distinct composition gradients within the electroplated copper, as determined by SIMS. The microstructure, however, did not show striations corresponding to the regions of low/high impurity concentrations. Subsequent annealing at 250C allowed both grain growth and impurity redistribution, but room temperature annealing led to grain growth with little to no redistribution of the impurities. This result is contrary to the conventional wisdom. In fact, it strongly suggests that impurity motion and structural transformation are actually decoupled.

    Si

    All additives (high impurities) No additives (low impurities)

    1 m

    1.E+16

    1.E+17

    1.E+18

    1.E+19

    1.E+20

    1.E+21

    1.E+22

    1.E+23

    0 500 1000

    Conc

    entr

    atio

    n (c

    m-3

    )

    Depth

    C-

    O-

    S-

    Cl-

    Room T recrystallization

    1.E+16

    1.E+17

    1.E+18

    1.E+19

    1.E+20

    1.E+21

    1.E+22

    1.E+23

    0 500 1000

    Conc

    entr

    atio

    n (c

    m-3

    )

    Depth (nm)

    C-

    O-

    S-

    Cl-

    250C Anneal 1 hour

    Figure 5: (above) cartoon of 10-layer structure produced with syringe (left) and microstructure immediately after deposition (right). No striations in the microstructure are visible. Figure 6: (below) SIMS spectra and microstructure for the room temperature annealed (left) and 250C annealed samples. The microstructure transforms, whether or not the impurities diffuse, contrary to expectations.

  • 22

    The Nanoscience Constellation

    TOPIC 4: Driving Forces for Copper Recrystallization in Ultrafine Structures

    The spontaneous structural transformation of electroplated copper, even at room temperature, is driven by the reduction in free energy. The two main contributors to this are the reduction in surface/interface energy, and the reduction in strain energy. Because of the highly anisotropic mechanical properties of copper, the reduction of strain energy favors the development of 200 texture, while the reduction in surface energy favors 111 texture during recrystallization. Thus texture can be used as a forensic test for driving force identification. However, the development of texture is complicated by the native texture of the copper prior to transformation, which is largely influenced by the texture of the underlying seed layer.

    For example, 111 texture has been shown to transform more slowly than

    untextured copper, even though the driving force is quite high. In patterned samples the field regions are known to the 111-textured but little is known about the texture of the I-PVD seed on the sidewall of narrow trenches. We developed a protocol for protecting the sidewall surface and extracting longitudinal TEM specimens. Diffraction analysis showed no preferred texture along the sidewall, suggesting that pre-emptive nucleation in the trenches prevents the penetration of bamboo grains from the overburden.

    M. Rizzolo, H. Parvaneh, S. Novak, E. Lifshin and K.A.Dunn, Impurity Incorporation and Microstructural Evolution of Electrochemically Deposited Copper, oral presentation, 2011 Spring Meeting of the Materials Research Society (San Francisco, CA: April 25-29, 2011).

    M. Rizzolo, Development of an Acid-Compatible, Microfluidic Electrochemical Deposition System, research report submitted in partial fulfillment of the requirements for the degree of Master of Science (CNSE, Albany NY: August 2011).

    e- Beam

    Figure 7: (above) Perspective drawings showing protocol for longitudinal sectioning of a trench. TEM observation is a projection of the structure viewed side-on, which is ensured by tilted to the 110-zone axis of the Si substrate.

  • 23

    The Nanoscience Constellation

    Figure 8: (below) Montage TEM image of the longitudinal images, showing distinct grains on the sidewall of the trench. Selected area diffraction patterns were taken from the sidewall only, along the entire length (~8 m) of the specimen (example, inset). No reflections were absent, suggesting no preferred orientation in the seed. TOPIC 5: Additive Screening Methodologies for ElectroChemical Deposition of Copper

    To reduce time-to-knowledge and costs associated with wafer scale processing, a laboratory scale copper electrochemical deposition system was developed for screening new organic additives which promote bottom-up fill in interconnect trenches and vias. The main objective of the research is to test two previously published models describing copper fill inside the trenches by bridging the gap between fundamental electrochemical measurements and wafer scale plating results. It was therefore necessary to ensure that this coupon-plating system mimics the dynamic conditions found inside the wafer scale plating tool. In particular, the laboratory setup includes a rotating disk electrode, hot entry waveform, forced circulation of the electrolyte, and a diffuser/shield to reduce terminal and edge effects.

    Qualification of this setup included reliability and repeatability testing, including

    corroboration with the 300-mm wafer plating tool in the cleanroom. This new setup enables working process conditions and functionality trends to be identified for open source and proprietary suppressors and levelers at leading edge feature sizes (sub-50 nm). Models of additive interactions based on electrochemical measurements are currently being tested for generalizability from open source chemistries as well as proprietary chemicals in development by Atotech.

    Figure 9: Cross-sectional SEM images of 50 nm trenches plated in (a) beaker setup. (b) 300 mm plating tool (after CMP). The voids in the beaker-plated sample indicate that further improvements are needed; however, the fill in 60 nm trenches and larger was identical.

    Protective Pt Sharpie Field

    Sidewall Bottom

  • 24

    The Nanoscience Constellation

    K. Ryan, K. Dunn, and J. van Eisden, Development of Electrochemical Copper Deposition Screening Methodologies for Next Generation Additive Selection, poster, 2011 Spring Meeting of the Materials Research Society (San Francisco, CA, April 25-29, 2011).

    K. Ryan, K. A. Dunn, and J. van Eisden, Development of Electrochemical Copper Deposition Screening Methodologies for Next Generation Additive Selection, Microelectron. Eng. (2011), doi:10.1016/j.mee.2011.04.051

    Figure 10: Cross-sectional SEM images of 90100 nm trenches demonstrating overburden mounding as a function of concentration of leveler LK0816. Dotted line added as a guide to the eye. (a) 0.01 mL/L (b) 0.1 mL/L (c) 1 mL/L. Mounding decreases with increasing concentration.

  • 25

    The Nanoscience Constellation

    Materials Fabrication and Integration (Eisenbraun Group) Scope: Functionality of directly platable diffusion barriers, new etch chemistries for on chip interconnect and 3D TSV applications, nanoscale processes for fuel cell and battery applications, and novel interconnects

    Goal: Research and development of materials and processes for advanced IC fabrication, renewable energy, MAMS, and related applications 2011 Accomplishments TOPIC 1: Development of Atomic Layer Deposition (ALD)-Based Processes for the Growth of Multicomponent Direct-Plate liners and copper seed layers

    Benchmark performance and extendibility metrics have been developed for ALD-based RuTaN and RuCo direct plate barriers. Both material systems possess direct copper platability and diffusion barrier functionality to thicknesses of 2-3nm, thus making both candidates for use in sub-28 nm interconnect technologies. In addition, as these systems represent examples of multiphase (RuTaN) and single phase (RuCo) compounds, this research offers insight into the fundamental mechanisms of how directly platable diffusion barriers function.

    Likewise, ALD copper seed layers have been developed employing a novel and enabling room-temperature process. Processing below 100 C is crucial for copper seed layers so as to avoid the natural tendency of copper thin layers to agglomerate on many liner surfaces. This novel process has resulted in the scaling limit of copper seed layers to be reduced to ~10nm, which not only enhances the extendibility of copper seed layers, but also offers a potential route to complete filling of sub-28nm damascene interconnects without the need for a plated copper layer to be used. Topic 2: Development and Benchmarking of Novel and Enabling Replacement Etch Chemistries for On-Chip Interconnect and 3D TSV Applications

    Alternative etch gases to replace high global warming potential (GWP) etch gases such as C4F8 have been developed for use in on-chip and 3D TSV applications. These gases, including C6F6, enable an improved etch profile and etch rate while representing a class of environmentally friendly high performance gases. These etch processes, developed on commercial etch hardware, are targeting both leading edge IC and TSV manufacturers as well as MEMS manufacturers.

  • 26

    The Nanoscience Constellation

    TOPIC 3: Development of Nanoscale Processes for the Growth of Metal Oxide-Based Supports and Low Platinum-Loading Catalysts for Emerging Fuel Cell and Battery Applications

    Highly conductive metal oxide (TiOx)-based support structures have been fabricated on silicon nanowire (SiNW) and anodic aluminum oxide (AAO) substrates. These conductive oxide layers have been demonstrated to retain their electrical conductivity even after exposure to high temperature oxidizing ambient, which makes these materials strong candidates for emerging fuel cell and battery applications.

    Likewise, ALD has been used in a combinatorial chemistry approach to develop

    low-PT loading and no-Pt loading multicomponent catalysts for fuel cells. In particular, NiCo(Pt) layers have been developed and tested electrochemically, and have been shown to provide catalytic performance equivalent to that of pure Pt catalysts. This represents a potential huge benefit for fuel cell marketability and applicability, as the use of large loadings of Pt in conventional fuel cells represents a cost-prohibitive roadblock to the wide use of such systems for high performance renewable energy uses. TOPIC 4: Development of Ultra-Low Electron Scattering Planar Metallics for Future Interconnects

    Ultra-thin Ag/Cu bilayers have been utilized as candidate structures to demonstrate increased electrical performance of future metal interconnects via the modulated reduction of electron-phonon coupling in nanoscale interconnects. This represents a possible route for the development of planar metallic layers that exhibit ultra-low scattering, thus reducing the resistivity of sub-28nm damascene interconnects, which offers a pathway to increased IC performance. The fabrication of these bilayers has been coupled with cryogenic testing and electron beam lithography (EBL)-based electrically testable structures.

  • 27

    The Nanoscience Constellation

    Nanoelectronics for CMOS and Post-CMOS (Geer Group) Scope: Thermo-Mechanical Metrology and modeling of stress in Si; high-frequency TSV structures; post-CMOS materials including graphene and NiSi; nanomaterials for energy applications Goals: Stress mapping to eliminate substantial stress between TSVs in linear TSV arrays; studying graphene pn junctions for post-CMOS applications; evaluation of NiSi nanowires to limit power dissipation in devices; developing a new method to grow carbon nanotubes 2011 Accomplishments TOPIC 1: Thermo-Mechanical Metrology and Modeling of Stress in 3D ICs Structures (w/ Sematech)

    Top-down and cross-sectional Raman microscopy and finite element modeling were used to create 3D maps of stress induced in Si by isolated Cu through-silicon-vias (TSVs) and Cu TSV arrays for 3D integrated circuits. Isolated TSVs can induce substantial stress in Cu (approaching 100 MPa). Top-down Raman and stress maps are shown below.

    15 20 25 30 35

    15

    20

    25

    30

    35

    X Position (m)

    Y Po

    sitio

    n (

    m)

    -90

    -78

    -66

    -54

    -42

    -30-18

    -6.0

    6.0

    18

    30

    2D Stress Map of 5 m Round TSV (post Cu CMP)

    15 20 25 30 35

    15

    20

    25

    30

    35

    X Position (m)

    Y Po

    sitio

    n (

    m)

    Si-Si Raman (cm-1) Shift of TSV (post Cu CMP)-0.064

    -0.038

    -0.011

    0.015

    0.042

    0.068

    0.094

    0.121

    0.147

    0.174

    0.200

    Cu TSV

    Si

    Compressive stress (green/blue)

    Tensile stress (yellow/red)

    di

    rect

    ion

    Figure 1: (left) 2D Si Raman shift map near an isolated 5 x 25 um TSV (wafer B). The inset denotes relative crystal orientation of the wafer. (right) Corresponding 2D stress map assuming biaxial symmetric stress. Note transition from tensile stress in Si far from the TSV to compressive stress near the TSV.

    Stress mapping of TSV arrays reveals significant tensile stress superposition. Our work has shown that optimizing process consumables (and liner technology) can reduce this superposition to eliminate substantial stress between TSVs in linear TSV arrays.

  • 28

    The Nanoscience Constellation

    TSV

    TSV

    TSV

    TSV

    POR

    TSV

    TSV

    TSV

    TSV

    (a) (b)

    Figure 2: (a) Raman profile of 14 TSV (5m diameter) array (Sematech) processed in using Cu plating solution 1. (b) Raman profile of isolated TSV processed using Cu plating solution 2. The tensile stress regions in the Si are absent in the TSV array fabricated using plating solution 2.

    Benjamin Backes, Colin McDonough, Larry Smith;Wei Wang, Robert E. Geer, Effects of Copper Plasticity on the Induction of Stress in Silicon from Copper Through-Silicon Vias (TSVs) for 3D Integrated Circuits Journal of Electronic Testing: Theory and Applications, DOI 10.1007/s10836-011-5242-7 (2011).

    Colin McDonough, Benjamin Backes, Larry Smith, Wei Wang, and Robert E. Geer, Thermal and

    Spatial Profiling of TSV-induced Stress in Si for 3D Integrated Circuits Transactions on Device and Materials Reliability (in press).

    TOPIC 2: High-Frequency TSV Structures for High-BW Core-Core Interconnects (SRC/DARPA/NSF)

    Many core processor designs demand increasing levels of core-core BW, especially for 3D network-on-chip (NoC) topologies where the core-core wiring mesh is non-planar. In response to this need we have designed, fabricated, and analyzed radio-frequency (RF) TSV-based via chain structures for 3D ICs. A signal+multi-ground TSV has been combined with a coplanar waveguide for a 3D core-core link test structure (Fig. 3 below).

  • 29

    The Nanoscience Constellation

    1S2G/Diff 1S4G

    Bottom-side CPW

    1S2G RFTSV

    1S6G

    10:1 TSVs

    Topside metal (M1)

    Bottomsidemetal (M2)

    Handle wafer Figure 3: (Upper left) Top-down layout for 1S2G+CPW and 1S4G+CPW RF via link test structures. (Bottom left) 3D schematic of 1S2G+CPW RF link structure. (Upper right) Optical micrograph of 1S6G+CPW structure. (Lower left) cross-section of TSVs.

    High frequency transmission measurements on the test structures shown above are plotted in Fig. 2. The one-signal/two ground TSV array combined with an in-plane coplanar wave guide yielded a transmission (S21) of -0.8 dB at 50 GHz (shown at left in Fig. 4). This is dramatically higher than the simple plug TSV (1S) version (shown at right in Fig. 4). Measured results agree well with simulations. Current work focuses on high-f crosstalk in such structures.

    1S2G+CPW RF TSV Via Chain 1S4G+CPW RF TSV Via Chain 1S Plug-TSV Via Chain

    Figure 4: (left) Measured and simulated transmission (S21) of 1S2G+CPW RF via link; (Center) Measured and simulated transmission (S21) of 1S4G+CPW RF via link; (right) Measured and simulated transmission (S21) of 1S plug-TSV via link.

    Le Yu; Haigang Yang; Jing, T.T.; Min Xu; Geer, R.; Wei Wang; , "Electrical characterization of RF

    TSV for 3D multi-core and heterogeneous ICs," Computer-Aided Design (ICCAD), pp.686-693, 7-11 doi: 10.1109/ICCAD.2010.5654244 (2011)

    TOPIC 3: Post-CMOS Devices and Interconnects: Electrostatic Doping Mapping in Graphene pn Junctions (SRC/NRI/DARPA)

    Carriers in single-layer graphene have been predicted to exhibit optical behavior due to their photon-like linear dispersion relation. This opens the possibility of using pn

  • 30

    The Nanoscience Constellation

    junctions in graphene to optically redirect carriers similar to light confined in a waveguide. The width of the pn junction doping profile in graphene is the key parameter in determining this behavior. We have modified a scanning Kelvin-Probe system to measure these profiles in exfoliate and CVD graphene. Figure 5, below, shows direct imaging of reconfigurable electrostatic doping profiles in exfoliate graphene deposited on a split-gate electrode.

    p-regions

    n-regions p-regions

    n-regions

    No Bias

    P-N Junction Profiles: Pristine Graphene

    BLG

    SiO2

    SLG

    BLG

    SiO2

    SLG

    -5V

    +5V

    +5V -5V

    Figure 5: (upper left) KPFM images of graphene exfoliates on a split gate test structure. (Lower left) 3D KPFM images of graphene Fermi level in different bias configurations. (Upper right) Surface potential curves across switchable graphene pn junction.

    The measured graphene pn junction doping profile shown in Fig. 5 was

    compared to FEA simulations (Fig. 6). The agreement between model and measurement is excellent. These measurements are being expanded to graphene+BN systems to directly predict electron reflection at the pn junction interface.

    0 1 2 3 425

    50

    75

    100

    Position (m)

    G1=+10V; G2=-10V Measured (Erf profile) Modeled (Step potential)

    Surfa

    ce P

    oten

    tial (

    mV)

    1.8 2.0 2.20

    0

    0

    Figure 6: (left) Simulation geometry of KPFM profiling on graphene pn junction. (right) Measured and simulated graphene pn junction surface potential profiles.

    Yunfei Wang, Ji Ung Lee, and Robert E. Geer, Direct Profiling of Electrostatic Doping in a Switchable Graphene PN Junction Nano Letters (submitted).

  • 31

    The Nanoscience Constellation

    TOPIC 4: NiSi Nanowires for On-Chip Local Interconnects (SRC/DARPA) On-chip Cu interconnects suffer from electron scattering as the line width

    decreases below 50 nm. This is expected to have significant consequences in terms of power dissipation and performance for line widths below 20 nm. Possible alternatives include NiSi. Although exhibiting bulk resistances 5X that of Cu, the relatively small electron scattering length in NiSi (5 nm) makes it a potentially attractive replacement for Cu at line widths < 10 nm. A modified SOI process flow has been used to construct NiSi nanowire interconnects on a 300m test wafer. Figure 7 shows 4-pt resistivity measurements for NiSi lines approximately 50 nm in length. The large resistivity is attributed to LER.

    50nm 14nm 50m NiSi line

    Figure 7: (left) Resistivity for 50nm wide NiSi nanowires patterned on an SOI substrate. (right) SEM micrographs of 4pt test structure.

    Figure 8 shows similar 4-pt resistivity data for NiSi on-chip nanowires < 25 nm in width. Although some wires exhibit large resistivity (attributed to large LER) several wire structures displayed resistivity at or below that of thicker wires, consistent with scaling for a material with a short electron scattering length. Current work is focusing on substantial reduction of LER to probe intrinsic electron scattering in NiSi nanowires.

  • 32

    The Nanoscience Constellation

    100 nm

    23.2 nm

    18.5 nm 24.4 nm

    Pre-trim width: 60 nm

    Large variability in measured resistivity in sub 30-nm lines

    LER and Stress-induction Effects Significant

    Figure 8: (left) Resistivity for 50nm wide NiSi nanowires patterned on an SOI substrate. (right) SEM micrographs of 4pt test structure. TOPIC 5: Nanomaterials for Energy Applications: Hierarchical Nanostructures Based on SiNWs and CNTs (SRC/DARPA/NREL)

    Nanoengineered materials offer important new opportunities for device technologies requiring extremely high surface/volume ratios and/or surface chemical activity. Hierarchical nanostructures are an important class of materials for filling this role for energy applications including new battery and fuel cell technologies. Our group has developed a novel method to grow carbon nanotubes directly on silicon nanowires (SiNWs) using a carbon-rich nickel plasma-enhanced ALD process. Equally important, this process creates an intrinsically conductive SiNW template through NiSi formation. Figure 9 below shows various SEM and TEM images which show the hierarchical NT/SiNW structure. Figure 9: (a) Silicon substrate and (b) SiNWs coated with PEALD Ni. MWNT growth was observed at both surfaces (c, d) after RTA processing. (e) Representative TEM micrograph of the MWCNTs on a trunk silicon nanowire surface. (f) Ni particle captured inside a multi-wall nanotube grown from a SiNW trunk. TEM indexing showed the particle is Ni with 0.206 nm of spacing with (111).

  • 33

    The Nanoscience Constellation

    The innovation central to this process is a carbon-rich Ni layer (PEALD) and the direct silicidation of the SiNW. The mechanism for CNT growth at a SiNW surface using this approach is shown in Fig. 10 below.

    Figure 10: Schematic illustration of MWNT growth on SiNWs: (a) PEALD of carbon-containing Ni on Si; (b) Thermal annealing which induced nickel silicide formation, increased local carbon concentration and drove carbon diffusion and segregation; (c) MWNT growth resulting from carbon segregation at surface.

    J H Lee, I N Lund, E T Eisenbraun and R E Geer, Silicide-induced Multi-wall Carbon Nanotube Growth on Silicon Nanowires Nanotechnology 22, 085603 (2011).

  • 34

    The Nanoscience Constellation

    E-Beam Lithography Research (Timothy R. Groves) Scope: E-beam lithography as well as applications for nanoscale biology Goals: (1) Directing (with Prof. John Hartley) the use of the VB300 e-beam lithography tool at CNSE to fabricate novel structures for research; (2) Extending and improving the state-of-the-art of e-beam lithography through innovation; (3) Investigating the use of e-beam lithography for nanoscale biology; (4) Directing the ARDEC Nanosensor Stagegate Accelerator program as Principal Investigator; (5) Serve International SEMATECH in an advisory role for lithography, and as CNSE representative on the Executive Steering Council, and as a CNSE representative on the PVMC ETAB; (6) Develop a book: Introduction to the Optics of Charged Particle Beams, by T.R. Groves 2011 Accomplishments TOPIC 1: Develop a Nanoscale Photoelectron Source for a Massively Parallel E-Beam Array

    A light-concentrating wave guide was designed, simulated, and fabricated using the VB300 tool. This is shown in Figure 1 below. It makes use of surface electromagnetic waves on a structure of concentric rings to amplify the intensity of a 257 nm laser up to 185 times at the center of the structure. The amplified laser light illuminates a 10 nm diameter photoelectron emitter. A test system was constructed, and the first photoelectron emission current measured from bulk film. A custom photoemission electron microscope (PEEM) was designed and constructed to measure the electron optical properties of the source. It is presently being integrated into the test system.

    Figure 1: (left) Cross-section schematic of the light amplification wave guide structure, (a) quartz, (b) metal, (c) vacuum. The small white square represents the nanoparticle photoelectron source.

    The 257 nm laser light is incident from the left, and the photoemitted electron beam propagates to the right. (right) Simulated light intensity. (Courtesy of Heon Joon Choi, Ph.D. student, CNSE)

    a b c 180 nm

  • 35

    The Nanoscience Constellation

    TOPIC 2: Study the Motility of Cancer Cells on Topographically Patterned Surfaces

    Human breast cancer cells were grown in vitro on a patterned silicon dioxide structure fabricated using the Vistec VB300 e-beam lithography tool at CNSE. This is shown in Figure 2 below. We observe that the cells extend invasapodia which align with the pattern features. Interestingly, normal cells of the same type of tissue do not exhibit this behavior. It is known that cancer cells metastasize throughout the body by first penetrating small gaps in the tumor tissue and blood vessel walls. It is also known that cancer cells differ morphologically from normal cells of the same tissue. This work shows early indication of the mechanisms underlying cancer cell metastasis. We have established an ongoing and active cancer metastasis working group at CNSE, including faculty members Nadine Hempel, Andre Melendez, Scott Tenenbaum, and Timothy Groves. The group also includes Dr. Thomas Goodman, a cofounder and partner of the Upstate Hematology and Oncology Clinic, located in Niskayuna, NY.

    Figure 2: Human breast cancer cells grown in vitro on a patterned silicon dioxide

    surface. The cells spontaneously align with the pattern features. This provides early indication of the mechanisms underlying cancer cell metastasis. (Courtesy of

    William Stephenson, Ph.D. student, CNSE). TOPIC 3: Develop a Book: Introduction to the Optics of Charged Particle Beams, by T.R. Groves

    This book has been in the making since 1998. The manuscript was submitted in 2011 for publication by Cambridge University Press, and is presently in the review process. A description follows.

  • 36

    The Nanoscience Constellation

    Charged particle beam instruments are widespread and indispensible in present-

    day research, development, and practical technology. The highly diverse applications include high resolution electron and ion microscopy, physical and chemical analysis of materials, fabrication of nanometer-scale structures, metrology and inspection for quality control in volume manufacturing, medical diagnosis and therapy, and probing the fundamental properties of matter at the sub-nuclear level, to name just a few.

    Recent achievements include extension of the resolution of transmission electron microscopes to 0.06 nm by correction of aberrations, introduction of ion sources with unprecedented high brightness, improvement of energy resolution to below 1 meV in analytical instruments, and increase of design beam energy to 7 TeV in the Large Hadron Collider at CERN. Production of charged particle instruments comprises an annual multibillion dollar industry, with investment in research and development in the hundreds of millions of dollars.

    As an example, scanning electron and ion beam microscopes were invented during (some of) our lifetimes. These instruments were subsequently commercialized and made available to the larger community on an impressive scale. They have proven to be indispensible for a wide range of applications in nanoscale fabrication, analysis, metrology, and end-user applications. An example of images routinely obtained is shown in Figure 3 below. This pair of images provides a striking demonstration of the differing contrast mechanisms for electron beams and ion beams.

    Figure 3: Images obtained from a helium ion microscope (left), and scanning electron microscope (right). The focused ion beam more clearly resolves surface detail, because of the shorter range of fast ions in matter. (Courtesy of Carl Zeiss SMT, Inc.)

    Enormous productivity has been enabled by extensive education. This consists primarily of training in the details of instrument construction and operation. In contrast to the extensive literature on light optics, only a few books are currently available which treat the physical and optical principles underlying charged particle instruments at the

  • 37

    The Nanoscience Constellation

    fundamental level. In particular, an urgent need exists for a graduate-level textbook, which presents a coherent theory of both geometrical and wave optics, together with the intimate connection between these two. This unique book proposes to satisfy that need.

    We begin with an introduction for the intelligent layperson. It is a survey of theory and experiment, presented in the simplest and most practical possible way. It contains a number of visual examples, and is intended to convey the enormous scope and impact of charged particle beam instruments, and their indispensible role in science and technology on the atomic scale. The intention is to motivate students of all fields of science, engineering, and technology to tackle the details of this subject.

    The main text then identifies the important optical concepts and derives each in mathematical terms from first principles of physics:

    Only the important ideas are presented. This is not intended as a comprehensive reference, a general and rigorous mathematical treatment, nor as a review of current research. This makes the material suitable for a one-year introductory course at the graduate and advanced undergraduate levels.

    The material is presented as a logical progression, with each idea following from the previous one. This greatly facilitates understanding of the mathematical concepts. A reader who makes the effort to follow the logical progression will gain an exceptionally strong foundation.

    The theory is directly applicable to experiment and to real-world problems. The reader will be able to link theory with practical application in the laboratory.

    The mathematical progression is illustrated with many figures, examples, and problems to facilitate an intuitive feel for the subject.

    The target audience consists of several distinct groups, including:

    Students at the graduate and advanced undergraduate levels, who seek a basic understanding of the physical concepts of charged particle beams.

    Experimentalists, who are interested in explanations of fundamental concepts underlying their measurements.

    Scientists and engineers who develop useful instruments, and who seek a reference for basic principles.

    This book comprises the main text of the course titled, Introduction to the

    Optics of Charged Particle Beams, which is an elective course, and part of the graduate curriculum at the College of Nanoscale Science and Engineering at the University at Albany, State University of New York. Details of the Approach

    Chapter One of the main subject begins with a review of relativistic classical mechanics, starting with Hamiltons principle of least action. This leads immediately to the equation of motion of a massive charged particle in an arbitrary magnetic and electric potential. We then consider the trajectory of a single particle, and the family of trajectories, infinitesimally separated from one another in phase space. This introduces the true optical nature of a beam, including brightness conservation and image formation. The ray equation is developed for a general curvilinear axis for the important

  • 38

    The Nanoscience Constellation

    special case where the potentials have no explicit time dependence. The case with axial symmetry is studied in considerable detail, including the primary aberrations and Coulomb scattering in beams.

    In Chapter 2 we review quantum mechanics, starting with the basic postulates leading to the wave equation. Energy eigenvalues and eigenfunctions are derived for unbound states. The solution for the wave function is then developed for a general electromagnetic potential using the path integral approach of Dirac and Feynman. A relativistically covariant solution is found which leads naturally to an accurate wave-optical description of charged particle motion, including aberrations. Scalar diffraction theory is then developed, starting from the wave equation and Huygenss principle. The intimate connection between diffraction and the Heisenberg uncertainty principle is shown.

    In both the classical and quantum mechanical descriptions, the action integral is stationary with respect to first order perturbations about the physical ray traced out by a single charged particle in a general four-vector electromagnetic potential. In the case where the potential depends explicitly on time, this is the time integral of the classical Lagrangian, evaluated between constant start and end times. In the case where the potential has no explicit time dependence, this reduces to the path integral of the canonical momentum component along the trajectory between constant start and end positions.

    In the quantum mechanical description, this action integral divided by forms the phase of the wave function in the quantum mechanical description. This phase is stationary with respect to first order perturbation about the physical trajectory. The canonical momentum vector is everywhere perpendicular to the surfaces of constant phase. The correspondence between the classical and quantum descriptions becomes strikingly transparent in this formulation. All relevant information about the single particle ray, including the geometrical aberrations, is thus contained in the action integral. This forms a central unifying and simplifying theme of this book.

    In Chapter Three, we separately derive two-particle scattering classically and quantum mechanically. Scattering is the mechanism underlying the interaction of particle beams with matter. This, in turn, forms the basis of most useful charged particle beam instruments. Derivation of the scattering cross section from first principles represents a beautiful example of elementary quantum mechanics in its own right, quite apart from its widespread applicability to charged particle instruments.

    Chapter Four derives the properties of electron emission from conductive materials. This is a strikingly simple example of Fermi-Dirac statistics, the Pauli exclusion principle, and Sommerfelds model of the electronic properties of metals. This forms the theoretical basis of many useful electron sources. This chapter again provides an instructive and practical application of quantum mechanics in its own right.

  • 39

    The Nanoscience Constellation

    Several essential mathematical topics are discussed in the appendices. These are instrumental to derivations in the main body of the text. These topics are separated from the main body, in order that the intuitive flow of ideas not be interrupted by mathematical detail. Problems are given throughout the text. These are simple extensions of the material, as opposed to clever and arcane applications of the theory. As such, students are expected to solve the problems on their own, without consulting printed solutions. This also encourages self-testing along the way.

  • 40

    The Nanoscience Constellation

    Spin-Transfer Graphene Research (LaBella Group)

    Scope: Exploring the fundamental physics of carrier transport across material interfaces and spin relaxation in graphene Goal: Extract elastic scattering lengths for electrons from nm thick metal films. Increase the spin relaxation time in graphene 2011 Accomplishments TOPIC 1: Role of Interface Band Structure upon Hot Electron Transport

    Interface band structure is the projection of the bulk three-dimensional band structure onto a specific two-dimensional face of the Brillouin zone. This, combined with conservation of parallel momentum, are fundamental aspects of carrier transport in a wide range of solid state electronic devices. Hot electron spectroscopy methods such as ballistic electron emission microscopy (BEEM) offer an ideal technique to study these effects since the injected electrons have a narrow energy and momentum distribution.

    To date, direct observation of interface

    band structure effects and parallel momentum conservation has been elusive for non-epitaxial metal semiconductor systems.

    In our recent work the interface band

    structure of silicon is shown to affect the transport of hot electrons across a non epitaxial metal-semiconductor interface (Ag/Si). Samples consisted of nanometer thick Ag films that were deposited on chemically cleaned Si(001) and Si(111) wafers and capped with 10 nm Au to prevent oxidation of the films. Attenuation lengths were extracted by measuring the BEEM current as a function of the metal layer thickness.

    The hot electron attenuation length of Ag is observed to increase sharply for

    energies approaching the Schottky barrier height when deposited upon Si(001) substrates, and decreases slightly when deposited upon Si(111) substrates as displayed in the figure. This is a result of differences between the interface band structure of the two silicon orientations and the conservation of parallel momentum of

    Figure 1: Hot electron attenuation lengths for Ag as a function of tip bias. Divergence at low bias is due to differences in the interface band structure between the Si(111) vs. Si(001) shown above.

  • 41

    The Nanoscience Constellation

    Figure 2: A and B Spin precession in epitaxial graphene on SiC(0001).

    the electrons. This effect is observed for both hot-hole and hot-electron injection on n-type silicon substrates. At higher tip biases the attenuation lengths converge, allowing extraction of the inelastic and elastic scattering lengths in the silver.

    These results demonstrate that hot electron attenuation length measurements

    have the potential to map out the interface band structure of metal-semiconductor interfaces. This new understanding illuminates the role of the interface upon these measurements giving further confidence in the extraction of elastic and inelastic scattering lengths in the metal films. TOPIC 2: Electron Spin Transport in Graphene Grown on Silicon Carbide (0001)

    Graphene is an ideal candidate for the transport channel in future spintronic devices due to its long spin lifetimes at room temperature. The long lifetime arises due to the small intrinsic spin orbit coupling and low hyper-fine interaction of the electron spins with the carbon nuclei. Graphene based non-local Hanle measurement devices were fabricated on epitaxially grown graphene on SiC, provided by IBM.

    Spin injection and non-local detection

    were achieved in these devices using cobalt nano-magnets directly deposited on the graphene (Figure A) and with an HfO2 barrier between the Co and graphene (Figure B). Spin precession was observed and the spin lifetimes for the epitaxial graphene were extracted from the Hanle curves. The spin lifetimes for the direct Co contacts were found to be comparable to those found in an exfoliated multi-layer flake with direct contacts.

    Improved spin lifetimes were

    observed in the epitaxial graphene with an HfO2 (~0.7nm) barrier. The lifetime was found to be independent of contact separation. These improved lifetimes are a result of a reduction spin relaxation from the contacts. The reduced spin relaxation times measured in epitaxial graphene fabricated

  • 42

    The Nanoscience Constellation

    with direct cobalt contacts and an exfoliated graphene flake with similar contacts are believed to be caused by an increased contact induced relaxation mechanism effectively removing spin from the channel.

  • 43

    The Nanoscience Constellation

    Microanalysis and Image Analysis (Lifshin Group)

    Scope: Focused electron and ion beam techniques as well as the characterization of materials at the micron to nanometer scale Goal: The principal focus of my research is to both develop and apply focused electron and ion beam techniques to the characterization of materials at the micron to nanometer scale 2011 Accomplishments TOPIC 1: Understanding the Fate of Additives in Copper Plating Baths and Their Role in the Development of Desired Microstructures in ULSI Interconnects

    As microelectronic interconnects become progressively smaller, it is critical that the copper plated into Damascene trenches be as large grain as possible, preferably in a bamboo structure to minimize resistivity and also to reduce possible electromigration effects. As some trenches are now 40 nanometers and less in width, few techniques are available to do high spatial resolution chemical analysis to determine where the various plating additives are localized or even if they are localized. This knowledge is important because while these additives are essential to plating into such fine structures they may also be responsible for undesirable fine grain structures that are observed by both scanning and transmission electron microscopy.

    In the ongoing study sponsored by SRC (Task 1292.055) a variety of advanced analytical techniques including high resolution STEM/EDS, Auger analysis and time of flight secondary ion mass spectrometry have been applied to localizing additives in plated and annealed copper. Our major findings thus far suggest that chlorine (present in all copper plating) appears to be distributed throughout grains, but will cluster at boundaries if excess additives were present in the original plating solutions. The exact reason for this level of uniform distribution at the levels where chlorine can be detected by STEM/EDS of around 1 weight percent is unclear since chlorine should not be soluble in bulk copper at that concentration.

    Clustering of excess chlorine at boundaries is more consistent the larger the

    space available at such locations. It is speculated that chlorine is somehow trapped within the film as it is grown, but is more in pockets than in lattice vacancies or interstitial positions due to the relatively large size of chlorine atoms.

  • 44

    The Nanoscience Constellation

    Figure 1: These research findings and much more were presented at the annual CAIST Review for SRC held at CNSE in October 2011. They are now being prepared for journal publication. TOPIC 2: Resolution Enhancement in Scanning Microscope Images

    The desire to improve the spatial resolution of images obtained with a variety of scanning microscope techniques is one of the major goals of many techniques including scanning electron microscopy (SEM), microbeam x-ray fluorescence microscopy, Auger microscopy, x-ray photoelectron microscopy and many others. Consequently, manufacturers have spent a great deal of effort on reducing the sizes of the probes used, as well minimizing the interaction volume from which signals are generated. Our approach, which is a collaborative effort with Professor Michael Stessin of the Department of Mathematics, is to recognize that the probe interaction area is a series of localized excitations of measured signals in which the sum is what is measured. We then take a series of conventionally obtained images under carefully defined conditions and separate out the signals that come from various parts within the probed area.

    To better understand this concept one must recognize that the purpose of

    decreasing probe size in most advances in this area is to limit the measured signal to just the area thats excited. The smaller the area the higher the resolution is. Our approach can get the same information using a larger probe that under normal conditions would give relatively blurry images, however multiple images are required and must be collected using conditions where we can very accurately position the probe at certain locations and obtain a series of images with different probe characteristics. Details of the method have been presented in a patent filed both in the U.S. and abroad. The method has successfully been applied to an x-ray microscope and to SEM images. Below is an example of before and after using the technique with SEM images.

  • 45

    The Nanoscience Constellation

    Figure 2: Metal spheres taken with large probe. Numbers refer to pixel coordinates.

    Figure 3: Metal spheres after image enhancement software applied.

  • 46

    The Nanoscience Constellation

    Reliability Science and Engineering (Lloyd)

    Scope: Reliability in nano-scaled electronics Goal: Provide R&D for reliability physics and practices for nanoelectronics 2011 Accomplishments TOPIC 1: TDDB (Time-Dependent Dielectric Breakdown) Modeling for Low-k and Ultra-Low-k Dielectrics

    A fundamental model for TDDB failure in low and ultra-low-k interlevel dielectrics was developed as an extension of the earlier Impact Damage model. The model is basically a lucky electron model where breakdown is a result of damage caused by impact with an electron passing through the dielectric. The probability that an electron would gain sufficient energy to cause damage given the mean free path, results in the expression for failure time (tf)

    +=

    EETAt f

    exp)(

    where T is temperature, and E is the applied electric field. Objections to the model were raised that the electron could never get enough momentum to kick out an atom and produce a trap that would lead to breakdown. It was shown that if the criterion for failure is not the energy of the collision, but the momentum exchange, there is sufficient momentum to kick out a hydrogen atom and then produce traps that will accumulate leading to a percolating path and dielectric breakdown.

    The implication is that root-E failure kinetics is only valid for dielectrics with hydrogen incorporated in the structure, such as in the low-k and ultra-low-k dielectrics used in contemporary nanoscale devices. If there is no hydrogen in the structure, the McPherson E model appears to be the most appropriate, as has been shown in SiO2 based dielectrics. A paper was presented at the International Reliability Physics Symposium. TOPIC 2: Constant Voltage Electromigration Testing

    Electromigration damage is caused by the momentum exchange between conducting electrons and diffusing metal atoms. Therefore electromigration damage is proportional to the current density in the metal conductor. Normally tests are performed by passing a constant direct current through a suitable conductor. In these tests, however, variations in the current density would be caused by variations in the metal cross section leading to a spread in the electromigration lifetime that is not really characteristic of the failure process. As conductor dimensions shrink to the sub 100nm level, variations from structure to structure become significant and the variation in lifetime correspondingly affected.

  • 47

    The Nanoscience Constellation

    Electromigration failures follow a lognormal distribution. The variations in

    geometry mentioned above can produce increases in the lognormal standard deviation, sigma, of the lifetimes that is not characteristic of the failure mechanism. A way to alleviate this is to perform electromigration tests at constant voltage. If all samples are the same length (variations in length would be negligible if not zero) then a constant voltage would produce a constant electric field (E) for each sample and therefore a constant current density (j) assuming constant resistivity.

    jE = Under these conditions, it is anticipated that the sigma of the failure distribution should be reduced compared to constant current testing and also be a more realistic value for the failure mechanism.

    Experiments were performed using samples with known misprocessing. A layer of interlevel dielectric was missing allowing short circuiting to underlying patterns and parallel conductors. The initial resistances of the testing structures varied by factors of 2 to 3 reflecting the parallel paths. In the constant voltage tests current is monitored and failure is defined as an abrupt decrease in the current.

    Figure 1: Example of current vs time for CV testing of poor material.

    At constant current, these samples expectedly exhibited very broad failure distributions with very high sigmas, whereas the same samples stressed at constant voltage showed comparable (almost identical) median times to failure, but sigmas less than half the value of those stressed at constant current. One interesting feature of stressing these misprocessed structures was the staircase pattern where different parallel paths (presumably at the same current density) had failed in succession.

    When samples with better geometrical control were tested, the effect was substantially smaller with sigmas being basically the same. Also note that the staircase behavior was absent indicating that the parallel paths were not present.

  • 48

    The Nanoscience Constellation

    Figure 2: Example of current vs time for CV testing of better material.

    This behavior reflects the value of using constant voltage stressing for very fine

    line widths and for immature processes when the lithography is not yet well established. Intrinsic performance can be evaluated with less sensitivity to the geometrical issues characteristic of early development material. A paper was presented at the International Integrated Reliability Workshop. TOPIC 3: Effect of Thermal Stresses on Electromigration

    The criterion for metal failure due to electromigration is the attainment of a critical stress that produces delaminations that then grow to voids and open circuits. Electromigration in the presence of unavoidable flux divergences (contacts to Si) will produce a stress gradient opposite to the electromigration driving force. When the stress gets high enough to promote a delamination, a void will nucleate and eventually grow to failure.

    The stress that is required for failure could also be supplied by thermal stresses,

    i.e. those stresses generated by the differences in the thermal coefficient of expansion between the metal lines and the Si wafer and the dielectric coverings. These thermal stresses are known to cause failures even without passing current known commonly as Stress Voiding. Thus, thermal stresses can reduce the electromigration lifetime substantially. In addition, since the effect is temperature dependent, but not thermally activated, the effective activation energy as normally extracted will be affected producing lower values than expected and also should show significant curvature.

    Experiments were conducted using the constant voltage technique for electromigration samples over a very large temperature range to look for both early failure and curvature in the Arrhenius plot. Electromigration tests were carried out over the largest temperature range recorded, from 300C to 125C in 25C increments at relatively low, near use, current density (10 mA/square micron). Although early failure was observed (t50 of hundreds of hours at lowest stress) and a low activation energy

  • 49

    The Nanoscience Constellation

    was extracted (0.75 eV for Cu.normally 0.9 to 1 eV at higher temperature) the Arrhenius plot was remarkably straight.

    Figure 3: Kinetics plot. It is speculated that this result may indicate that the material used in the

    experiment (same material as used in the constant voltage test above), was of poor quality and may have already had a large number of pre-existing voids thus precluding any void nucleation which would produce the anticipated curvature in the Arrhenius plot. Experiments are continuing to confirm or deny this speculation. This work was presented at the SRC meeting and additional experiments are under way.

    y = 9095.2x - 15.213R = 0.9778

    0123456789

    0.0015 0.0017 0.0019 0.0021 0.0023 0.0025 0.0027

    mu

    1/T

    Kinetics 1

  • 50

    The Nanoscience Constellation

    X-ray Scattering (Matyi Group)

    Scope: Basic science and applications of X-ray scattering methods for materials and nano-structure analyses Goal: Develop improved metrology methods for nanoscale electronics, materials and structures 2011 Accomplishments TOPIC 1: Development of Statistical Dynamical Diffraction Theory (SDDT) as a Novel Method for Partially Relaxed and Defective Heterostructures

    The dynamical theory of X-ray diffraction is extensively used to evaluate the structural characteristics of ideally perfect single crystals. Information such as layer thickness, chemical composition, and both strain and strain relaxation can be extracted from X-ray rocking curves recorded under high resolution diffraction conditions. Statistical dynamical diffraction theory (SDDT) provides the ability to model defect-induced structures in high resolution X-ray diffraction analyses by incorporating both coherent (dynamic) and incoherent (kinematic) scattering.

    We have developed an implementation of the SDDT that is relatively simple but

    permits the analyses of complex, highly defective structures such as fully relaxed SiGe on Si that are inaccessible by conventional dynamical diffraction analysis. We have investigated the viability of this approach by fitting experimental high resolution X-ray diffraction data from structurally defective and partially relaxed Si0.70Ge0.30 ion implanted heterostructures (Figure 1). This work has shown the capabilities of the SDDT theory for providing fully quantitative HRXRD analyses of highly defective materials

    P.K. Shreeman and R.J. Matyi, Appli-cation of Statistical Dynamical Diffraction Theory to Highly Defective Ion Implanted SiGe Heterostructures Physica Status Solidi A, 208, 2533 (2011).

    Figure 1: Comparison of analyses of ion-implanted SiGe/Si with both conventional dynamical diffraction theory (blue) and SDDT (red)

  • 51

    The Nanoscience Constellation

    Figure 2: This XRR scan from a thin PMMA film on Si shows excellent agreement between the experimental data (blue circles) and the calculated pattern (red line).

    TOPIC 2: Sensitivity of X-ray Reflectometry Analyses to Experimental Perturbations

    Specular high resolution X-ray reflectometry (XRR) (below, left) has numerous characteristics that make it a desirable tool for thin film materials characterization. It is non-destructive and provides thickness, density, and interface information in depth from even complex thin film stacks. In our studies of the fundamental limits of XRR metrology, the effects of common experimental perturbations on specular XRR analyses of polymer thin films on silicon substrates have been examined. Specifically, the impact (both individually and combined) of sample curvature, sample displacement, instrument function, and noise have been assessed by incorporating these factors into a calculation of a theoretical XRR profile from a model structure.

    Among other results, this work has shown that extrinsic parameters such as sample curvature (below, right) can have a major impact on the assumed accuracy of measurements of density as well as significantly increasing their statistical error.

    C.S. Settens, C.D. Higgins, R.L. Brainard and R.J. Matyi, Experimental Sensitivity of

    Measurements of Density-in