135
7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short http://slidepdf.com/reader/full/bald-atomic-layer-deposition-conference-2010-bookletshort 1/135 Conference Program onference Program & Abstract Booklet  Abstract Booklet

BALD atomic layer deposition conference 2010 Booklet_Short

Embed Size (px)

Citation preview

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    1/135

    Conference Programonference Program

    & Abstract BookletAbstract Booklet

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    2/135

    08:30-09:00

    Time

    09:30-10:00

    10:30-11:00

    10:30-11:00

    11:30-12:00

    11:30-12:30

    12:30-14:30

    12:30-14:00

    14:30-14:45

    16:30-18:00

    16:45-17:00

    19:00-21:30

    AudimaxBuceriusLa

    wSchool,Hamburg

    GerALDAward/PlenaryTalk

    AdvancedMaterialsandProcessesbyAtomicL

    ayerDeposition

    LauriNiinst(HelsinkiUniv.,Finland)

    FarewellReception

    andExhibition

    LunchBreak-RestaurantFilini(HotelRadissonBLU)

    Session8-Plenary-Auditorium

    Theself-limitingnatureofsurfacereactionsinA

    LD

    SuviHaukka(ASMM

    icrochemistryLtd.,Finland)

    15:30-16:30

    10:00-10:30

    09:30-10:00

    Session2a-Processes1-Auditorium

    ALDofGeSbTethinfilms

    ViljamiPore(Univ.OfHelsinki,Fin

    land)

    Session2b-EmergingApplications-

    CoffeeB

    reak

    09:00-09:30

    Registration

    filmsfororganicelectronics

    TittaAaltonen(Univ.OfOslo,Norw

    ay)

    12:00-12:30

    Correlationoftheelectricalcharacteristics

    tothestructuralpropertiesofALDgrown

    high-kdielectrics

    UweSchrder(NaMLabGmbH,Germany)

    LectureRoom

    Reactionmechanismsstudieso

    nALDof

    complexlithiumcompounds

    Plasma-enhancedALDforopeningthe

    LunchBreak

    -RestaurantFilini(HotelRadissonBLU)

    Session3a-Processes2-Au

    ditorium

    11:00-11:30

    BBQandPosterSession

    modelling-LectureRoom

    ConformalCoatingsandtheiren

    ergy

    applicationsofoxidematerialso

    n

    nanotemplates

    14:00-14:30

    AtomicLayerdepositionofZnO

    andAlZnO

    GrzegorzLuka(InstituteofPhysics,Poland)

    16:15-16:45

    AnjanaDevi(Ruhr-Univ.Bochum,Germany)

    ALDtemperaturewindow

    14:45-15:15

    StephenS.Potts(Univ.Einhoven,Netherlands)

    15:45-16:15

    Nucleationandgrowthofcontin

    uousandultrathinPTfilmsusingplasma-enhanced

    ALD

    StevenM.George(Univ.OfColorado,USA)

    Session4a-EnergyApplications-

    Auditorium

    Session4b-Precursordesignand

    Session3b-ElectronicApplications1-

    LectureRoom

    Session5

    HyunjungShin(KookminUniv.Korea)

    PrecursorengineeringforALDof

    functionalmetaloxides

    Day#1:ThursdaySeptember16th,2010

    Session6a-ElectronicApplications2-

    Session6b-Nanostructures-

    Time

    Auditorium

    LectureRoom

    Ses

    sion1-Plenary-Auditorium

    Day#2:FridaySeptember17th,2010

    ALDgrownfunctionaloxidelayersfor

    09:00-09:30

    nonvolatilememoryapplications

    SusanneHoffmann-Eifert(Jlich,Germany)

    10:00-10:30

    Session7a-Analyticalmethods-

    Auditorium

    Session7b-Ferromagneticand

    multiferroicmaterials-LectureRoom

    In-situstudiesofinitialgrowthand

    functionalitiesinHfO2ALDlayersonSi

    11:00-11:30

    DieterSchmeier(BTUCottbus,Germany)

    15:00-15:30

    Continuousandroll-to-rollatomiclayerdeposit

    ion

    DavidC.Cameron(LappeenrantaUniv.,Finland)

    ScheduleBalticALD

    2010&GerALD2010

    AtmosphericpressureALDina

    flow-tubereactor

    GregoryN.Parsons(NorthCarolin

    aStateUniv.,USA)

    Microfluidicapplicationsutilizin

    gALDnanotechnology

    HelmutBaumgart(OldDominionU

    niv.USA)CoffeeBreak

    14:30-15:00

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    3/135

    http://www.cambridgenanotech.com

    http://www.picosun.com

    http://www.oxford-instruments.com

    http://www.sentech.de

    http://www.beneq.com

    http://www.lot-oriel.de

    http://www.beneq.com

    http://www.dtf-technology.de

    http://www.modularflow.com

    http://www.oxford-instruments.com

    http://www.phi-europe.com

    http://www.picosun.com

    http://www.sentech.de

    http://www.strem.com

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    4/135

    GeneralInformation

    RegistrationDesk

    TheBalticALD2010Conferenceregistrationandinformationdeskislocatedatthelobbyof

    theAuditorium

    of

    the

    Bucerius

    Law

    School

    on

    Thursday,

    September

    16

    through

    Friday,

    September

    17.

    Openinghours

    Thursday,September16 8.00am 6.00pm

    Friday,September17 8.00am 6.00pm

    Catering

    CoffeebreaksareorganizedinthelobbyoftheAuditoriumoftheBuceriusLawSchool

    onthefollowingdays/times

    Thursday,September16 10.30am 11.00pm

    03.15pm 03.45pm

    Friday,September17 10.30am 11.00pm

    Lunchisorganizedintherestaurant"Filini"intheRadissonBLUhotel

    Thursday,September16 12.30pm 02.00pm

    Friday,September17 12.30pm 02.30pm

    Dinner/BBQisorganizedinthelobbyoftheAuditoriumoftheBuceriusLawSchool.

    Thursday,September16 07.00pm 09.30pm

    Exhibition

    ExhibitionsareopeninthelobbyoftheAuditoriumoftheBuceriusLawSchoolduringbreaks,

    lunchesandpostersessions.

    Posters

    PostersessionsareorganizedinthelobbyoftheAuditoriumoftheBuceriusLawSchool.

    Thursday,September16 07.00pm 09.30pm

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    5/135

    HOTELFINDER

    HotelVorbach

    Johnsallee63

    20146Hamburg

    Tel.+494030051300

    HotelamHolstenwall

    Holstenwall19

    20355Hamburg

    Tel.+4940318080

    HotelBaselerHof

    Esplanade11

    20354Hamburg,

    Tel.+4940359060

    HotelAlsterHof

    Esplanade12

    20354Hamburg

    Tel.+4940350070

    HotelBaselerHof

    HotelamHolstenwall

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    6/135

    HOTELFINDER

    HotelVorbach

    Johnsallee63

    20146Hamburg

    Tel.+494030051300

    HotelamHolstenwall

    Holstenwall19

    20355Hamburg

    Tel.+4940318080

    HotelBaselerHof

    Esplanade11

    20354Hamburg,

    Tel.+4940359060

    HotelAlsterHof

    Esplanade12

    20354Hamburg

    Tel.+4940350070

    HotelVorbach

    HotelAlsterHof

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    7/135

    LOCATIONFINDER

    1. BuceriusLawSchool

    (AuditoriumandLectureRoom)

    2. HotelRadissonBLU

    (Restaurant

    Filini)

    Lecture

    Room

    Auditorium

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    8/135

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    9/135

    ScheduleBalticALD2010&GerALD2010

    September1617,BuceriusLawSchool,Hamburg,Germany

    OralPresentation

    Thursday,September16

    Session1 Plenary Auditorium

    Sessionchair:KorneliusNielsch(Univ.ofHamburg,InstituteofAppliedPhysics,Hamburg,Germany)

    09.00 09.30 NucleationandgrowthofcontinuousandultrathinPtfilmsusingplasma

    enhancedALD

    StevenM.George(Invited)

    (Univ.ofColorado,Boulder,USA)

    09.30 10.00 AtmosphericpressureALDinaflowtubereactorGregoryN.Parsons(Invited)

    (NorthCarolinaStateUniv.,Raleigh,USA)

    10.00 10.30 MicrofluidicapplicationsutilizingALDnanotechnologyHelmutBaumgart(Invited)

    (OldDominionUniversity,Norfolk,USA)

    Session2a ProcessesI AuditoriumSessionchair:AndersHarsta(DepartmentofMaterialsChemistry,UppsalaUniv.,Uppsala,Sweden)

    11.0011.30

    ALD

    of

    GeSbTe

    thin

    films

    ViljamiPore(Invited)

    (LaboratoryofInorganicChemistry,Univ.ofHelsinki,Helsinki,Finland)

    11.30 11.45 UltrafastALDofaluminumoxidelayersforsolarcellpassivationandbarrier

    layersAnnalisaBranca

    (TNOScienceandIndustry,Eindhoven,TheNetherlands)

    11.45 12.00 PlasmaenhancedALDofsilverthinfilmsMaaritKariniemi

    (LaboratoryofInorganicChemistry,Univ.ofHelsinki,Helsinki,Finland)

    12.00 12.30 ReactionmechanismsstudiesonALDofcomplexlithiumcompoundsTittaAaltonen(Invited)(Univ.ofOslo,Oslo,Norway)

    Session2b EmergingApplications LectureRoomSessionchair:OlaNilsen(UniversityofOslo,DepartmentofChemistry,Oslo,Norway)

    11.00 11.15 Improvedmechanicalstabilityofdriedcollagenmembraneaftermetal

    infiltrationSeungMoLee

    (MaxPlanckInstituteofMicrostructurePhysics,Halle,Germany)

    11.15

    11.30

    Ultrathin

    ALD

    films

    and

    nanolaminates

    as

    anti

    corrosion

    coatings

    for

    aluminiumalloysVinoSammelselg

    (InstituteofPhysics,UniversityofTartu,Tartu,Estonia)

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    10/135

    11.30 11.45 CorrosionprotectionofsteelwithALDnanolaminatesEmmaHrknen

    (LaboratoryofInorganicChemistry,Univ.ofHelsinki,Helsinki,Finland)

    11.45 12.00 DepositionofcobaltmolybdenumoxidesbyALDforcatalyticpurposesMadeleineDiskus

    (Univ.

    of

    Oslo,

    Department

    of

    Chemistry,

    Oslo,

    Norway)

    12.00 12.15 Investigationofformicacidreductionofrutheniumcontainingcopper

    oxidelayerspreparedbyALDSteveMller

    (Univ.ofTechnology,Chemnitz,Germany)

    Session3a ProcessesII AuditoriumSessionchair:MarkkuLeskel(DepartmentofChemistry,Univ.ofHelsinki,Helsinki,Finland)

    14.00 14.15 RareearthscandatefilmsdepositedbyALDMattiPutkonen

    (Beneq

    Oy,

    Vantaa,

    Finland)

    14.15 14.30 AnovelnonaqueousroutetotinoxidenanostructuresbyALDCatherineMarichi

    (Ciceco,ChemistryDepartment,Univ.ofAveiro,Aveiro,Portugal)

    14.30 14.45 Iodide/ozonesysteminALDoftindioxideAivarTarre

    (InstituteofPhysics,Univ.ofTartuTartu,Estonia)

    14.45 15.15 PlasmaenhancedALDforopeningtheALDtemperaturewindowStephenS.Potts(Invited)

    (Univ.ofTechnology,Eindhoven,TheNetherlands)

    Session3b ElectronicApplicationsI LectureRoomSessionchair:MarekGodlewski(InstituteofPhysicsPAS,Warsaw,Poland)

    14.00 14.30 AtomiclayerdepositionofZnOandAIZnOfilmsfororganicelectronicsGrzegorzLuka(Invited)

    (InstituteofPhysicsPAS,Warsaw,Poland)

    14.30 14.45 ALDandcharacterizationofZrO2Er2O3andZrO2Gd2O3nanolaminatesAileTamm

    (Univ.ofTartu,InstituteofPhysics,Tartu,Estonia)

    14.45 15.00 ALDofhighkoxideson(100)Gesubstrates:Scalingabilityandinterface

    engineeringfor

    MOS

    device

    applications

    OleBethge

    (InstituteforSolidStateElectronics,Vienna,Austria)

    15.00 15.15 AstableorganometallicprecursorforALDofNb2O5EricW.Deguns

    (CNTCambridgeNanoTech,Cambridge,USA)

    Session4a EnergyApplications AuditoriumSessionchair:MaaritKarppinen(AaltoUniv.SchoolofScienceandTechnology,Aalto,Finland)

    15.45 16.15 ConformalCoatingsandtheirenergyapplicationsofoxidematerialson

    nanotemplates

    HyunjungShin(Invited)

    (SchoolofAdvancedMaterialsEngineering,KookminUniv.,Seoul,Korea)

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    11/135

    16.15 16.30 LiFePO4cathodematerialbyALDfor3Dstructuredallsolidstatelithium

    ionbatteriesKnutB.Gandrud

    (Univ.ofOslo,CenterforMaterialsScienceandNanotechnology,Oslo,Norway)

    16.30 16.45 GrowthoftheprotonconductorCa:LaPO4byALDforhightemperaturefuel

    cellapplications

    OlaNilsen

    (Univ.ofOslo,CenterforMaterialsScienceandNanotechnology,Oslo,Norway)

    16.45 17.00 ALDofcopperbasedoxidesforuseinPVapplicationsMariAlnes

    (UniversityofOslo,Oslo,Norway)

    Session4b Precursordesignandmodelling LectureRoomSessionchair:MikkoRitala(LaboratoryofInorganicChemistry,Univ.ofHelsinki,Helsinki,Finland)

    15.45 16.00 TiCp*(OMe)3vsTi(OMe)4inALDofTiO2withwaterabinitio

    modelingof

    ALD

    surface

    reactions

    AleksandraZydor

    (TyndallNationalInstitute,UniversityCollegeCork,LeeMaltings,Cork,Ireland)

    16.00 16.15 FirstprinciplesmodelingofthecleanupeffectduringALDontoIIIV

    substratesSylwiaKlejna

    (TyndallNationalInstitute,UniversityCollegeCork,LeeMaltings,Cork,Ireland)

    16.15 16.45 PrecursorengineeringforALDoffunctionalmetaloxidesAnjanaDevi(Invited)

    (InorganicMaterialsChemistry, RuhrUniversityBochum,Bochum,Germany)

    16.4517.00

    Iridium

    metal

    from

    anew

    precursor

    ChristophHossbach

    (InstituteofSemiconductorandMicrosystemsTechnology,Univ.ofTechnology,Dresden,Germany)

    19.00 21.30 Session5 Poster&BBQ

    __________________________________________________________________

    Friday,September17

    Session6a ElectronicApplicationsIIAuditoriumSessionchair:W.M.M.Kessels(Univ.ofTechnology,Eindhoven,TheNetherlands)

    09.00 09.30 ALDgrownfunctionaloxidelayersfornonvolatilememoryapplicationsSusanneHoffmannEifert(Invited)

    (ResearchCenterJlich,Germany)

    09.30 09.45 NonconformalgrowthmodesforZrO2baseddielectricsALDinhighsurface

    areastructuresElkeErben

    (QimondaDresdenGmbH,Dresden,Germany)

    09.4510.00

    Plasma

    enhanced

    ALD

    of

    high

    kdielectrics

    in

    abatch

    system

    WolfgangLehnert

    (InfineonTechnologiesAG,Regensburg,Germany)

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    12/135

    10.00 10.30 Correlationoftheelectricalcharacteristicstothestructuralpropertiesof

    ALDgrownhighkdielectricsUweSchrder(Invited)

    (NaMLabGmbH,Dresden,Germany)

    Session6b Nanostructures LectureRoom

    Session

    chair:

    Hyunjung

    Shin

    (Kookmin

    Univ.,

    Seoul,

    Korea)

    09.00 09.15 Theoreticalandexperimentalanalysisofthesensitivityofguidedmode

    resonancesensorsAdrianaSzeghalmi

    (MaxPlanckInstituteofMicrostructurePhysics,Halle,Germany)

    09.15 09.30 Unorthodoxantimonychalcogenidewiregeometriesbylowtemperature

    atomiclayerepitaxyJulienBachmann

    (InstituteofAppliedPhysics,Univ.ofHamburg,Hamburg,Germany)

    09.30 09.45 ApplicationofALDthinfilmsfortheinvestigationofdiffusioninducedvoid

    formationin

    1D

    and

    planar

    structures

    FiratGder

    (InstituteofMicrosystemsEngineering,Univ.ofFreiburg,Freiburg,Germany)

    09.45 10.00 ProteintemplatesHyunbinKim

    (MaxPlanckInstituteofMicrostructurePhysics,Halle,Germany)

    10.00 10.15 ALDoftransparentconductiveoxideandstudyoftheirphysicalpropertiesMikhaelBechelany

    (EMPA SwissFederalLaboratoriesforMaterialsScienceandTechnology,Thun,Switzerland)

    10.15 10.30 FabricationofmultiwallandbranchedtitaniananotubesbyALDChangdeuck

    Bae

    (InstituteofAppliedPhysics,Univ.ofHamburg,Hamburg,Germany)

    Session7a Analyticalmethods AuditoriumSessionchair:SusanneHoffmannEifert(ResearchCenterJlich,Germany)

    11.00 11.30 InsitustudiesofinitialgrowthandfunctionalitiesinHfO2ALDlayersonSiDieterSchmeier(Invited)

    (BTUCottbus,InstituteofAppliedPhysics,Cottbus,Germany)

    11.30 11.45 Insituanalysis onthegrowthofultrathinfilmswithALDMarionGeidel

    (Univ.of

    Technology,

    Dresden,

    Germany)

    11.45 12.00 InsituanalysisALDstudieswithhighresolutionphotoemission

    spectroscopy,atomicforcemicroscopyandelectronenergyloss

    spectroscopyMassimoTallarida

    (Univ.ofTechnology,Cottbus,Germany)

    12.00 12.15 InsitucharacterizationofrutheniumandrutheniumdioxidefilmgrowthMarcelJunige

    (Univ.ofTechnology,InstituteofSemiconductorandMicrosystemsTechnology,Dresden,Germany)

    12.15 12.30 OzonesurfacelossinozonebasedALDprocessesHarmC.M.Knoops

    (Univ.ofTechnology,Eindhoven/Delft,TheNetherlands)

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    13/135

    Session7b Ferromagneticandmultiferroicmaterials LectureRoomSessionchair:JulienBachmann,(Univ.ofHamburg,InstituteofAppliedPhysics,Hamburg,Germany)

    11.00 11.15 MagnetictubularnanoparticlesbyALDforliquidsuspensionsRobertZierold

    (Instituteof

    Applied

    Physics,

    Univ.

    of

    Hamburg,

    Hamburg,

    Germany)

    11.15 11.30 Magnetostaticinteractionsreductioninelectrodepositednickelnanowire

    arraysusingALDLaurentCagnon

    (InstituteNel,CNRSandUniv.J.Fourier,Grenoble,France)

    11.30 11.45 SpinwaveresonancesinferromagneticthinfilmspreparedviaALDRubertHuber

    (Univ.ofTechnology,Munich,Germany)

    11.45 12.00 ALDofferromagneticcobaltdopedtitaniumoxidethinfilms

    KaupoKukli

    (Univ.ofHelsinki,DepartmentofChemistry,Helsinki,Finland)

    12.00 12.15 ALDofHexagonalandOrthorhombicRMnO3(R=Y,La,Sm,Tb,Yb,Lu)KristinaUusiEsko

    (LaboratoryofInorganicChemistry,AaltoUniv.SchoolofScienceandTechnology,Aalto,Finland)

    Session8 Plenary AuditoriumSessionchair:MatoKnez,(MaxPlanckInstituteofMicrostructurePhysics,Halle,Germany)

    14.30 15.00 TheselflimitingnatureofsurfacereactionsinALDSuviHaukka(Invited)

    (ASMMicrochenistryLtd.,Helsinki,Finland)

    15.0015.30

    Continuous

    and

    roll

    to

    roll

    atomic

    layer

    deposition

    DavidC.Cameron(Invited)

    (ASTRal,LappeenrantaUniv.ofTechnology,Mikkeli,Finland)

    15.30 16.30 GerALDAward/PlenaryTalk

    AdvancedMaterialsandProcessesbyAtomicLayerDepositionLauriNiinist

    (HelsinkiUniv.ofTechnology,Finland)

    16.30 18.00 FarewellReception

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    14/135

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    15/135

    Oral Presentations

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    16/135

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    17/135

    Thursday, September 16

    Session 1 - Plenary - AuditoriumSession chair: Kornelius Nielsch (Univ. of Hamburg, Institute of Applied Physics, Hamburg, Germany)

    09.00 - 09.30Steven M. George (Invited) (Univ. of Colorado, Boulder, USA)

    Nucleation and growth of continuous and ultrathin Pt films using plasma- enhanced ALD

    09.30 - 10.00Gregory N. Parsons (Invited) (North Carolina State Univ., Raleigh, USA)

    Atmospheric pressure ALD in a flow-tube reactor

    10.00 - 10.30Helmut Baumgart (Invited) (Old Dominion University, Norfolk, USA)

    Microfluidic applications utilizing ALD nanotechnology

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    18/135

    Nucleation and Growth of Continuous and Ultrathin Pt Films Using

    Plasma-Enhanced Atomic Layer Deposition

    L. Baker, A.S. Cavanagh, D. Seghete and S.M. GeorgeDept. of Chemistry and Biochemistry and Dept. of Chemical and Biological Engineering,

    University of Colorado, Boulder, CO 80309

    A.J.M. Mackus and W.M.M. KessselsDept. of Applied Physics, Eindhoven University of Technology, Eindhoven, The Netherlands

    Z.Y. Liu and F.T. WagnerGeneral Motors Research and Development, Honeoye Falls, NY 14472

    Pt ALD on oxide substrates using thermal chemistry has nucleation difficulties and leads

    to the deposition of Pt nanoclusters. In contrast, Pt ALD using O2plasma nucleates much morereadily and spectroscopic ellipsometry (SE) studies are consistent with the linear growth ofcontinuous Pt films (H.C.M. Knoops et al.,Electrochem. Solid-State Lett.12, G34 (2009)).However, SE alone was insufficient to characterize the early stages of the Pt ALD process. Inthis study, we have examined Pt ALD with MeCpPtMe3and O2plasma as the reactants using SE,X-ray reflectivity (XRR), X-ray photoelectron spectroscopy (XPS) and scanning electronmicroscopy (SEM) versus the number of ALD cycles. Analysis of the XRR and XPS resultsindicates that plasma Pt ALD on Al2O3ALD substrates has a short nucleation delay of ~40cycles. The nucleation delay is followed by the growth of low-density films with a growth rateof ~1 per cycle from 40-50 cycles that is followed by a slower steady-state film growth of ~0.5 per cycle for >50 cycles. During the Pt ALD nucleation and growth on the Al2O3ALD

    substrate, SEM images show that the Pt filmmorphology evolves from isolated nanoclustersto worm-like nanostructures and finally to acontinuous and smooth film. A bulk Pt densityis not measured by XRR until a thickness of ~40 after 100 cycles. Nucleation and growth of PtALD on W ALD substrates led to very differentresults. In this case, a H2plasma instead of anO2plasma was utilized to prevent the oxidationof the W ALD substrate. XRR and XPS studiesrevealed that Pt ALD on W ALD substrates

    nucleated immediately with MeCpPtMe3and H2plasma as the reactants. A continuous film witha bulk Pt density was formed at a thickness of~10-15 after 75 cycles. These results indicatethat a W ALD adhesion layer on the Al2O3ALD surface can help to promote the nucleation of PtALD and overcome the surface energy mismatch that usually produces Pt nanoclusters on oxidesubstrates.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    19/135

    Atmospheric Pressure Atomic Layer Deposi tionin a Flow-Tube Reactor

    Gregory N. Parsons and Jesse S. JurDept of Chemical and Biomolecular Engineering

    North Carolina State University, Raleigh NC

    [email protected]

    Atomic layer deposition typically proceeds at ~ 1 Torr (or ~ 1 mbar). This pressure is sufficiently highso that gas purging can minimize precursor/reactant gas mixing under viscous flow conditions, and thepressure is sufficiently low so that precursors with moderate vapor pressure (P* >~ 5 Torr) can bedelivered directly with maximum velocity into the gas feed lines. The low pressure also ensures thatvapor product species generated on the growth surface can quickly diffuse off the surface and becomeentrained in the convective purge gas flow. For several new applications, there is growing interest inexpanding ALD away from batch deposition toward continuous growth processing. In particular,expanding ALD to a continuous mode under ambient pressure conditions could open significant newapplication fields. One such example is ALD onto fiber-based structures, including nanotube sheets,textiles and nonwoven fiber substrates, where surface modification can add or change surfacefunctionality, durability, heat dissipation, or chemical stability. Possible applications are found in energy

    storage or generation structures, or for membrane separations and air or water filtration and purificationsystems.

    Recently, our group constructed a unique ALD reactor designed specifically for moderate vaporpressure precursors to be delivered over range of pressure between ~1 and 760 Torr. The deliverydesign is integrated with a flow-tube ALD reactor system, and we have used with quartz crystalmicrobalance and film thickness measurement to characterize the growth rate and mass uptake versuspressure and gas flow rate for ALD of Al2O3andZnO using TMA/H2O and DEZ/H2O respectively.We have also modeled the gas flow parameters,such as convective velocity, gas residence time,Reynolds number, Pclet number, and mean gasdiffusion distance, as a function of processconditions, and related these values to the

    measured ALD growth rates.We find that for ZnO deposition, increasingthe pressure from 2 to 760 Torr under fixed gasflow rate results in very high deposition rates(~30 /cycle), consistent with CVD. Weincreased the gas flow rate (which decreased thegas residence time) at 760 Torr and the growthreturned to 2.0 /cycle at 100C, consistent withtypical ZnO ALD. As expected, we find that thegrowth rate is high when the residence time islong relative to the gas purge time. We findgenerally similar behavior for aluminum oxidedeposition, except that at 760 Torr and high flow,a growth rate of ~2 /cycle is observed (ascompared to 1.1 /cycle at 2 Torr). This mayresult from excess water adsorption on the depositing surface at high pressure. At high pressure, therelative impact of diffusive transport is markedly decreased, even at high flow rates (indicated by a highPclet number) making it more difficult to remove volatile species from the depositing surface.

    We have utilized the atmospheric pressure ALD reactor to deposit ZnO and Al2O3on several softmaterials, including polypropylene, nylon-6 and cellulose cotton. Similar to results at 2 Torr, woven cottonundergoes a hydrophilic-to-hydrophobic transition upon coating with a few TMA/H2O cycles,demonstrating that the atmospheric pressure ALD process is compatible with high surface area non-planar substrates.

    Front Back

    Image of water droplets on a woven cotton fibersample modified using atmospheric pressure ALD at100C. The front and back of the sample both repelwater, consistent with uniform oxide coveragethroughout the complex cotton surface.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    20/135

    Microfluidic Applications Utilizing Atomic Layer DepositionNanotechnology

    Helmut BaumgartDepartment of Electrical and Computer Engineering

    Old Dominion University, Norfolk, Virginiaand

    Applied Research Center at Thomas Jefferson National Accelerator Facility121050 Jefferson Avenue, Newport News, Virginia 23606

    Atomic Layer Deposition (ALD) is recognized as a key technology for microelectronics to advancebeyond the 45nm device node. This presentation will discuss the vast application potential of ALD thatreaches far beyond the mainstream research efforts of developing novel high-k dielectric gate stacks forthe replacement of SiO2 as MOSFET gate insulator. At ODU several research activities are conducted in

    the areas of ALD thin film nanotechnology and microfluidic devices. Specifically, zeta potential ()modulation by ALD technology for the development of electroosmotic pumps in nanoporous templates isdiscussed. Electroosmotic flow (EOF) micropumps which use electroosmosis to transport liquids havebeen widely used in microfluidic applications. The EOF is proportional to the zeta potential of the chargedsurface of the channel wall. This phenomenon is based on the fact that upon contact with a liquid anelectrically charged solid surface causes a rearrangement of the local free ions in the aqueous solution toproduce a thin region of nonzero net charge density near the interface. Since the zeta potential is aproperty of the solid material in contact with a liquid, we can achieve passive zeta potential control of thesolid surface by depositing thin layers of various dielectric materials using ALD. For many engineeringsystems passive zeta potential control can be a significant factor affecting the performance of microfluidicdevices. In this paper we investigate the zeta potential of ALD metal oxides, which are candidates forpassive zeta potential control in numerous applications. As a result, we can modulate the EOF by spatialand temporal control of the zeta potential of the solid-liquid interface. This can be accomplished byidentifying an insulating material for ALD surface coating with a suitable inherent surface charge at theliquid-solid interface. For porous surfaces with high aspect ratio, such as porous anodic aluminum oxide(AAO) with aspect ratio up to 300, atomic layer deposition (ALD) can be applied to get a conformalsurface coverage so that the zeta potential of AAO pores can be passively controlled, which is a

    significant factor for the EOF micropump applications. In this study, Al 2O3, TiO2, HfO2, ZrO2and ZnO thinfilms with a thickness of 10 nm are deposited by ALD for zeta potential measurement. The zeta potentialand the isoelectrical points of ALD grown Al2O3, TiO2, ZnO and ZrO2 thin metal oxide films weremeasured by electrophoretic light scattering technique over a wide range of pH values. Finally, a low-voltage electroosmotic (EO) micropump based on an anodic aluminum oxide (AAO) nano-porousmembrane with platinum electrodes coated on both sides has been designed, fabricated, tested, andanalyzed. The maximum flow rate of 0.074 ml min

    1V

    1cm

    2for a membrane with porosity of 0.65 was

    obtained. Electroosmotic nano-pumps have no moving parts, no mechanical wear and tear and transportfluids with the use of direct current potential differences of a few volts. Reliable and robust electroosmoticmicropumps have been considered to have a great potential in the life sciences for bio-medicalapplications in drug delivery and lab-on-a-chip technology.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    21/135

    Thursday, September 16

    Session 2 a - Processes I - AuditoriumSession chair: Anders Harsta (Department of Materials Chemistry, Uppsala Univ., Uppsala, Sweden)

    11.00 - 11.30Viljami Pore (Invited) (Laboratory of Inorganic Chemistry, Univ. of Helsinki, Helsinki, Finland)

    ALD of GeSbTe thin films

    11.30 - 11.45Annalisa Branca (TNO Science and Industry, Eindhoven, The Netherlands)

    Ultrafast ALD of aluminum oxide layers for solar cell passivation and barrier layers

    11.45 - 12.00

    Maarit Kariniemi (Laboratory of Inorganic Chemistry, Univ. of Helsinki, Helsinki, Finland

    Plasma enhanced ALD of silver thin films)

    12.00 - 12.30

    Titta Aaltonen (Invited) (Univ. of Oslo, Oslo, Norway

    Reaction mechanisms studies on ALD of complex lithium compounds

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    22/135

    Atomic Layer Deposition of GeSbTe thin filmsViljami Porea, Timo Hatanpa, Tiina Sarneta, Kjell Knapasa, Marianna Kemella,

    Mikko Ritalaa, Markku Leskelaand Kenichiro MizohatabaDepartment of Chemistry, University of Helsinki, Finland

    Tel: 358 9 191 50208, email: [email protected]

    Accelerator Laboratory, Department of Physics, University of Helsinki, Finland

    Phase change memory is considered as one of the most promising solution for future

    non-volatile memories. Reaching high integration densities together with low power

    consumption in phase change memories require that memory cells are scaled into

    dimensions of a few nanometers only. This in turn requires good conformality from

    the thin film deposition method used for the phase change material, thereby calling for

    Atomic Layer Deposition (ALD). The common phase change material Ge 2Sb2Te5

    (GST) consists of three elements that have been rare to ALD. Tellurium in particular

    has been lacking precursors that would at the same time be safe and highly reactive as

    required in ALD. A breakthrough in ALD of GST and related materials was recentlymade when silyl compounds with a general formula (R3Si)2Te were found as excellent

    ALD precursors that react efficiently with various metal halides such as SbCl3 and

    GeCl2C4H8O2forming the corresponding metal tellurides Sb2Te3and GeTe and their

    alloys.[1]

    The use of (R3Si)2Te, SbCl3and GeCl2C4H8O2allows the ALD of GeSbTe materials

    in the vicinity of the Sb2Te3-GeTe tie line in the Ge-Sb-Te ternary phase diagram

    (Fig. 1). However, there are various other important phase change materials such as

    Sb2Te, Ge15Sb85, Sb rich GST and doped Sb which lie far from the Sb2Te3-GeTe tie

    line and require new ALD precursor chemistry. This presentation reviews our latest

    results on expanding the Ge-Sb-Te compositions beyond the Sb2Te3-GeTe tie line all

    the way to Te free materials such as GeSb and Sb. The ALD of III-V compounds will

    also be briefly discussed.

    Figure 1. Ternary phase diagram for Ge, Sb and Te.

    [1] V. Pore, T. Hatanp, M. Ritala and M. Leskel,J. Am. Chem. Soc.131 (2009)

    3478.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    23/135

    Ultrafast atomic layer deposition of aluminum oxide layers forsolar cell passivation and barrier layers

    A. Branca1

    , H.Winands1

    , V. Tiba1

    , R. Knaapen1

    , F. Roozeboom1,2

    , A. Vermeer1,3

    ,P. Poodt1

    1 TNO Science and Industry, Eindhoven, The Netherlands2 Eindhoven University of Technology, Eindhoven, The Netherlands

    3 SoLayTec, Eindhoven, The NetherlandsCorresponding author:[email protected]

    Recently, there has been a growing interest in ALD, raised by the excellent backside surfacepassivation of silicon solar cells that can be achieved with ALD deposited alumina layers.Furthermore high quality moisture diffusion barriers for organic electronics like OLEDs can bemade by ALD, often in combination with MLD deposited organic interlayers. These are importantbreakthroughs for industrial production, provided that these ALD quality players can be deposited

    by a cost-effective technique meeting industrial scale throughput demands. Whereas this is notpossible by conventional, time-resolved ALD, we will show that with spatially-separated ALD, orFast ALD, high-quality Al2O3 passivation layers can be deposited with rates of the order ofnanometers per second. With this concept, ALD with industrial throughput values becomesfeasible.Our ALD concept is based on the spatial rather than temporal separation of the half-reactions

    [1].

    In this concept, the reactor has separate inlet zones exposing the precursors one by one to asubstrate that moves underneath these zones. Gas bearings between the zones provideexcellent cross diffusion barrier properties, allowing for atmospheric pressure operation. As purgesteps become obsolete and half-reaction time scales are of the order of a few milliseconds, veryhigh deposition rates can be obtained, while maintaining typical ALD high film quality. We havedemonstrated experimentally that with this concept, high-quality Al2O3 layers can be depositedwith cycle times as low as 0.1 s, corresponding to deposition rates of at least 1 nm/s

    [2].

    The surface passivation capability of these films was evaluated by QSSPC measurements.Effective surface recombination velocities of < 5 cm/s were measured on p-type wafers with 10nm Al2O3, showing excellent surface passivation.For some applications, like OLEDs, low deposition temperatures are required and Plasma-Enhanced Fast ALD can be used. For this purpose, we have integrated an atmospheric pressureplasma source in our Fast ALD reactor. Al2O3barrier films were deposited at temperatures below100

    oC on substrates for Ca-tests. Water Vapor Transfer Rates of < 10

    -6g/m

    2/day were measured,

    showing potential for making high quality barrier layers by (Plasma Enhanced) Fast ALD.This disruptive and high-throughput method has great potential in many other large-scaleapplications. Next to surface passivation and barrier layers, other examples are the deposition ofbuffer layers in GaN/Si type LEDs, buffer layers for CIGS solar cells, but also in substrate surfacemodification, patterning, upgrading polymer, paper and textile quality, etc. Other materials thanAl2O3 will further expand this potential. Furthermore, this technique opens up the way to roll-to-roll

    production on flexible substrates. At the moment, an in-line industrial spatial ALD tool forpassivating silicon solar cells is being developed, aiming at throughputs of 3000 wafers/hr.

    1D. Maas et al. WO2010024671, 27 Aug 2008,2P. Poodt et al. Advanced Materials, in press,(2010)

    Photograph of a 150-mm silicon wafer, with a 3 cm wide track of 100 nmAl2O3deposited by spatial ALD. The blue color is caused by interference inthe film. The insert shows a magnified part of the deposited film, whereuniformity of the color of indicates thickness uniformity.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    24/135

    Plasma Enhanced ALD of Silver Thin Films

    Maarit Kariniemi*, Jaakko Niinist, Timo Hatanp, Marianna Kemell, Mikko Ritala, andMarkku Leskel,

    Laboratory of Inorganic Chemistry, Department of Chemistry, P.O. Box 55, FI-00014Helsinki, Finland

    * [email protected]

    Silver is an interesting material for different applications. It can be employed for plasmonicdevices. It is also one of the possible candidates to replace copper as an interconnect material inintegrated circuits.1Silver can also be used in other applications in which low resistivity coatings arerequired. Because of their high reflectivity, the films can be used in mirrors and as decorative coatings.Silver thin films have been deposited with different chemical and physical deposition methods but sofar there is only one report of ALD-deposited silver.2

    In this paper we present PEALD of silver thin films deposited using Ag(fod)(PEt 3)

    (fod=2,2,dimethyl-6,6,7,7,8,8,8-heptafluoro-3,5,-octanedionato) and hydrogen plasma at depositiontemperature range of 120-150C. Beneq TFS200-ALD reactor with a remote plasma configurationwas used in the film growth experiments on 150 mm Si(100) and 25 cm2glass substrates. The silverprecursor was held at 103C. Ar was used as the carrier gas and in the Ar/H 2plasma gas. Depositionand plasma power parameters were varied.

    In Figure 1a the silver growth rate at 120C as the function of the precursor pulse length ispresented showing saturative ALD type growth mode. The growth rate of 0.33 /cycle was achieved.At 140 and 150 C the growth rate increased to 0.40-0.45 /cycle. Visually the films appeared mirrorlike and according to the tape test, exhibited good adhesion. The films were polycrystalline with strong(111), (200) and (220) reflections. The film thicknesses were calculated from EDX data. Acompositional analysis by TOF-ERDA will be presented. As shown in Figure 1b, films were ratherrough and grain size increased with the film thickness. Initial results indicated quite good resistivity, forexample85 cm for a 40 nm thick film, though there was quite a lot of scatter in the results. Furthergrowth characteristics, resistivity values as well as morphology and conformality of the grown Ag filmswill be presented.

    a) b)

    0,5 1,0 1,5 2,0 2,5 3,0 3,5 4,0 4,50,000

    0,005

    0,010

    0,015

    0,020

    0,025

    0,030

    0,035

    0,040

    growthra

    te[nm/cycle]

    silver precursor pulse time [s]

    Fig 1 a) Growth rate of Ag vs. the Ag-precursor pulse time. The hydrogen plasma pulselengthwas kept constant at 5s. b) A SEM image of a 17 nm thick Ag-film deposited on Si (scale bar=400 nm).

    [1] International Technology Roadmap for Semiconductors, Semiconductor Industry Association (2009).[2] Niskanen, A.; Hatanp, T.; Arstila, K.; Leskel, M.; Ritala, M. Chem. Vap. Dep.13(2007) 408.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    25/135

    Reaction mechanism studies on ALD of complex lithiumcompounds

    Titta Aaltonen, Ola Nilsen, and Helmer FjellvgUniversity of Oslo, Centre for Materials Science and Nanotechnology, Department of

    Chemistry, P.O. Box 1033 Blindern, N-0315 Oslo, NorwayEmail: [email protected]

    Lithium containing materials are widely used in lithium ion batteries. These materials include cathodematerials such as LiCoO2, LiMnO2, LiNiO2, and LiFePO4and solid state electrolyte materials such asLLT [(Li,La)xTiyOz] and Li-Al-O (Li2O-Al2O3). LLT has high ionic conductivity and is therefore foreseento replace lithium phosphorous oxynitride (LIPON) in all-solid-state lithium ion thin film batteries.

    We have developed an ALD process for LLT by combining ALD processes for lithiumoxide/hydroxide, lanthanum oxide, and titanium oxide [1,2]. The lithium concentration of the LLT filmsreached saturation as the LiOtBu (OtBu = tert-butoxide) pulse time was increased, thus confirmingALD growth. At saturation conditions a composition of Li 0.32La0.30TiOzwas obtained as analyzed by

    time-of-flight elastic recoil detection analysis (TOF-ERDA). The average growth per subcycle of theLLT films was about 0.48 /cycle at the deposition temperature of 225 C.

    Application of LLT as a solid-state electrolyte is limited by its instability towards the anodewhere Ti4+may be reduced to Ti3+introducing electronic conductivity. It is therefore necessary to usean additional, electrochemically stable lithium ion conducting layer between the anode and the LLTelectrolyte. We propose the Li-Al-O system as a potential barrier material and have investigateddeposition of this system by ALD. [3]

    Lithium is a monovalent element and can hold a maximum of one charged ligand. In addition,lithium has a rather low electronegativity and forms compounds with a high degree of ionic character.Because of these reasons the reaction mechanisms for ALD of lithium containing films such as LLTand Li-Al-O are likely to differ from those previously studied for ALD. Growth mechanism of ALD oflithium compounds LiOH and Li2CO3have been previously reported [4] but reaction mechanisms forcomplex lithium compounds are likely to be different due to different surface termination after thepreceding precursor pulse. In our previous studies we have observed relatively high growth rates of

    lithium in the complex compounds; for the growth of the Li-Al-O system the growth per one cycle(TMA + O3+ LiOtBu + H2O) is as high as 2.8 /cycle, which is significantly higher than the growth rate

    of 0.8 /cycle measured for TMA + O3 alone. This pulsing scheme resulted in a composition ofLi1.6Al1.0Ozas obtained by ICP-MS analysis, and indicates that the amount of lithium deposited duringthe LiOtBu + H2O pulses is significantly higher than that of aluminum deposited during the TMA + O3pulses. [3]

    In order to better understand the growth mechanisms of complex lithium compounds we havestudied the reaction mechanisms of lithium containing systems by quartz crystal microbalance (QCM).QCM results from a LiOtBu + H2O + TMA + H2O pulsing sequence show that the total mass increaseduring the LiOtBu + H2O pulses is larger than the total mass increase during TMA + H 2O pulses.Based on the QCM analysis we will discuss possible growth mechanisms for complex lithiumcompounds.

    [1.] M. Putkonen, T. Aaltonen, M. Alnes, T. Sajavaara, O. Nilsen, and H. Fjellvg, J. Mater. Chem.,19, 8767 (2009).[2.] T. Aaltonen, M. Alnes, O. Nilsen, L. Costelle, and H. Fjellvg, J. Mater. Chem., 20, 2877 (2010).[3.] T. Aaltonen, M. Alnes, O. Nilsen, and H. Fjellvg,ALD2010 Conference, Seoul, Korea (2010).[4.] A. S. Cavanagh,Y. Lee, B. Yoon, and S. M. George,ALD2010 Conference, Seoul, Korea (2010).

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    26/135

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    27/135

    Thursday, September 16

    Session 2 b - Emerging Applications - Lecture RoomSession chair: Ola Nilsen (University of Oslo, Department of Chemistry, Oslo, Norway)

    11.00 - 11.15Seung-MoLee (Max Planck Institute of Microstructure Physics, Halle, Germany)

    Improved mechanical stability of dried collagen membrane after metal infiltration

    11.15 - 11.30Vino Sammelselg (Institute of Physics, University of Tartu, Tartu, Estonia)

    Ultrathin ALD films and nanolaminates as anti-corrosion coatings for aluminium alloys

    11.30 - 11.45

    Emma Hrknen (Laboratory of Inorganic Chemistry, Univ. of Helsinki, Helsinki, Finland)

    Corrosion protection of steel with ALD nanolaminates

    11.45 - 12.00

    Madeleine Diskus (Univ. of Oslo, Department of Chemistry, Oslo, Norway)

    Deposition of cobalt molybdenum oxides by ALD for catalytic purposes

    12.00 - 12.15

    Steve Mller (Univ. of Technology, Chemnitz, Germany)

    Investigation of formic acid reduction of ruthenium-containing copper oxide layers prepared by

    ALD

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    28/135

    Improved Mechanical Stability of Dried Collagen Membrane after

    Metal InfiltrationSeung-Mo Lee1*, Eckhard Pippel1, Oussama Moutanabbir1, Ilja Gunkel1,2, Thomas Thurn-

    Albrecht2and Mato Knez1

    1Max-Planck-Institut fr Mikrostrukturphysik, Weinberg 2, D-06120, Halle, Germany2Institute fr Physik, Martin-Luther-Universitt Halle-Wittenberg, D-06099 Halle, Germany

    * [email protected]

    Few percent of transition metals impregnated inside some biological organisms in nature remarkably

    improve such organisms mechanical stability. While the lure to emulate them for development of new

    biomimetic structural materials has been great, the practical advances have been rare due to the lack

    of proper synthetic approaches. Multiple pulsed vapor phase infiltration (MPI) [1]proved successful

    for the preparation of such transition metal impregnated materials with highly improved mechanical

    stability. The artificially infiltrated metals (Al, Ti or Zn) from gas phase lead to around 3 times increase

    of toughness (in terms of breaking energy) of natural collagen in a dried state (Figure 1). In addition,

    the infiltrated metals apparently induce considerable crystallographic changes in the natural collagen

    structures. This infiltration approach can be used as guide for the synthesis of bio-inspired structural

    materials related to metal infiltration.

    Figure 1. Deformation behavior of metal infiltrated (Al, Zn and Ti) collagen membranes under theuniaxial tensile test. CM/N represents a native collagen sample. CM/Al2O3, CM/ZnO and CM/TiO2represent Al, Zn and Ti infiltrated collagen sample, respectively. Inset: SEM image of a Ti infiltrated(CM/TiO2) membrane.

    [1] Lee, S.-M. et al., Greatly increased toughness of infiltrated spider silk. Science 324, 488-492(2009).

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    29/135

    Ultrathin ALD Films and Nanolaminates asAnti -Corrosioncoatings for Aluminium alloys

    Vino Sammelselg1,2, Jekaterina Kozlova1,2, Lauri Aarik1, Maido Merisalu1,2, MartinFenker3, Raul Rammula1, Jaan Aarik1

    1University of Tartu, Institute of Physics, Riia 142, 51014 Tartu, EstoniaE-mail: [email protected]

    Tel: +372 7374705

    2University of Tartu, Institute of Chemistry, Ravila 14A, 50411 Tartu, Estonia

    3Research Institute of Precious Metals and Metals Chemistry (FEM), Katherinenstr.17, D-73525 Schwbisch Gmnd, Germany

    Aluminium alloys with enhanced mechanical properties, e.g. 2000 series alloys, have

    unfortunately low intrinsic corrosion resistance [1]. The problem had been overcome usingtreatments with chromium(VI) compounds. Since July 1

    st2006 the use of these compounds in

    production processes is forbidden in EU, however. Thus, alternative, less harmful coatingtechnologies have to be developed. One perspective approach is to apply metal oxidecoatings prepared from non-toxic precursors by the atomic layer deposition (ALD) methodallowing covering substrates with complicated 3D geometry with thin, homogenous films withprecisely controlled thicknesses [2].

    In this work, we investigate ultrathin TiO2 films and TiO2/Ta2O5 nanolaminates as anti-corrosion coatings for 2000 series Al alloys. Titania films were deposited from Ti-isopropoxideand H2O2precursors in the temperature range of 125 160C. For the growth of TiO2andTa2O5 sublayers of the nanolaminates, Ti-isopropoxide and TaCl5 metal precursors wereused, respectively, together with H2O. These coatings were grown at 160C. The relatively

    low deposition temperature was chosen because heating the alloys at temperatures above160C might cause a marked undesirable change in the properties of the alloys [1].

    As the ALD process is very sensitive to the substrate properties special attention was paid onefficient substrate pre-treatment. As a standard process the cleaning in an ultrasonic bath inorganic solutions was used, followed either by plasma treatment or etching in acid. After thepre-treatments the substrates were thoroughly studied with optical, scanning electron and/oratomic force microscopes.

    The coatings with total thickness up to 50 nm were tested in a neutral salt spray test. The bestanti-corrosion results were obtained for titania coatings prepared at 125C. The coatedsamples having a corrosion attack in certain regions were afterwards studied with HR-SEMequipped with EDS analyser. In the most characteristic regions local cross-sections were

    made with FIB and studied in detail. In many such places an interstitial and/or a surfacedefect was found. In the presentation ways of further improvement of the coatings quality willbe discussed.

    The research was done partly within a nanotechnology project CORRAL (no. CP-FP 213996-1), which is supported by EU Commission under WP7, and in the frame of Estoniangovernment targeted theme no. SF0180046s07.

    [1] See: www.matweb.com, categories: 2000 Series Aluminium Alloy.

    [2] I. Jgi, M. Prs, J. Aarik, et al. Thin Solid Films 516 (15) 2008 4855.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    30/135

    Corrosion Protection of Steel with ALD Nanolaminates

    Emma Hrknen*,1, Mikko Ritala1, Belen Diaz2, Jolanta Swiatowska2,Vincent Maurice,2and Philippe Marcus2

    1

    University of Helsinki, Department of Chemistry, Laboratory of Inorganic ChemistryP.O. Box 55, 00014-University of Helsinki, Helsinki, FinlandE-mail: [email protected]*

    Tel: +358-9-19150219*

    2Ecole Nationale Suprieure de Chimie de Paris, Laboratoire de Physico-Chimie desSurfaces,

    11 rue Pierre et Marie Curie, 75005 Paris, France

    The total annual costs of corrosion have been evaluated up to 3-4 % of gross national product(GNP). The improvement of materials corrosion resistance is therefore both industrially andtechnically of utmost importance. Coating is one of the most common methods to increasethe lifetime of materials beyond their limits. The state of the art industrial coatings are oftenbased on chromium. However, health and environmental concerns are prohibiting the use ofthese coatings, and new alternatives are urgently needed.

    Several coating methods and materials have been proposed as replacements for thechromium coatings. Atomic layer deposition is in principle an ideal method for depositing cor-rosion protection coatings. A wide variety of materials can be deposited even on challenging3D components, and due to the low defect density and impurity content, the coatings can besignificantly thinner than the original several micrometer chromium coatings.

    In this work, nanolaminates of ALD aluminium and tantalum oxide were deposited on highcarbon 100Cr6 steel. The deposition temperature was 160 C. Metal precursors were

    trimethyl aluminium and tantalum pentaethoxide. Water was the oxygen precursor for bothAl2O3and Ta2O5. Field emission scanning electron microscopy (FESEM) and energy disper-sive x-ray spectroscopy (EDS) evaluation revealed that the coatings were uniform over sub-strate roughness. Selected samples were examined with time of flight-secondary ion massspectrometry (TOF-SIMS) and no clear differences were observed between different laminatestructures. Corrosion resistance of the coatings was studied with potentiodynamic polarization(CV) and electrochemical impedance spectroscopy (EIS). Experimental results were utilizedto calculate corrosion protection efficiency and porosity of the coatings. Corrosion resistancewas found to increase with the total coating thickness. Decreasing the laminate layer thick-ness and increasing the amount of layers was found beneficial only to some extent. Lami-nates with 10 and 20 nm layers yielded the best results.

    The research leading to these results has received funding from the European Community's

    Seventh Framework Programme (FP7/2007-2013) under grant agreement n CP-FP 213996-1".

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    31/135

    Deposit ion of cobalt molybdenum oxides by atomic layerdeposition for catalytic purposes

    Madeleine Diskus, Ola Nilsen, Helmer FjellvgUniversity of Oslo, Department of Chemistry, Innovative Natural Gas Processes and

    Products, Center for Material Science and Nanotechnology, P.O.Box 1033 Blindern, N-0315 Oslo, Norway

    [email protected]

    A range of different thin film deposition techniques are gaining increasing importance in presentand new types of catalysts, especially in the field of fuel processing reactors

    [1]. In order to

    improve the efficiency of such catalytic reactions, thin layers of catalytic materials are depositeddirectly on the reactor walls which consists mainly of porous materials

    [1]. The atomic layer

    deposition (ALD) technique has all the potential benefits for accomplishing such conformaldepositions. The ALD technique is proposed here to be used for deposition of heterogeneous

    catalysts of cobalt molybdenum compounds [2]on different substrates.

    The cobalt molybdenum compounds have been deposited using molybdenum hexacarbonyl(Mo(CO)6), ozone, and water as precursors for the molybdenum constituent, and cobaltocene(Co(cp)2) and ozone for the cobalt constituent. For growth of the pure compounds: An ALD-temperature window was found for growth of MoO3 in the temperature range 155 to 170 C,whereas, the ALD-window for growth of Co3O4ranges from 135 to 330 C. The films of MoO3areamorphous as deposited, but crystallise to -MoO3 when annealed at 600 C for 15 minutes in air.X-ray diffraction (XRD) analysis of films of cobalt oxide deposited on silicon indicate formation ofpolycrystalline Co3O4 with a preferential growth orientation for (010) at low depositiontemperatures and (111) at high temperatures. A CoO crystalline phase appears in the oxide atdeposition temperature from 330 C.The oxides have been deposited on porous substrates (Anodiscs with a diameter of pores about

    0.2m) in order to study uniformity and depth profile of the coating inside the pores of the aluminasubstrate (Figure 1). The SEM analyses show that the films are deposited uniformly inside thepores on at least a couple of micrometers depth.CoMo-oxide films of different compositions were deposited on quartz, thereafter treated with pureH2for 2 hours at 600 C before being tested as catalysts for decomposition of ammonia. All thefilms have been proven to have catalytic activity by reducing the temperature at which ammoniabegins to decompose (Figure 2).

    2m

    2m

    100 200 300 400 500 600 700 800 900

    MD1118

    MD1119

    MD1120

    Quartz

    Temperature(oC)

    NH3

    0 900NH3dec

    omposition%

    Temperature C

    500

    Quartz

    Mo enriched compound

    Co enriched compound

    100 200 300 400 500 600 700 800 900

    MD1118

    MD1119

    MD1120

    Quartz

    Temperature(oC)

    NH3

    0 900NH3dec

    omposition%

    Temperature C

    500

    Quartz

    Mo enriched compound

    Co enriched compound

    Figure 1: SEM picture of MoO3thin films Figure 2: Percentage of NH3decomposition asdeposited on porous alumina by ALD function of the decomposition temperature

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    32/135

    Fig. 1: Sheet resistance RSafter HCOOH reduction by

    varying the process temperature (1.3 mbar, 20 min),= standard deviation

    90 120 150 180 2102

    4

    6

    8

    10

    12After HCOOH reduction of Cu2O/CuO-ALD layer

    Ru substrate

    After annealing Ru Substrate (1.3 mbar, 270 min, Ar)

    Aft er Cu2O/CuO-ALD, 800 CyclesAfter annealing Cu2O/CuO-layer (1.3 mbar, 270 min, Ar)

    Sheetresistance[Ohm

    /sq.]

    Wafer temperature [C]

    Investigation of Formic Acid Reduction of Ruthenium-Containing Copper

    Oxide Layers Prepared by Atomic Layer Deposit ion

    Steve Mller*,1, Thomas Waechtler2, Andr Tuchscherer3, Robert Mothes3, Stefan E. Schulz1,2,

    Heinrich Lang3, Thomas Gessner1,2

    * :phone: +49 371 531 38571, email: [email protected]

    1Chemnitz University of Technology, Center for Microtechnologies (ZfM), 09107 Chemnitz, Germany2Fraunhofer Research Institution for Electronic Nano Systems (ENAS), 09126 Chemnitz, Germany

    3Chemnitz University of Technology, Institute of Chemistry, 09107 Chemnitz, Germany

    The copper based metallization for ultralarge-scale integrated (ULSI) electronic devices needs Cu seed layers

    for the subsequent electrochemical deposition (ECD). With shrinking device dimensions, new deposition

    techniques will be more and more important to get conformal and atomic layer controlled Cu seed layers. The

    atomic layer deposition (ALD) appears very appropriate to overcome these challenges. Another promising

    utilization of ultrathin Cu films can be the application in giant magnetoresistance (GMR) spin-valve sensors as a

    non-magnetic spacer layer between two magnetic layers. The ALD could be appropriate to avoid intermixing of

    the layer stacks, which is necessary to get large GMR effects [1].

    The depositions of metallic copper films are realized by a two-stage process flow. At first, copper oxide layers

    are prepared by a thermal ALD process. A subsequent gas phase reduction process reduces these oxide films

    to metallic copper. The Cu2O/CuO ALD is based on the Cu (I) -diketonate precursor [(nBu3P)2Cu(acac)] and a

    mixture of water vapor and oxygen (wet O2) as co-reactant at temperatures between 100 and 130 C. A

    detailed study of the ALD process was done on Ta, TaN, Ru and SiO2 [2]. Furthermore, the most promising

    results regarding the reduction were obtained by using vapors of formic acid as reducing agent, especially on

    Ru substrates, assumedly due to the catalytic effect of Ru with respect to formic acid decomposition towards H

    and CO [3,4]. In contrast, on Ta, TaN and SiO2, the reduction was not efficient at low temperatures (< 130 C),

    which are necessary to avoid agglomeration of the ultra-thin copper films. To improve the copper oxide

    reduction on arbitrary substrates at low temperatures, a precursor-mix of the established Cu(I) -diketonate

    with the catalytic amounts of a Ru precursor is investigated.

    Currently the copper oxide ALD with the precursor-mix as well as the subsequent formic acid reduction on Ru,

    SiO2 and TaN are being studied in more detail using energy dispersive X-ray spectroscopy (EDX), scanning

    electron microscopy (SEM), four-point probe measurements, and spectroscopic ellipsometry. As expected, the

    ALD (120 C; 0.8-1.5 mbar) using the precursor blend shows similar growth behavior regarding the growth per

    cycle [2], roughness and film morphology as obtained with the pure Cu precursor. The oxygen peak area

    (0.532 keV) of the normalized EDX spectra indicates a successful formic acid reduction on Ru and TaN at

    115 C-(1.3 mbar, 20 min). Moreover, the sheet resistance RS(see Figure 1) and the SEM investigations reveal

    the optimal reduction temperature at 115 C on Ru substrates, but the temperature window seemed to be

    extremely narrow in the range of 5 C. This may be due

    to a domination of a contrary HCOOH-decomposition

    toward H2O and O

    2 beyond the temperature window [4].

    In addition, from 90 till 200 C no agglomeration occurred

    on Ru. This could be due to a strong intermixing of the

    copper/copper oxide with the Ru substrates above

    115 C. However, on TaN and SiO2 the ALD layers

    agglomerated above 135 C and 115 C. In addition to

    the temperature variation, the influence of treatment time

    and process pressure will be discussed. Furthermore,

    results of secondary ion mass spectrometry will be

    presented to evaluate the Ru concentration of the copper

    oxide layers. X-ray photoelectron spectroscopy will be

    applied to determine the oxidation state of the copper

    oxide/copper layers after ALD and reduction.

    [1] M. Krieger, A. Plettl, R. Steiner, H.-G. Boyen, P. Ziemann; Applied Physics A, 78, 327-333 (2004)

    [2] T. Waechtler, S. Oswald, N. Roth, A. Jakob, H. Lang, R. Ecke, S. E. Schulz, T. Gessner, A. Moskvinova, S. Schulze, and M. Hietschold; Journal of The

    Electrochemical Society, 156 (6) H453-H459 (2009)

    [3] Y.-K. Sun, W. H. Weinberg, Journal of Chemical Physics, 94(6), 4587-4599, (1991)

    [4] M. R. Columbia, P. A. Thiel, Journal of Electroanalytical Chemistry., 369, 1-14, (1994)

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    33/135

    Thursday, September 16

    Session 3a - Processes II - AuditoriumSession chair: Markku Leskel (Department of Chemistry, Univ. of Helsinki, Helsinki, Finland)

    14.00 - 14.15Matti Putkonen (Beneq Oy, Vantaa, Finland)

    Rare earth scandate films deposited by ALD

    14.15 - 14.30Catherine Marichi (Ciceco, Chemistry Department, Univ. of Aveiro, Aveiro, Portugal)

    A novel non-aqueous route to tin oxide nanostructures by ALD

    14.30 - 14.45

    Aivar Tarre (Institute of Physics, Univ. of Tartu Tartu, Estonia)Iodide / ozone system in ALD of tin dioxide

    14.45 - 15.15

    Stephen S. Potts (Invited) (Univ. of Technology, Eindhoven, The Netherlands

    Plasma-enhanced ALD for opening the ALD temperature window

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    34/135

    Rare earth scandate films deposited by ALD

    M. Putkonen1,2, P. Myllymki2, L. Niinist2,M. Roeckerath3, J.M. Lopes3, J. Schubert3, K. Mizohata4

    1 - Beneq Oy, P.O. Box 262, FI-01511 Vantaa, Finland.

    2 - Laboratory of Inorganic Chemistry, Aalto University School of Science and Technology P.O.Box 16100, FI-00076 Aalto, Espoo, Finland.

    3 -Institute of Bio and Nanosystems, JARA-Fundamentals of Future Information Technologies,Research Centre Jlich, D-52425 Jlich, Germany.

    4-Division of Materials Physics, Department of Physics, University of Helsinki, FI-00014 Universityof Helsinki, Finland.

    corresponding author e-mail: [email protected]

    Continuous downscaling of MOSFETs has led to a search of new materials to replace SiO2 as gateinsulator. In addition to the electrical properties new material candidates must fulfill many material andprocessing requirements, including thermal stability with both silicon and the gate material. Due to their manydesirable properties rare earth scandate (REScO3) thin films have gained considerable interest as possible

    high-k dielectric materials.Due to the gradual reduction in the cation size within the lanthanide series, many properties also changeperiodically within the group. Depending on the size difference between Sc and the other RE in questionternary REScO3 film can adopt either orthorhombic perovskite structure or form a solid solution of cubic C-type structure.

    In this paper, we explore how reduction in the cation size affects some properties of ALD deposited rareearth scandate thin films. To investigate this we chose to study REScO 3 films (RE=Y, La, Gd, Dy, Er, Lu).-diketonate type RE(thd)3 (thd=2,2,6,6-tetramethyl-3,5-heptane-dionato) metal precursors and ozone wereused as reactants. Deposited films were analyzed by XRF, XRD, XRR, RBS, TOF-ERDA and FT-IR.Selected samples were also analyzed by GIXRD. RTA temperatures were varied between 500

    oC and

    1000oC. C-V curves were recorded with an impedance analyzer (HP 4192A) at a frequency of 100 kHz. I-V

    curves were recorded with a semiconductor parameter analyzer (HP 4155B)According the XRD, all thicker REScO3 films were amorphous after the deposition except for LuScO3.

    After thermal annealing, all films eventually started to form polycrystalline structure. First signs of crystallinitywere detected at 600-1000oC depending on the material studied. Larger REs (La-Gd) formed orthorhombic

    perovskite phase as expected while smaller preferred cubic C-type solid solution.Electrical properties of REScO3films with thicknesses varying from 5 to 20 nm were analyzed. C-V curves

    were smooth and generally almost free of hysteresis, indicating low density of oxide charges in the films.Only in case of the thinnest LaScO3and GdScO3films the hysteresis was slightly larger, in a range of 50-100mV. Flat band voltages were typically between 0.05V and 0.2V, except in case of ErScO3larger variationfrom -0.03mV to 0.4mV was observed.

    CETs calculated from capacitances taken at a gate voltage of -2V were plotted versus physical filmthicknesses resulting straight lines and the dielectric constants were extracted from the slopes. The dielectricconstants were from ~24 (DyScO3) to ~11 (LuScO3). Leakage current densities for all films were relativelysmall, lowest values being ~10

    -9A/cm

    2for LuScO3.

    REScO3

    Leakage current

    density at 1V / A/cm2

    (5nm film)

    Flat band

    voltage /VHysteresis /mV

    LaScO3 16.2 ~ 10-6 0.05-0.2 50-90 (5-10 nm)

    GdScO3 22 ~ 10-7

    -10-8

    0.02-0.13 ~ 100 (5 nm)

    DyScO3 24 ~ 10-7

    -10-8

    0.13-0.23 20-25 (5-15 nm)

    ErScO3 17.6 ~ 10-4 -0.03-0.4 ~ 5-30

    LuScO3 10.9 ~ 10-9 0.04-0.12 15-24 (5-10 nm)

    Fig.1. C-V curves of DyScO3films withvarying thicknesses .

    Table 1. Summary of the electrical properties ofREScO3films.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    35/135

    A novel non-aqueous route to tin oxide nanostructures by Atomic

    Layer Deposition.

    Catherine Marichy1, Marc Willinger1, Nicola Pinna1,2

    1CICECO, Chemistry Dep. University of Aveiro, Campus de Santiago, P-3810-193, Aveiro2World Class University (WCU) program of Chemical Convergence for Energy &Environment (C2E2), School of Chemical and Biological Engineering, College of

    Engineering, Seoul National University (SNU), Seoul 151-744, [email protected]

    Tin oxide (SnO2) is a metal oxide semiconductor used in optoelectronics, catalysis and gas sensing.It was already deposited by atomic layer deposition, using mainly tin halide precursors and water,

    hydrogen peroxide or oxygen plasma as oxygen source.[1-4] Halide free metal precursors were also

    used in few reports.[5, 6] In general, the deposition temperature required is relatively high. Onlyrecently a group developed a low temperature approach (from 50 to 200 C) based on an organo-

    metallic precursor which reacts with hydrogen peroxide.[7]Here, we present a novel approach for the deposition of tin oxide nanostructures based on the non-aqueous sol-gel route.[8] Tin alkoxide and a carboxylic acid have been used as metal and oxygen

    source, respectively. This approach permits to deposit SnO2at temperatures as low as 75 C. It allowsthe coating of the inner and outer surface of carbon nanotubes/nanofibers with a highly conformal filmof controllable thickness. The ALD-coated tubes were investigated as active component in gas-sensor

    devices.[9] Due to the formation of a p-n heterojunction between the highly conductive support and theSnO2thin film an enhancement of the gas-sensing response is observed.Moreover, analyses of the as deposited films reveal that they consist of well defined crystalline

    nanoparticles (2-3 nm in size) that are homogeneously dispersed onto the substrates.In this contribution, we will present the deposition conditions and peculiarities of the non-aqueous

    approach as well as the thorough characterization of the obtained nanostructures by atomic forcemicroscopy, scanning and transmission electron microscopy and X-ray diffraction analysis. The finalgoal of this work is to produce complex nanostructures based on SnO 2 for applications in lowtemperature gas-sensing devices.

    [1] A. T. Rosental, A.; Gerst, A.; Sundqvist, J.; Harsta, A.; Aidla, A.; Aarik, J.; Sammelselg, V.;

    Uustare, T., Sensors and Actuators B-Chemical2003, 93, 552.

    [2] J. Sundqvist, J. Lu, M. Ottosson, A. Harsta, Thin Solid Films2006, 514, 63.

    [3] J. Lu, J. Sundqvist, M. Ottosson, A. Tarre, A. Rosental, J. Aarik, A. Harsta,Journal of Crystal

    Growth2004, 260, 191.

    [4] M. Utriainen, S. Lehto, L. Niinisto, C. Ducso, N. Q. Khanh, Z. E. Horvath, I. Barsony, B.

    Pecz, Thin Solid Films1997

    , 297, 39.[5] J. W. Elam, D. A. Baker, A. J. Hryn, A. B. F. Martinson, M. J. Pellin, J. T. Hupp,Journal of

    Vacuum Science & Technology A2008, 26, 244.

    [6] G. Choi, L. Satyanarayana, J. Park,Applied Surface Science2006, 252, 7878.

    [7] J. Heo, A. Hock and R. G. Gordon, inALD 2010, Seoul, 2010, p. 10.

    [8] C. Marichy, M.-G. Willinger and N. Pinna,, inALD 2010, Seoul, 2010, p. 10.

    [9] M. G. Willinger, G. Neri, E. Rauwel, A. Bonavita, G. Micali, N. Pinna, Nano Lett.2008, 8,4201.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    36/135

    E-mail: [email protected]

    Iodide/ozone system in atomic layer deposition of tin dioxide

    Aivar Tarre1,2, Aleks Aidla1, Hugo Mndar1, Jelena Asari1, Teet Uustare1, Arnold Rosental1,21Institute of Physics, University of Tartu, Tartu, Estonia

    2Estonian Nanotechnology Competence Center, Tartu, Estonia

    Thin SnO2films were grown by the atomic layer deposition (ALD) technique on the fused silica (SiO2), silicon(Si(100), Si(111)), and sapphire (-Al2O3(0001), -Al2O3(1102)) substrates. SnI4 and O3 were used asprecursors. The growth temperature Tgwas varied from 100 to 600 C. We restricted ourselves to growing500-cycle films. The growth per cycle, thickness uniformity, crystallinity, and purity of the films were studiedby X-ray fluorescence (XRF), X-ray reflection (XRR), X-ray diffraction (XRD), reflection high-energy electrondiffraction (RHEED), and electron probe microanalysis (EPMA), respectively.

    It is instructive to correlate the considered iodide/ozone growth of SnO 2(Fig. 1 gives an illustrative example)with our previous growths [1,2] performed using iodide/oxygen and iodide/peroxide precursor pairs. In thepresent case the growth per cycle (up to 0.25 nm) surpassed the previously obtained values. Furthermore,the increase of Tgto 500 C improved the film thickness uniformity (Fig. 2). At the temperatures higher than300 C the films were stoichiometric and iodine contamination fell below the detection limit (0.01 at.%) of

    EPMA. The temperature range of the SnI4/O3growth covers both the 300500 C gap in theSnI4/H2O2 growth [1] and the no-growth zone for

    the SnI4/O2pair at temperatures lower than 500 C[1,2]. We emphasize that the SnI4/O2 growth wasonly possible on the sapphire substrates, beingentirely absent on the Si and SiO2substrates. Withthe iodide/ozone system, the epitaxial growth wasrealized on both -Al2O3(0001) (Fig. 3) and -Al2O3(1102).

    [1] A.Tarre, A. Rosental, T. Uustare, A. Kasikov.Proc. SPIE 5946 (2005) 59460I.

    [2] A.Tarre, A. Rosental, A. Aidla, J. Aarik, J.Sundqvist, A. Hrsta. Vacuum 67 (2002) 571.

    300 400 500 6000

    30

    60

    90

    120

    Thickness,nm

    Temperature, C

    Si-IIISiO -III2

    A l O -V2 3Si-VII

    SiO -VII2

    Fig. 2.XRF determined SnO2 thickness versusTg. Thefilms were grown from SnI4/O3on the Si, SiO2and Al2O3substrates placed at a distance of 3, 5 and 7 cm from thefront edge of the susceptor. Curves represent parabolicapproximations.

    Fig. 3.RHEED pattern of a SnO2 film grown at 300 Con the -Al2O3(0001) substrate using SnI4 and O3 asprecursors, showing a two-dimensional growth of thefilm.

    Fig. 1.XRD 2pattern of a SnO2film grown at 200 Con the -Al2O3(0001) substrate using SnI4 and O3 asprecursors. The pattern demonstrates a single phasenature of the growth and a highly preferred (100) out-of-plane orientation of the film.

    Subs.K

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    37/135

    Plasma-Enhanced ALD for Opening the ALD TemperatureWindow

    S. E. Potts,* W. Keuning, E. Langereis,M. C. M. van de Sanden and W. M. M. Kessels

    Eindhoven University of Technology, Eindhoven, The Netherlands*[email protected]

    Ever since the idea of the ALD temperature window was first reported by Suntola,1 it has

    become a well-used concept in ALD circles. It spans typically 150-300 C for most ALDprocesses and work has been carried out towards extending the temperature window to bothhigher and lower temperatures. Better quality films with respect to electronic and optical

    properties are often obtained at the high temperature end of the temperature window whiletemperatures

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    38/135

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    39/135

    Thursday, September 16

    Session 3b - Electronic Applications I - Lecture RoomSession chair: Marek Godlewski (Institute of Physics PAS, Warsaw, Poland)

    14.00 - 14.30

    Grzegorz Luka (Invited) (Institute of Physics PAS, Warsaw, Poland)Atomic layer deposition of ZnO and AIZnO films for organic electronics

    14.30 - 14.45Aile Tamm (Univ. of Tartu, Institute of Physics, Tartu, Estonia)

    ALD and characterization of ZrO2-Er2O3and ZrO2-Gd2O3nanolaminates

    14.45 - 15.00Ole Bethge (Institute for Solid State Electronics, Vienna, Austria)

    ALD of high-k oxides on (100) Ge-substrates: Scaling ability and interface engineering for MOS-

    device applications

    15.00 - 15.15

    Eric W. Deguns (CNT Cambridge Nano Tech, Cambridge, USA)

    A stable organometallic precursor for ALD of Nb2O5

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    40/135

    Atomic layer deposition of ZnO and AlZnO films for organicelectronics

    Grzegorz Luka

    Institute of Physics, Polish Academy of Sciences, Warsaw, Polande-mail:[email protected]

    Zinc oxide, due to its high transparency and electrical parameters controlled in the wide range, is a

    promising material for organic electronics applications in two possible ways. When the carrier

    concentration is low (n < ~1017

    cm-3

    ), ZnO films can be used as n-type partner to p-type organic

    semiconductor and thus form a hybrid p-n junction device. If n ~1020

    cm-3

    , ZnO can serve as a

    transparent conductive electrode. The latter application is especially interesting since ZnO could

    replace so far widely used indium tin oxide (ITO) films which, due to scarcity of indium, are becoming

    more expensive.

    We show that atomic layer deposition is a very convenient method to obtain ZnO films that, depending

    on growth conditions, fulfill one of these requirements.

    The presentation will essentially be divided into four parts.

    In the first part we will present some basic problems regarding organic materials and organic

    electronics.

    The second part will be devoted to zinc oxide films obtained by ALD using two different zinc

    precursors, that is DEZn and DMZn. We show why ZnO grown from DMZn have never reached

    metallic conductivity at room temperature.

    In the third part, we will present the characterization of Al-doped ZnO films obtained by ALD. We show

    that the aluminum distribution within the layers is uniform and no forein=gn Al phases are observed,

    even in films with the Al content as high as 8%.

    Finally, in the fourth part, we will present the application of ZnO films in two organic-based structures.

    The first one is a photodiode with ZnO/nickel-phthalocyanine (NiPc) p-n junction. The presence of very

    thin (~30 nm) ZnO layer in the structure not only improves its characteristics comparing to the same

    structure without ZnO, but also improves the time stability of the device. The second obtainedstructure is an organic light emitting diode with conductive undoped ZnO film as transparent anode

    and tris(8-hydroxyquinolinato)aluminium (Alq3) as an emitting layer. The device achieves current

    efficiency of ~3 cd/A which is a better result comparing to the same structure but with ITO as anode

    layer.

    This work was partially supported by the European Union within the European Regional Development

    Fund, through the Innovative Economy grant (POIG.01.01.02-00-108/09).

    mailto:[email protected]:[email protected]:[email protected]:[email protected]
  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    41/135

    Atomic layer deposition and characterization ofZrO2-Er2O3and ZrO2-Gd2O3nanolaminates

    Aile Tamm,1Indrek Jgi,1Mikko Heikkil,2Marianna Kemell,2Jekaterina Kozlova,1,3

    Jun Lu,4Vino Sammelselg,1,3Kaupo Kukli,1,2Mikko Ritala,2Markku Leskel,2

    1University of Tartu, Institute of Physics, Department of Materials Science, The 4, EE-51010 Tartu, Estonia; e-mail: [email protected]

    2University of Helsinki, Department of Chemistry, P.O.Box 55, FI-00014Univ. Helsinki, Finland

    3University of Tartu, Institute of Chemistry, Ravila 14A, EE-50411 Tartu, Estonia4Linkping University, Department of Physics, Biology and Chemistry (IFM), Thin Film

    Physics Division, SE-581 83 Linkping, Sweden

    Atomic layer deposition (ALD) is a deposition technique appropriate for the creation of metaloxide layers in the form of nanolaminates for a variety of applications. During the recent years,novel metal precursors, in particular cyclopentadienyls of zirconium, have been investigated [1-3].ZrO2as a high-permittivity (high-k) dielectric oxide is studied in this work, complemented withGd2O3and Er2O3as periodic multilayers. ZrO2-Gd2O3 and ZrO2-Er2O3nanolaminates were grownfrom tris(2,2,6,6-tetramethyl-3,5-heptanedione)erbium, (Er(thd)3), tris(2,2,6,6-tetramethyl-3,5-heptanedione)gadolinium, Gd(thd)3, bis(methylcyclopentadienyl)methoxymethylzirconium, ZrD-04, and ozone as precursors at 300 or 350 C. In the films with nanometric thickness, metastablehigher-permittivity cubic or tetragonal phases can easily be formed and their resistance againsttransformation to monoclinic, lower k, form upon annealing significantly increased by adding rareearth oxides (Fig.1.). Capacitors based on nanolaminate dielectrics demonstrated acceptable

    capacitive and good insulating properties with low leakage current density in the order of 1 10-7

    A/cm2(Fig. 1).

    -5 -4 -3 -2 -1 0 1 2 3 410

    -910

    -810

    -710

    -610

    -510

    -410

    -310

    -210

    -110

    010

    110

    2

    with Gd2O

    3

    with Gd2O

    3

    with Er2O

    3

    annealed

    as-deposited

    Nanolaminate deposition cycles:

    2x(72xZrO2+197xEr

    2O

    3)+100xZrO

    2

    2x(150xZrO2+50xGd

    2O

    3)+150xZrO

    2

    Currentdensity,

    A/cm

    2

    Electric field, MV/cm (Al/Ti related to Si)

    Fig. 1. High-temperature three-dimensional XRD patterns of a nanolaminate grown withcycle sequence 2 [95 ZrO2 + 280 Er2O3] + 95 ZrO2(left panel), and currentdensity versuselectric field curves of representative ZrO2-Er2O3and ZrO2-Gd2O3nanolaminates (right panel).

    References:1. C. L. Dezelah, J. Niinist, K. Kukli, F. Munnik, J. Lu, M. Ritala, M. Leskel, L. Niinist, Chem.

    Vap. Deposition 14 (2008) 358.2. K. Kukli, J. Niinist, A. Tamm, J. Lu, M.Ritala, M. Leskel, M. Putkonen, L. Niinist, F. Song,

    P. Williams, P. N. Heys, Microel. Eng. 84 (2007) 2010.3. K. Kukli, J. Niinist, A.Tamm, M. Ritala, M. Leskel, J. Vac. Sci. Technol. B 27 (2009) 226.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    42/135

    ALD of high-koxides on (100) Ge-substrates: Scaling ability andinterface engineering for MOS-device applications

    O. Bethge1, S. Abermann1, C. Henkel1, C. J. Straif2, H. Hutter2, J. Smoliner1and E.Bertagnolli1

    1Institute for Solid State Electronics, Vienna, 1040 Austria

    2Institute of Chemical Technologies and Analytics, Vienna University of Technology, Vienna 1060, Austria

    Corresponding author e-mail: [email protected]

    Germanium (Ge) is an attractive channel material for the next generation complementary metal oxidesemiconductor (CMOS) devices due to its high electron mobility and its low dopant activationtemperatures (1). The disadvantage of Ge not to offer a stable, natural homogenous oxide requires theapplication of an extrinsic heterogeneous oxide in terms of electrical and chemical compatibility (2). Forultrathin dielectric layers envisaged for future CMOS-devices, Atomic Layer Deposition (ALD) is thefavored deposition process for high-k dielectric materials due to its high uniformity, high conformity, andexcellent thickness controllability of the layers (3).In this work, Ge-surface passivation will be addressed, ranging from wet-chemical pre-treatments tothermal grown GeO2 interface-layers and thin ALD interlayers. It is found that ALD of ZrO2on GeO2at

    common deposition temperatures of 250 C leads to volatilization of interfacial GeOx (4). To clarify thepotential in MOS devices, electrical and structural properties were investigated by means of capacitance-voltage (C-V), current-voltage (I-V), TEM, ToF-SIMS and XPS analysis. ALD of La2O3in combination withZrO2capping layers shows very promising results in terms of scaling ability. Here an EOT as low as 0.5nm was achieved (5).The La2O3 films were grown from Tris(N,N-di-isopropyl-formamidinate)-lanthanum and oxygen atsubstrate temperatures of 250 C and 300 C. ZrO2 was grown from tetrakis-(dimethyl)-zirconium(Zr(NMe2)4) precursor and oxygen or water at 250 C and 150 C. As substrates (100) n-type Ge with aresistivity of 6-10 cm were used.This work is funded by the Austrian Science Fund (FWF), project No. P19787-N14. The Gesellschaft frMikro- und Nanoelektronik (GMe), as well as the ZMNS, are gratefully acknowledged.

    Fig.1.C-V-curve of ZrO2/ Fig.2.ToF-SIMS of Fig.3.Scaling-ability of Fig. 4.HR-TEMGeO2/Ge capacitor. ZrO2 ZrO2deposited on La2O3gate dielectrics image of L2O3(7 nm) was deposited Interfacial GeO2 reaching an EOT (5 nm) cappedat 150C and 250C of 0.5 nm by ZrO2(1nm)

    References:(1) International Technology Roadmap for Semiconductors (2009), http://www.itrs.net/(2) S. Rivillon, Y. J. Chabal, F. Amy, and A. Kahn, Appl. Phys. Lett. 87, 253101 (2005).(3) T. Suntola, Mater. Sci. Rep. 4, 261 (1989).(4) O. Bethge, S. Abermann, C. Henkel, C. J. Straif, H. Hutter, J. Smoliner, and E. Bertagnolli, Appl. Phys.Lett. 96, 052902 (2010).(5) S. Abermann, O. Bethge, C. Henkel, and E. Bertagnolli, Appl. Phys. Lett. 94, 262904 (2009).

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    43/135

    A Stable Organometallic Precursor for ALD of Nb2O5

    Eric W. Deguns,1Ritwik Bhatia,1Joan Wozny,1Mark J. Dalberth,1Ravi Kanjolia,2Daniel Moser,3

    Ganesh M. Sundaram1and Jill S. Becker1

    [email protected]

    1. Cambridge NanoTech, Inc. 68 Rogers Street Cambridge, MA 02142 USA2. SAFC Hitech, 1429 Hilldale Avenue Haverhill, MA 01832 USA

    3. SAFC Hitech, 5485 County Road V Sheyboygan Falls, WI 53085 USA

    ALD processes for niobium oxide enable the fabrication of optical filters, sensors and DRAM stacks. As a dopant in

    multicomponent ALD materials, Nb2O5is important for tunable electrical properties of transparent conductors and

    for the enhancement of electrical properties of dielectric stacks.1Previous work has demonstrated that Nb(OEt)5is

    the ALD precursor of choice over NbCl5 as the latter can etch Nb2O5 to form NbOCl3.2 Nevertheless, our

    investigations into developing a production worthy process for Nb2O5 have shown that Nb(OEt)5 is an unstable

    compound when heated. After several weeks at temperature, the Nb(OEt)5 loses volatility and transforms into aorange powder.

    The mixed imido-amido niobium complex (tBuN=)Nb(NEt2)3 is a stable and volatile complex, showing ideal ALD

    behavior with both water and ozone as the oxidants for ALD of Nb2O5. In depositions with water, the growth per

    cycle was approximately 0.36 /cycle between 150-250C. When using ozone as the oxidant, the temperature of

    the ALD window is expanded. A comparison of the compositional and electrical properties of films deposited with

    both oxidants will be presented.

    (1) Kukli, K.; Ritala, M.; Leskela, M.; Sajavaara, T.; Keinonen, J.; Gilmer, D.; Bagchi, S.;Prabhu, L.J Non-Cryst Solids2002, 303, 35-39.

    (2) Knapas, K.; Rahtu, A.; Ritala, M. Chem Vapor Depos2009, 15, 269-273.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    44/135

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    45/135

    Thursday,September16

    Session4a EnergyApplications AuditoriumSessionchair:MaaritKarppinen(AaltoUniv.SchoolofScienceandTechnology,Aalto,Finland)

    15.45 16.15HyunjungShin(Invited)(SchoolofAdvancedMaterialsEngineering,KookminUniv.,Seoul,Korea)

    ConformalCoatingsandtheirenergyapplicationsofoxidematerialsonnanotemplates

    16.15 16.30KnutB.Gandrud(Univ.ofOslo,CenterforMaterialsScienceandNanotechnology,Oslo,Norway)

    LiFePO4cathodematerialbyALDfor3Dstructuredallsolidstatelithiumionbatteries

    16.30 16.45

    OlaNilsen

    (Univ.

    of

    Oslo,

    Center

    for

    Materials

    Science

    and

    Nanotechnology,

    Oslo,

    Norway)

    GrowthoftheprotonconductorCa:LaPO4byALDforhightemperaturefuelcellapplications

    16.45 17.00

    MariAlnes(UniversityofOslo,Oslo,Norway)

    ALDofcopperbasedoxidesforuseinPVapplications

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    46/135

    Conformal coatings and their energy applications of oxide materials on nanotemplates

    Hyunjung Shin

    School of Advanced Materials Engineering, Kookmin University, Seoul, Korea 132-702

    Tubular nanostructures of oxides have attracted much attention as one of the most promising one-dimensional

    (1-D) materials for energy and environmental applications. Several different fabricating methods of oxide

    nanotubes (NTs) have been proposed and showed not good enough crystalline quality. In this presentation, an

    example of NT material systems, high crystalline quality of TiO2 NT arrays templating by atomic layer

    deposition (ALD) onto anodic aluminum oxides (AAO) templates and subsequent annealing, will be presented.

    Structural characterization of the resulting materials and applications in photovoltaic cells, rechargeable lithium

    ion battery will be discussed. By simply changing the thickness of NTs wall, the optimum boosting condition

    of crystallization has been achieved. More than half of micronmeter long anatase single grains resembling thephysical properties of the original bulk materials in NTs were found, and the most defective form was able to be

    prepared by pinning the grain growth at the thinnest wall thickness (< 5nm in thickness). The former was

    utilized in the fabrication of dye-sensitized solar cells, producing reproducible photovoltaic cells exceedingoverall photon-to-electricity conversion efficiency of ~ 6%. The latter was found to be using in Li-ion

    secondary battery application, resulting in ultra-fast charging capability. The achievement is considered to be

    the presence of rich grain boundary defects which are easily accessible to Li-ions. The present ALD grown

    TiO2NTs should hold a position of new materials system at the interface of 1- to 3-D for function-driven novel

    applications.

  • 7/25/2019 BALD atomic layer deposition conference 2010 Booklet_Short

    47/135

    Growth of LiFePO4cathode material by ALD for 3D-structured all-

    solid-state lithium ion batteries

    Knut B. Gandrud*, Anders Pettersen, Ola Nilsen, and Helmer FjellvgUniversity of Oslo, Center for Materials Science and Nanotechnology,

    Department of Chemistry, P.O. Box 1033 Blindern, N-0315 Oslo, Norway* [email protected]

    Most of todays high-performance portable electronic devices are powered by lithium ion batteries,however, these batteries are being outpaced by the ever-increasing power demands from newapplications.By moving from planar battery structures to 3D-structures the power density can beimproved significantly [1-2], thus meeting the demands of the future applications. Due to its ability touniformly coat complex structures the ALD process is a prime candidate for the fabrication of 3D-structured all-solid-state lithium ion batteries.

    In this study we present the deposition of the battery cathode material LiFePO4by ALD. We havedeveloped a process for deposition of FePO4using the precursor combinations Fe(thd)3/O3 (thd =

    2,2,6,6-tetramethyl-3,5-dionato) and (Me)3PO4/H2O+O3(Me = methyl), and have combined thisprocess with the already reported Li(thd)/O3process [3] f