Upload
buixuyen
View
518
Download
43
Embed Size (px)
Citation preview
Attention – this is an old sales list
Please check latest information exclusively on:
Ref. Id Manufacturer Model Description Version56026 10MW Solar Cell Line Monocyrstalline 10MW Solar Cell Mfg. with PECVD Solar
69954 12 inch partial wafer fab line 300 mm54859 Baccini 35MW Solar Cell Line Solar Cell Print line for Mono or Poly Solar71694 30 MW Solar Cell Complete manufacturing line Solar71542 4,5 6 inch line Partial wafer fab 4, 5 and 6 inch72927 8 inch Complete Wafer Fab Line 200 mm75154 8 inch Complete Wafer Fab Line 200 mm61593 8 inch line complete 8 inch fab line 200 mm
72883 8 inch wafer fab complete line 200 mm70973 Mems Fab Various OEM's 200mm Small Mems Fab 20053470 AB Lasers Starmark Laser Scribe 200mm55985 Aberlink Maximi CMM 3D measurment system 69264 ABM 60 UV Mask Aligner 150 mm9863 ABRASIVE INDUSTRIES PF 500-3 Powder Blender / Feeder35883 Accel MicroCel Package Device Cleaner 200 mm75926 Accent CDS-200 SCATTEROMETER 200 MM75927 Accent CDS-200 SCATTEROMETER 200 MM75928 Accent CDS-200 SCATTEROMETER 200 MM75929 Accent CDS-200 SCATTEROMETER 200 MM75930 Accent CDS-200 SCATTEROMETER 200 MM75931 Accent CDS-200 SCATTEROMETER 200 MM74723 Accent CDS200 Optical CD SEM 861385 Accent Q200 FT-IR MEASUREMENT70969 ACCENT OPTO Q8 FT-IR MEASUREMENT75932 Accretech Crystal Edge 2.0 wafer inspection 200 MM71890 ACCRETECH TSK UF200 Prober UF20071025 ACCRETECH UF190B ESD PROBER69897 Accretech UF2000 Prober 200 mm76074 Accretech UF200A Wafer Prober 874897 Accretech UF200S Wafer Prober 874898 Accretech UF200S Wafer Prober 876075 Accretech UF200S Wafer Prober 875883 Accretech Win-Win 50 1600L Prober 200 mm75884 Accretech Win-Win 50 1600L Prober 200 mm75885 Accretech Win-Win 50 1600L Prober 200 mm75886 Accretech Win-Win 50 1600L Prober 200 mm75895 Accretech / TSK A-PM-90A Prober 200 mm
75896 Accretech / TSK APM-90A Prober 200 mm75897 Accretech / TSK APM-90A Prober 200 mm75892 Accretech / TSK APM90 Prober 200 mm75893 Accretech / TSK APM90 Prober 200 mm75898 Accretech / TSK APM90 Prober 200 mm75894 Accretech / TSK APM90AL Prober 200 mm74178 Accretech / TSK AWD-200T dicing saw 3,4,5,6,874179 Accretech / TSK AWD-200T dicing saw 3,4,5,6,874180 Accretech / TSK AWD-200T dicing saw 3,4,5,6,871164 Accretech / TSK MHF 300L Test Head Manipulator 200 mm75743 Accretech / TSK UF200 AAL Wafer Prober 200 mm35995 Accretech TSK APM 90A Automated Wafer Prober 200 mm35994 Accretech TSK APM 90A Automated Wafer Prober 200 mm54225 Accretech TSK APM 90A Automated Wafer Prober 200 mm54226 Accretech TSK MHF300L Test head manipulators 200 mm69680 Accretech TSK UF 200 Prober 69835 Accretech TSK UF200 Prober Station67090 Accretech TSK UF200A Prober75516 Accretech/TSK Crystal Edge Wafer Inspection Equipment 30075183 Accretech/TSK UF-3000 Automated Wafer Prober 30075517 Accretech/TSK Win-Win 50 Wafer Inspection Equipment 30075518 Accretech/TSK Win-Win 50 Wafer Inspection Equipment 30075519 Accretech/TSK Win-Win 50 Wafer Inspection Equipment 30075520 Accretech/TSK Win-Win 50 Wafer Inspection Equipment 30028003 Accurate Gas Control 354C Chiller / Heater67892 ACR AFS 36 ACR FOUP-Stocker 300 mm67893 ACR Wafer Storage 300mm62717 Acterna/TTC HST-3000 Handheld Service Tester62181 Active Tech Rifle Flash Memory tester TEST62183 Active Tech Rifle Flash Memory tester TEST62186 Active Tech Rifle Flash Memory tester TEST67919 Active Technologies Rifle Memory Tester test71909 Active Technologies Rifle 1 Memory Characterization System66162 AD MDX-L6 DC Power Generator
72864 ADC Techno Co. Solvent Wet Wet bench, TMAH designated 200 mm / 150 mm67475 ADE 6033 WAFER TESTER FOR WAFER THICKNESS
50276 ADE 780 MRT Digital Measurement System50277 ADE 780 MRT Digital Measurement System66087 ADE 9520 ULTRA GUAGE MULTIMEASUREMENT SYSTEM66088 ADE 9530 ULTRA GUAGE MULTIMEASUREMENT SYSTEM53075 ADE 9530 UltraGage Wafer measuring system 200mm33668 ADE Episcan 1000 Epi Metrology Spectromter, ONLINE 2110 Spectrometer Head, IRVINE OPTICAL NanoLoader II60007 ADE Ultragage 9520 150 mm73203 ADE 9800 UltraScan Wafer Characterization 8"75521 ADE Corp 3910 Surface Analyzer 20053490 ADE Corporation AFS-3220 Wafer Characterization 300mm60316 ADE Technologies Polar Kerr System53388 Adept WLR-6200 Adept Handling System to include: 200 mm69992 ADT 7100 Dicing Saw 200mm66772 ADT 7100 Dicing Saw 71120 ADT 7200 Megadice Dicing Saw with 2 inch Spindle54203 ADT 7510M Dicing Saw70022 Adtec AX-2000EU 11 RF Generator27814 Advance Electric Co Ltd AV-340-451 Pneumatic Valve67211 ADVANCED CRYSTAL SCIE LPCVD SYSTEM 2 tube lpcvd system69959 Advanced Energy 0190-25724-001 DC Power Supply70639 Advanced Energy 0190-25724-001 DC Power Supply55863 ADVANCED ENERGY 2011-000-D MDX Magnetron Drive56799 Advanced Energy 3155086-102 Dome Match refurbished 33729 ADVANCED ENERGY 3156023-002A Megasonic Amplifier71756 Advanced Energy APEX 3155093-180 Top/Side_8000W_Gen_208V71874 Advanced Energy MDL-15 Magnetron Drive 15KW54180 Advanced Energy MDX-L12 DC Sources Power Supply
53277 Advanced Energy MDX-L12-650 DC Sources Power Supply54179 Advanced Energy MDX-L12M DC Sources Power Supply71868 Advanced Energy MDX-L15 DC Sources Power Supply62665 Advanced Energy Model: RFG 5500 RF Generator22797 Advanced Energy PDX 500 RF Power Supplies71703 Advanced Energy PEII-5000 Power Supply72066 Advanced Energy PEX 5513 RF Generator
72911 Advanced Energy Pinnacle Power Supply 10KW71875 Advanced Energy Sparc LE20
33730 ADVANCED ENERGY/VERT 3156023-000H Megasonic Amplifier33731 ADVANCED ENERGY/VERT 3156023-000J Megasonic Amplifier
73193 Advanced Laser Separation IDCM802 Laser Scribe 6"33336 Advanced Metrology Syste IR3100 Metrology - MBIR21856 ADVANTEK ATM 8600 TAPER34141 Advantek PCB 2016-081-0706 Rev B66649 ADVANTEST M3650 Handler69457 Advantest M3741A66650 ADVANTEST M4541 Handler66651 ADVANTEST M4541 Handler66652 ADVANTEST M4541 Handler66653 ADVANTEST M4541 Handler66656 ADVANTEST M4541 Handler Handler66657 ADVANTEST M4541A Handler66658 ADVANTEST M4541A Handler66659 ADVANTEST M4632A Handler66660 ADVANTEST M4632A Handler66661 ADVANTEST M4632A Handler66662 ADVANTEST M4632A Handler66663 ADVANTEST M4632A Handler66664 ADVANTEST M4632A Handler66665 ADVANTEST M4632A Handler66666 ADVANTEST M4632A Handler66667 ADVANTEST M4632A Handler75022 Advantest M6300 Test Handler TEST75023 Advantest M6300 Test Handler TEST75024 Advantest M6300 Test Handler TEST
75025 Advantest M6541AD Test Handler TEST75026 Advantest M6541AD Test Handler TEST75027 Advantest M6541AD Test Handler TEST75028 Advantest M6541AD Test Handler TEST56245 Advantest M6721 and 6761 3 Handlers for Spare Parts70647 ADVANTEST M6741A HANDLER70648 ADVANTEST M6741A HANDLER70649 ADVANTEST M6741A HANDLER70650 ADVANTEST M6741A HANDLER
70651 ADVANTEST M6741A HANDLER70652 ADVANTEST M6741A HANDLER
70653 ADVANTEST M6741A HANDLER70654 ADVANTEST M6741A HANDLER70655 ADVANTEST M6741A HANDLER70656 ADVANTEST M6741A HANDLER70657 ADVANTEST M6741A HANDLER70658 ADVANTEST M6741A HANDLER70659 ADVANTEST M6741A HANDLER70660 ADVANTEST M6741A HANDLER69831 Advantest M6741A Memory handler74030 Advantest M6741A Pick & Place Memory Handler TEST74031 Advantest M6741A Pick & Place Memory Handler TEST74032 Advantest M6741A Pick & Place Memory Handler TEST74033 Advantest M6741A Pick & Place Memory Handler TEST34399 Advantest M6741A Test Handler TEST75029 Advantest M6741A Test Handler TEST75030 Advantest M6741A Test Handler TEST75031 Advantest M6741A Test Handler TEST75032 Advantest M6741A Test Handler TEST69984 Advantest M6741A Test handlers 62759 Advantest M6741A34398 Advantest M6741AD Module Test handler TEST72937 ADVANTEST M6751AD Test Handler test72938 ADVANTEST M6751AD Test Handler TEST
64247 Advantest M6751AD64249 Advantest M6751AD64250 Advantest M6751AD60846 Advantest M6761AD Dynamic Test Handler68898 Advantest M677160847 Advantest M6771A Dynamic Test Handler67897 Advantest M7211A Hical 2 Robot TEST67898 Advantest M7211A Hical 2 Robot TEST67894 Advantest M7211A Hical 2 Robot 50314 ADVANTEST M7211A Tester hical 2 robot TEST59157 Advantest T 5581H Spare Boards
68702 Advantest T2000 Cal PB68703 Advantest T2000 CATVert-W license
68704 Advantest T2000 Diag PB68705 Advantest T2000 Scan FF Map license75687 Advantest T2000 SOC Test System N/A68706 Advantest T2000 Stil Reader License62642 Advantest T2000 Test Head Parts Machine68707 Advantest T2000 TOS Emulator 5 Users License61077 Advantest T200072788 Advantest T3323 Test System TEST62643 Advantest T3324 VLSI Tester Parts Machine62644 Advantest T3324 VLSI Tester Parts Machine62551 Advantest T3326 Memory Tester62554 Advantest T3347 Memory Tester62646 Advantest T3347 VLSI Tester Parts Machine61083 Advantest T5334/T5335P62553 Advantest T5335 Memory Tester62645 Advantest T5335 Memory Tester -Parts Machine
54162 Advantest T5335 Parts, Spare Boards68899 Advantest T533561084 Advantest T533562552 Advantest T5335P Memory Tester68900 Advantest T5335P61085 Advantest T5335P54163 Advantest T5336 Parts, Spare Boards
56039 Advantest T5365 Memory Tester-Parts Machine54164 Advantest T5365 Parts, Spare Boards58845 Advantest T5365 tester62647 Advantest T5365P Memory Tester-Parts Machine68080 Advantest T5365P62555 Advantest T5371 Memory Tester72954 ADVANTEST T5371 TEST SYSTEM68901 Advantest T537164353 Advantest T5375 Memory Tester69228 Advantest T5377 Tester61230 Advantest T5377 Tester
62556 Advantest T5381 Memory Tester62557 Advantest T5382A Memory Tester
64248 Advantest T5382A170326 Advantest T5383 Memory Tester67895 Advantest T5571ES Advantest Memory Tester TEST68882 Advantest T5571ES Memory Tester TEST34877 ADVANTEST T5571i Memory test system TEST34876 ADVANTEST T5571P MEMORY TESTER TEST68892 Advantest T5571P (2 station) Memory test system TEST67896 Advantest T5571P (2 station) Memory test System TEST72939 ADVANTEST T5581 TEST SYSTEM75010 Advantest T5581D Memory Tester TEST35884 Advantest T5581H DRAM Test System N/A62558 Advantest T5581H Memory Tester75011 Advantest T5581H Memory Tester TEST53390 Advantest T5581H No test head carts included.No test head positioners included.No, handlers included.One Test Station D Type Stn 960DR+576I/O PPS 0.8A/64CH for 1st Station Sparc 5 Sun Workstation No FM hardware available. No High Voltage PPS boards available53389 Advantest T5581H No test head carts included.No test head positioners included.No, handlers included.One Test StationD Type Stn 960DR+576I/O PPS 0.8A/64CH for 1st Station Sparc 5 Sun WorkstationNo FM hardware available.No High Voltage PPS boards available68902 Advantest T5581H
62760 Advantest T5581H61087 Advantest T5581H + M6741A68919 Advantest T5581P Tester (Analysis)75012 Advantest T5585 Memory tester TEST75013 Advantest T5585 Memory tester TEST71066 Advantest T5585 & M6541AD Tester and two Handlers per set70010 Advantest T5585H TEST SYSTEM test62757 Advantest T559274034 Advantest T5593 Memory Tester TEST74035 Advantest T5593 Memory Tester TEST74036 Advantest T5593 Memory Tester TEST71456 Advantest T5593 Test System TEST50315 ADVANTEST T5771 ES Memory Tester TEST50312 ADVANTEST T5771 ES Memory Tester TEST50317 Advantest T5771P Memory Tester TEST50316 Advantest T5771P Memory Tester TEST56971 ADVANTEST T5771P Memory Tester TEST75688 Advantest T6577 SOC Test System N/A69324 Advantest T6671 Test System62602 Advantest T6683 SOC Test System
70605 Advantest M6751AD Memory Test Handler 50322 Advantest M7211A (Parts) Hical robot from Memory Tester TEST60678 Advantest T2000 SOC Test System69966 Advantest T5377 Memory Tester50579 Advantest T5377 Memory Tester38453 Advantest T5382A Memory Tester60694 Advantest T5581H Memory Tester66160 Advantest T5585 + M6542AD x 2 T5585 tester with 2 sets M6542AD handler61206 Advantest T6671E Memory Tester75169 Advantest (Verigy) 93000 PS400 SOC Tester PS40054158 AE 1250W RF Generator70627 AE 3155086-002B RF Network Match 71727 AE 500057258 RF Generator 8K 54153 AE MDX-L12 Power Supply
54152 AE MDX-L12M Power Supply54155 AE MDX-L6 Power Supply54159 AE PDW2200 RF Generator76084 AE RFG-3001 RF Generator54156 AE RFPP Power Supply67147 AEHR MBT208M Burn in oven, refridgerated with LN2 boost, 160 degrees C to -70 (Email us for photo) 63551 Aehr MTX 1000A Prescreen station TEST61211 AEHR Test Systems MTX FP+ TDBI Burn In Test System66590 AEM 1000D-D Laser66591 AEM 1000D-D Laser68708 AEM LD-128F69956 AERA MFC Various , see below list 60848 Aetrium 5050S Ambient only, 150 mil SOIC67210 AG 410 Table top rapid thermal annealer for up to 4" wafers. 60978 AG 8108 RTA HEATPULSE67129 AG ASSOCIATES 2106 Rapid Thermal Processing System 100mm-150mm33815 AG ASSOCIATES 210M Rapid Thermal Implant Monitor9870 AG ASSOCIATES 2146 Rapid Thermal Processing System75809 AG ASSOCIATES 4100 rtp tool 200 MM71861 AG ASSOCIATES 600xp RTP 56777 AG ASSOCIATES 610 Benchtop Rapid Thermal Anneal Tool2 inch to 6 inch33813 AG ASSOCIATES 610 Benchtop Rapid Thermal Anneal Tool2 inch to 6 inch69845 AG ASSOCIATES 8108 Standalone RTP Equipment 200 mm69846 AG ASSOCIATES 8108 Standalone RTP Equipment 200 mm69836 AG ASSOCIATES 8108 Standalone RTP Equipment 200 mm76016 AG ASSOCIATES 8800 rtp tool 200 MM75810 AG ASSOCIATES 8800 rtp tool 200 MM72728 AG Associates AG610 RAPID THERMAL ANNEAL 150 mm68750 AG Associates HEATPULSE 8100 RTP 150mm69305 AG Associates Heatpulse 8108 RTP71533 AG ASSOCIATES Heatpulse 8800 RTP 200 mm71534 AG ASSOCIATES Heatpulse 8800 RTP 200 mm71535 AG ASSOCIATES Heatpulse 8800 RTP 200 mm71536 AG ASSOCIATES Heatpulse 8800 RTP 200 mm70275 AG Associates Heatpulse 8800 RTP 200 mm75750 AG ASSOCIATES Heatpulse 8800 Standalone RTP Equipment 200 mm
74992 AG Associates HeatPulse4100 RTP 674993 AG Associates HeatPulse8800 Anneal 860001 Agie charmilles High precis ion CNC EDM machine 150 mm18870 AGILENT 03577-90212 AGILENT 3571A network analyzer service manual18868 AGILENT 05335-90021 5335A universal frequenzi counter71091 Agilent 16093A64251 Agilent 16702A72951 AGILENT 16702B TEST SYSTEM63762 Agilent 3070 Control Plus Cards63763 Agilent 3070 Control XT Cards58849 Agilent 3070 Test System refurbished 4 mod tester59165 Agilent 3070 Series 3 In-Circuit Tester59166 Agilent 3070 Series 3 In-Circuit Tester63764 Agilent 3070 Series 3 (4 module Capable)59167 Agilent 3070 Series II Upgrade In-Circuit Tester59195 Agilent 3073 Four Module System59196 Agilent 3073 Series III59197 Agilent 3170 Series III (Upgraded S II)59305 Agilent 3173 ATE System71758 Agilent 3173 Series III , 2 Module test system, Ref 4 mod tester59198 Agilent 3173 Series II59168 Agilent 317x Series III In-Circuit Test System63765 Agilent 3x7x 6MHz Double Density Pin Cards59308 Agilent 3x7x Single Density ATE Spare Parts75014 Agilent 4062A Parametric Tester 4,5,675015 Agilent 4062C Parametric Tester 4,5,675016 Agilent 4062C Parametric Tester 4,5,659199 Agilent 5300 X-ray Tube75017 Agilent 53132A Universal Frequency Counter
75018 Agilent 53132A Universal Frequency Counter72952 AGILENT 54645D TEST SYSTEM68912 Agilent 54845A Oscilloscope27998 Agilent 5DX (spares) x-ray inspection spare parts assembly59200 Agilent 5DX 2L X-Ray59201 Agilent 5DX 5400 Series 3 X-Ray59202 Agilent 5DX S5000, Model 5300 X-Ray
59204 Agilent 5DX Series 3 Model 530059203 Agilent 5DX Series 3, Model 5300 X-Ray
59309 Agilent 6621A ATE Spares59307 Agilent 6624A DUT Power SupplieATE Spares
71092 Agilent 8164B 75681 Agilent 83000-F330t VLSI Test System N/A71088 Agilent 86103A PLUG-IN MODULE71087 Agilent 86105C PLUG-IN MODULE71090 Agilent 86142B Optical Spectrum Analyzer Option 004, OPTION 00671093 Agilent 86142B Option 00671248 Agilent 93000 C200E ATE test71107 Agilent 93000 PS400 Tester63766 Agilent Analog Plus60810 Agilent E1411B VXI Multi Meter71494 Agilent E2760DA Cooling Chiller unit 71094 Agilent E4438C71095 Agilent E4438C18869 AGILENT E4915-90030 AGILENT cristal impedance LCR meter60845 Agilent E5100A Network Analyzer60811 Agilent E5810A LAN/GPIB Gateway66360 agilent HP3458A multimeter66359 AGILENT HP3458A multimeter66361 agilent HP3458A Agilent HP 3458A DMM digital multimeter, NIST-certified, options 001, 00259205 Agilent Medalist X6000 X-Ray71096 Agilent N5182A71097 Agilent N5182A72891 Agilent N7745A Tester
71098 Agilent N9020A59207 Agilent SJ 50 Series 3 AOI Systems59206 Agilent SJ 5000 AOI Systems59208 Agilent SJ50 Series 2, Series 3, S AOI Systems59209 Agilent SJ50 Series II AOI Machine59210 Agilent SP50 Series 3 AOI72789 Agilent V800 Test System TEST61212 Agilent Versatest V1300 Mixed Memory / Logic IC Test System E7084A59306 Agilent ATE Spares38454 Agilent Test Head Pin Electronics Board Assembly56796 Agilent 4500 ICP-MS
33941 AGILENT 4500 TEST SYSTEM37631 Agilent 93000 C200e Test system
37632 Agilent 93000 C400e Test system 62559 Agilent / HP 4062UX Parametric Tester62648 Agilent / HP 4062UX Parametric Tester Parts Machine62560 Agilent / HP 82000 D100 VLSI Tester 62562 Agilent / HP 82000 D100 VLSI Tester 62563 Agilent / HP 82000 D100? w/APG option62561 Agilent / HP 82000 D200 VLSI Tester 62564 Agilent / HP 83000 VLSI Tester62568 Agilent / HP 83000 660I VLSI Tester 62565 Agilent / HP 83000 E2811 VLSI Tester62566 Agilent / HP 83000 F120T VLSI Tester 62569 Agilent / HP 83000 F330T VLSI Tester 62567 Agilent / HP 83000 F330T VLSI Tester 62570 Agilent / HP 83000 F330T VLSI Tester 62571 Agilent / HP 83000 F330T VLSI Tester 62572 Agilent / HP 83000 F330T VLSI Tester 62573 Agilent / HP 83000 F330T VLSI Tester 62649 Agilent / HP 84000 A120T A120T RF Spares Tester62650 Agilent / HP 94000 Spare Parts Machine62575 Agilent / Verigy 93000 C400e Mixed Signal Tester62576 Agilent / Verigy 93000 C400e Mixed Signal Tester62574 Agilent / Verigy 93000 P1000 Mixed Signal Tester75742 Agilent / Verigy 93000-E8000S 193 nm scanner, 200 mm setup 200 mm
62651 Agilent / Verigy V4400/V4436 Memory Tester Parts Machine62578 Agilent / Verigy V4436 Memory Tester60763 Agilent / Verigy / HP 93000 Spares71112 AGILENT HP 35670A Dynamic Signal Analyzers 69935 AGILENT HP 4072B Parametric Tester63126 Agilent HP 4076B Parametric Tester34042 Agilent HP 8648C 100KHz-3200MHz SIGNAL GENERATOR75682 Agilent Technologies 4062 Semiconductor Parametric Analyzer 20075683 Agilent Technologies 4062 Semiconductor Parametric Analyzer 20075684 Agilent Technologies 4073B Semiconductor Parametric Analyzer N/A75685 Agilent Technologies 4073B Semiconductor Parametric Analyzer N/A
34045 AGILENT TECHNOLOGIES 41952B 100Khz-500Mhz Transmission/Reflection Test Set34040 AGILENT TECHNOLOGIES 4291A Test Station
34046 AGILENT TECHNOLOGIES 83480A Digital Coms Tester w/83485A Module 20Ghz~50Ghz34047 AGILENT TECHNOLOGIES 83493A Clock Recovery Module64438 Agilent Technologies A-PM-90A Fully Automatic Wafer Prober75686 Agilent Technologies N9201A Semiconductor Parametric Analyzer N/A60801 Agilent??? E2960A?? Serial Protocal Tester XC for PCI Express75019 Agilent/HP 4062C Parametric Tester60879 Agilent/HP E8491B Controller Interface38814 AIO nettrack SECS/GEM integrated solution 73050 AIO Corporation SF600-3 IPA Dryer 4"69753 Air Liquide AVP Ammonia gas supply system Facilities60465 Air Liquide Mulitple Gas Cabinet(s)35535 AIR LIQUIDE Dual Gas Cabinet for Boron Trichoride 35534 AIR LIQUIDE Dual Gas Cabinet for Dichlorosilane, with Auto-Switch & Auto Purge33734 AIR LIQUIDE Dual Gas Cabinet for Helium, 2ea Available with Auto-Switch & Auto Purge33738 AIR LIQUIDE Dual Gas Cabinet for Nitrogen33739 AIR PRODUCTS 801-4700605 Gas Cabinet
75722 Air Products 8U9-415576 Bulk TEOS Delivery System61450 AIR PRODUCTS KOREA CG100 TACM02 LDS 200mm61451 AIR PRODUCTS KOREA CG100 TACM02 LDS 200mm61452 AIR PRODUCTS KOREA CG100 TACM02 LDS 200mm9871 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof FACILITIES59211 Air Vac DRS 22 Air Vac Rework Station59212 Air Vac DRS 24 Air Vac Rework Station
67387 Airvac table top wave solder machine, accomodate boards up to 18x24 for selective soldering and desoldering 21125 AIS CONVEY 8 WAFER SORTER 200 MM50992 AIS / Applied Integrated Syst Therma-Kool PC2-CON-1 Recirculating Chiller51095 AIS / Applied Integrated Syst Therma-Kool PC2-CON-1 Recirculating Chiller69749 Aixtron Crius 2 MOCVD system Up to 12 inch69748 Aixtron Crius 2 MOCVD system Up to 12 inch68455 Aixtron LYNX3 WSI CVD 300mm68425 Aixtron LYNX3, TM CVD-WSIX 200mm68426 Aixtron LYNX3, TM CVD-WSIX 200mm68701 Aixtron LYNX3, TM WSIX 300mm61395 AIXTRON INC LYNX3, TM CVD-WSIX
61396 AIXTRON INC LYNX3, TM CVD-WSIX70661 AIXTRON INC LYNX3, TM CVD-WSIX
70662 AIXTRON INC LYNX3, TM CVD-WSIX70663 AIXTRON INC. LYNX3 WSI CVD 300mm70664 AIXTRON INC. LYNX3, TM WSIX 300mm61449 AIXTRON INC. LYNX3,TM WSIX 300mm75982 Akrion Gamma Nitride automated wet bench 200 mm35536 AKRION V2-HL.2000 Hybrid-Linear Automatic Acid Wet Station with Robotic Transfer (New), for Dual 150mm Cassettes33741 AKRION V2-SA.3200 Wet Process Station Parts Including Tanks only73005 AKRION VELOCITY WET BENCH 12"53309 Akrometrix TherMoire PS88& System scheduled for deinstallation in June 2011.75105 AKT AKT1600 PECVD 370x47075106 AKT AKT1600 PECVD 370x47075107 AKT AKT1600 PECVD 370x47016497 Alcan Tech Canon MAS 8000 Plasma Photoresist Stripper 200 mm18588 Alcatel 1005C Vacuum Pump
22821 Alcatel 5080 CP Turbo Pump14525 Alcatel 5150CP Turbo Pump 34551 Alcatel 601E Dry etcher 150 mm67962 Alcatel A100V Pump27866 Alcatel ACT 1300M Turbo pump controller PUMP22807 Alcatel ADP 81 Dry Vacuum Pump67960 Alcatel ADP31M Pump70513 Alcatel ADS 1202H Dry Pump n/a70514 Alcatel ADS 1202H Dry Pump n/a70515 Alcatel ADS 1202H Dry Pump n/a73250 Alcatel ADS 1202H Dry Pump PUMP73251 Alcatel ADS 1202H Dry Pump PUMP73252 Alcatel ADS 1202H Dry Pump PUMP73253 Alcatel ADS 1202H Dry Pump PUMP73254 Alcatel ADS 1202H Dry Pump PUMP73255 Alcatel ADS 1202H Dry Pump PUMP
73256 Alcatel ADS 1202H Dry Pump PUMP73257 Alcatel ADS 1202H Dry Pump PUMP73258 Alcatel ADS 1202H Dry Pump PUMP73259 Alcatel ADS 1202H Dry Pump PUMP73260 Alcatel ADS 1202H Dry Pump PUMP73261 Alcatel ADS 1202H Dry Pump PUMP73262 Alcatel ADS 1202H Dry Pump PUMP73263 Alcatel ADS 1202H Dry Pump PUMP73264 Alcatel ADS 1202H Dry Pump PUMP67612 Alcatel ADS 1202H Dry Pump67613 Alcatel ADS 1202H Dry Pump67614 Alcatel ADS 1202H Dry Pump22811 Alcatel ADS 1202P Vacuum Pump Stack22817 Alcatel ADS 301 Vacuum Pump61056 ALCATEL ADS 501 DryPUMP61065 ALCATEL ADS 602P DryPUMP61055 ALCATEL ADS 801 DryPUMP22805 Alcatel ADS 801 Vacuum Pump and Blower Stack ADP81/R1001W67961 Alcatel ADS301 Pump74382 Alcatel Alcatel Gir Dry Etch 5
62091 Alcatel AMS 200 SE Adixen Hi-speed etcher 150 mm69941 Alcatel AMS 200IP DRIE System 20052172 Alcatel ASM 180TD Helium Leak Detector71876 Alcatel SCM 650 Sputtering system with loadlock71837 Alcatel 120 h Helium Leak Detector75180 Alcatel ADS 602H Vacuum Pump75131 Alcatel ADS 602P Vacuum Pump67405 Alessi 4 FIXED PLATEN 62508 Alessi 5000 Analytical Wafer Prober Station 200mm60547 Alessi 5000 Analytical Wafer Prober Station 200 mm67406 Alessi Cascade 5000 Prober67407 Alessi REL 4300 6" Probe station 9878 ALESSI REL 4500 Manual Wafer Prober 150 mm75887 Alessi Remote 5000 Prober 200 mm60336 Alessi Prober XYZ w / Microscope, Extras 150 mm16270 Alessi 550 Wafer Prober 200 mm
67404 ALESSI 2X2 PROBER 2x2 Prober18575 Alpha Instruments EH100 Chart Recorder
18585 Alpha Instruments EH100 Chart Recorder70039 Alphasem 9002 die attach assembly64278 Alphasem 9022 Die Bonder (6.17 Chip PAC)74120 Alphasem SL9022 Die Bonder 8, 1274121 Alphasem SL9022 Die Bonder 8, 1274122 Alphasem SL9022 Die Bonder 8, 1274123 Alphasem SL9022 Die Bonder 8, 1274124 Alphasem SL9022 Die Bonder 8, 1274125 Alphasem SL9022 Die Bonder 8, 1274126 Alphasem SL9022 Die Bonder 8, 1274127 ALPHASEM SL9022 Die Bonder 8, 12
74128 ALPHASEM SL9022 Die Bonder 8, 1274129 ALPHASEM SL9022 Die Bonder 8, 1274130 ALPHASEM SL9022 Die Bonder 8, 1274131 Alphasem SL9022 Die Bonder 8, 1274132 Alphasem SL9022 Die Bonder 8, 1274133 Alphasem SL9022 Die Bonder 8, 1274134 Alphasem SL9022 Die Bonder 8, 12
74135 Alphasem SL9022 Die Bonder 8, 1274136 Alphasem SL9022 Die Bonder 8, 1274137 ALPHASEM SL9022-2M Die Bonder 8, 1274138 ALPHASEM SL9022-2M Die Bonder 8, 1271142 Alphasem SL9200 HSL Die Bonder 33629 ALPHASEM Swissline 9006 Automatic Epoxy Die Bonder, 2ea Available63089 Alphasem SL 9006 Die Attach73091 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73092 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73093 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73094 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73095 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73096 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73097 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73098 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73099 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY
73100 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73101 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY
73102 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73103 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73104 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73105 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73106 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73107 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73108 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73109 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY73110 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY
73111 ALPHASEM SL 9022 HSL Die Bonder ASSEMBLY71472 ALPSITEC MECAPOL E550 CMP POLISHER 50 to 100 mm
71479 ALTATECH Altasight Wafer Defect Inspection 300 mm64403 ALTUS 15-AMI-10DB-121 ALTUS_AIRSENTRY-IMS_METROLOGY ALTUS74055 Alum-a-Lift A200-60 General Purpose Lift n/a74056 Alum-a-Lift A200-72 General Purpose Lift n/a74057 Alum-a-Lift A800-96 General Purpose Lift n/a68417 Amaya AEC-2200 AP-CVD 125mm68418 Amaya AEC-2250U AP-CVD 125mm58500 Amaya AEC-2250U AP-CVD 125 mm68422 AMAYA AMAX200? AP-CVD 150mm60000 AMCC Lasermark 150 mm76019 AMI Presco ACL-TS Dryer picker67451 AMI Presco CP-645 PRODUCTION SCREEN PRINTER, 3 SECOND CYCLE TIME, 3X3" PRINT AREA 69970 AMI Presco CP-885 PRODUCTION SCREEN PRINTER, 8"x8"67452 AMI Presco M-22 3X3" PRINT AREA SCREEN PRINTER, TABLE TOP 70644 AMI Presco MSP Integrated Solar Cell Print Line 156 mm76042 AMI Presco MSP-9155 SCREEN PRINTER
https://www.fabsurplus.com/sdi_catalog/search.do?searchBy=all&searchText=&utm_source=xls&utm_medium=xls&utm_campaign=25Mar2014
76034 AMI Presco MTF-SI Ag Flipper76038 AMI Presco UCL-TS pick and place59244 Amistar 6448 Axial Inserter68486 AMJ P5000 Mark II Dry Etching 200mm68485 AMJ P5000 Mark II? Dry Etching 200mm68487 AMJ P5000 WEB Dry Etching 200mm68488 AMJ P5000 WEB Dry Etching 200mm37688 Amray 1860 FE Scanning Electron Microscope34502 Amray 2030 DEFECT REVIEW TOOL 200mm34501 Amray 3800 SEM WITH KEVEX 200mm59999 AMS 218LC Lead Conditionning 150 mm66607 AMS 218LC Lead Conditionning
71049 AMS IDEAL MOLD SYSTEM67193 Anatech P600
35522 Ando Al6082 Memory Test system 69273 Ando AQ-6002 Side-Mode Measuring Unit
69320 Ando AQ-6310C Optical Spectrum Analyzer68456 Anelva EDA-507L Dry Etching 125mm68663 Anelva EVC-1701 Evapolation 125mm55998 Anelva ILC 1051 Sputter system 150 mm68733 Anelva ILC-1012 MK II Sputter 125mm68734 Anelva ILC-1012 MK II Sputter 125mm68735 Anelva ILC-1012 MK II Sputter 125mm
68736 Anelva ILC-1012 MK II Sputter 125mm68737 Anelva ILC-1051 Sputter 125mm55991 Anelva ILD 4032 Evaporator 150 mm55990 Anelva ILD4015 Evaporator, Al process 150 mm55992 Anelva ILD4033 Evaporator 150 mm34106 Anelva ILC1051 Sputter 150 mm4228 ANGELANTONI T600 TU5 BAKE OVEN FACILITIES6560 ANGELANTONI T600 TU5 BAKE OVEN FACILITIES2669 ANGELANTONI T600 TU5 BAKE OVEN FACILITIES10637 Angelantoni T600 TUS Clean Room Oven FACILITIES67946 Angelantoni DY1600E Temperature and Humidity Chamber68809 Angelatoni T420 Oven67777 Angstrom Measurements SL8000 with Cognex 3400 IVS Line-width SEM Measurement62715 Anristsu MT8212B 25MHz - 4GHz, Cell Master Cable and Antenna Analyzer60842 Anritsu ML2438A Dual Input Power Meter34044 Anritsu ML910B Optical Power Meter63828 Anritsu MP1550 A63829 Anritsu MP1550 B34041 Anritsu MP1552B SDH/PDH/ATM ANALYZER w/MP0121A,MP0122A63827 Anritsu MP1552B SDH/PDH/ATM Analyzer34043 Anritsu MT9810A Optical Test Set w/ 931421A Module34740 AP & S TwinStep-B H3P04 Semi-Automatic Metal Hood 200 mm60712 APG Portable Pressure Vessel36501 AppiledBoisystem ABI-3700 ANALYZER AUTOMATIC SEQUENCER63646 Applied 7700 Epi Dep 150mm63635 Applied 8110 Oxide Etch 100mm63636 Applied 8110 Oxide Etch 100mm63637 Applied 8330 Metal Etch 150mm63633 Applied P5000, 2 chambers PECVD Dep 150mm
62686 Applied material 833062687 Applied material P500060552 Applied Materials P5000 Metal Etch Process Tool 150 mm, 200 mm60554 Applied Materials P5000 Plasma Enhanced CVD 150 mm, 200 mm60551 Applied Materials P5000 Plasma Enhanced TEOS CVD 150 mm, 200 mm60553 Applied Materials P5000 Poly Etch ESC 150 mm71714 Applied Materials 0010-06825 Ceramic Heater 50734 Applied Materials 0010-09340 SUSCEPTOR LIFT ASSY for P5000 200MM53070 Applied Materials 0010-25670 Generator Rack 50945 Applied Materials 0010-29443 Center Hot Vacuum Chuck 69820 Applied Materials 0010-29446 ASSEMBLY, LAMP MODULE, 1000W DELTA ASP+62160 Applied Materials 0010-30838 HEATER, PRODUCER CERAMIC, 300MM 51867 Applied Materials 0010-36734 Applied Materials Heater 300mm11568 Applied Materials 0020-0323 REV H Heat Exchanger FACILITIES38037 Applied Materials 0040-07031 Ceramic Heater 300mm22836 Applied Materials 0040-18094 / P4 HDP/HDO Chamber Applicator71835 Applied Materials 0040-18219 0040-18219LASED, PEDESTAL, 200MM SNNF SML FLT W/WT69814 Applied Materials 0040-48594EB HEATER, 300MM ESC 300mm34649 Applied Materials 0100-09076 P5000 K1 ASSY18840 APPLIED MATERIALS 0230-00101 Precis ion etch 830018841 APPLIED MATERIALS 0230-09130 Precis ion 5000 Mark II spare part identified18842 APPLIED MATERIALS 0230-09259 Precis ion 5000 Mark II Mainframe and support equipment manual18844 APPLIED MATERIALS 026-110-0B1 PR 5000 Func desc. Practice exercic i and basic prev. maintenance procedures11569 Applied Materials 0290-09018 Rev F Heat Exchanger FACILITIES18843 APPLIED MATERIALS 079-1202-0D PR 5000 Advanced prev. And corrective main71454 Applied Materials 09240-04962 POWER SUPPLY 48V 7.5A62664 Applied Materials 1 Heat Exchanger60906 Applied Materials 1ch PRODUCER36007 Applied Materials 2450060 POLYUR.TUBE 4MM ORANGE60916 Applied Materials 2C/H MXP POLY60905 Applied Materials 2CH PRODUCER38772 APPLIED MATERIALS 3400 Mirra CMP38771 APPLIED MATERIALS 3400 Mirra CMP SYSTEM 200 MM71892 APPLIED MATERIALS 3400 Mirra Heads 3400 Mirra Heads TITAN II OEM50564 APPLIED MATERIALS 3400 Mirra Heads 3400 Mirra Polishing Heads36008 Applied Materials 3790-A0210 Stand Off M3x10, F/M
61005 Applied Materials 4C/H ENDURA Sputtering System61006 Applied Materials 4C/H ENDURA Sputtering System61332 Applied Materials 5200 CENTURA RTP BPSG/LD/ANNEAL/SD 200mm61333 Applied Materials 5200 CENTURA RTP GATE-TOXIC 200mm61334 Applied Materials 5200 CENTURA RTP SAL-NON TOXIC 200mm66102 APPLIED MATERIALS 5200 Centura EPI 5200 Centura EPI70006 Applied Materials 5200 Centura II Hart Dry Plasma Etching System 200 mm61335 Applied Materials 5200 CENTURA RADIANCRADIANCE RTP, NON-TOXIC, ATM 200mm61288 Applied Materials 5200 ULTIMA HDP ILD0 200mm61289 Applied Materials 5200 ULTIMA HDP ILD1 TO N, CU 200mm61314 Applied Materials 5500 ENDURA ADHESION CVD 1BC (TXZ Chamber200mm61305 Applied Materials 5500 ENDURA CU BARRIER/SEED 300mm61315 Applied Materials 5500 ENDURA CU BARRIER/SEED HVM (SIP Chamb200mm54194 APPLIED MATERIALS 5500 Endura PVD61327 Applied Materials 5500 ENDURA SPUTTER TNAA 200mm61328 Applied Materials 5500 ENDURA SPUTTER TNAN 200mm61329 Applied Materials 5500 ENDURA SPUTTER TNCOA 200mm61000 Applied Materials 5CH Endura system74513 Applied Materials 7800 EPI 674514 Applied Materials 7800 EPI 671569 APPLIED MATERIALS 7800 EPI DEPOSITION71669 APPLIED MATERIALS 7800 EPI DEPOSITION72507 Applied Materials 7800 RP EPITAXIAL DEPOSITION 150 mm72508 Applied Materials 7800 RP EPITAXIAL DEPOSITION 150 mm72165 Applied Materials 7810 Epitaxial CVD72509 Applied Materials 7810 RP EPITAXIAL DEPOSITION 150 mm72510 Applied Materials 7811 RP EPITAXIAL DEPOSITION 150 mm
62327 Applied Materials 8330 Metal dry etch 150mm62328 Applied Materials 8330 Metal dry etch 150mm62329 Applied Materials 8330 Metal dry etch 150mm62330 Applied Materials 8330 Metal dry etch 150mm75997 Applied Materials 8330 Metal etch 200 mm75998 Applied Materials 8330 Metal etch 200 mm72514 Applied Materials 8330 METAL ETCHER 150 mm
72515 Applied Materials 8330 METAL ETCHER 150 mm72516 Applied Materials 8330 METAL ETCHER 150 mm72517 Applied Materials 8330 METAL ETCHER 150 mm72518 Applied Materials 8330 METAL ETCHER 150 mm72519 Applied Materials 8330 METAL ETCHER 150 mm72520 Applied Materials 8330 METAL ETCHER 150 mm72521 Applied Materials 8330 METAL ETCHER 150 mm72522 Applied Materials 8330 METAL ETCHER 150 mm72523 Applied Materials 8330 METAL ETCHER 150 mm72524 Applied Materials 8330 METAL ETCHER 150 mm72525 Applied Materials 8330 METAL ETCHER 150 mm11579 Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CO 200 mm75434 Applied Materials 9500 High Current Ion Implanter 20071156 Applied Materials 9500 IMPLANTER 200 mm74525 Applied Materials 9500 XR Implanter 534703 Applied Materials 9500 XR (Spares) Direct drive motor for 6 inch wafers 150 mm69902 Applied Materials 9500 XR (Spares) Direct drive motor for 6 inch wafer 150 mm72201 Applied Materials 9500XR High Current Implanter 150 mm72199 Applied Materials 9500XR High Current Implanter 150 mm72200 Applied Materials 9500XR High Current Implanter 150 mm64453 Applied Materials AD-RSEM Dry Pump system DR930070444 Applied Materials AERA2+ Retic le Inspection n/a74515 Applied Materials AMC 7811 atm. EPI 574516 Applied Materials AMC 7811 atm. EPI 574517 Applied Materials AMC 7811 atm. EPI 574518 Applied Materials AMC 7811 atm. EPI 574519 Applied Materials AMC 7811 atm. + lp. EPI 574520 Applied Materials AMC EPI reactor EPI 574521 Applied Materials AMC7800RPX Epi 6
74522 Applied Materials AMC7811 Epi 674523 Applied Materials AMC7821 Epi 674524 Applied Materials AMC7821 Epi 669256 Applied Materials AME 8100 Plasma Etcher68412 Applied Materials APM-330 P-CVD 125mm68413 Applied Materials APM-3300 P-CVD 125mm35045 Applied Materials Applied Materials 5200 Ce CVD 2-POLYGEN CHBRS & 2 DCSx 300 MM19204 APPLIED MATERIALS BDT Al ZDP Chamber Kit SPARES 200 mm60467 Applied Materials BX-10A Activated Implant Monitor 200 mm67808 Applied Materials C5200 3chamber 3 PECVD 67809 Applied Materials C5200 3chamber 3 PECVD 67819 Applied Materials C5200 MXP+ Metal Metal Etcher68427 Applied Materials Centra-GIGAFILL CVD 200mm68428 Applied Materials Centra-GIGAFILL CVD 200mm68429 Applied Materials Centra-GIGAFILL CVD 200mm68430 Applied Materials Centra-GIGAFILL CVD 200mm59686 Applied Materials Centura CVD 3chamber 200 mm59687 Applied Materials Centura CVD 3chamber 200 mm59688 Applied Materials Centura CVD DCVD 3chamber 200 mm61338 Applied Materials CENTURA RTP, NON TOXIC, C LEVEL 2 CHMB 300mm61337 Applied Materials CENTURA RTP, TOXIC, A LEVEL 2 CHMBR 300mm61339 Applied Materials CENTURA RTP,NON TOXIC,B LEVEL SOAK 2C 300mm63700 APPLIED MATERIALS CENTURA 200mm65319 Applied Materials Centura Gigafill (2 ch CxZ) 200 mm71214 Applied Materials Centura (Parts) Auto-tilt loadlock kit 200 mm68482 Applied Materials Centura 1 Poly Etch Dry Etching 200mm68699 Applied Materials Centura 1.X MCVD 200mm61007 Applied Materials Centura 1A5300 HP RTP56429 Applied Materials Centura 4.0 eMax Dielectric Etch 300mm56430 Applied Materials Centura 4.0 eMax Dielectric Etch 300mm73295 Applied Materials Centura 4.0 Radiance RT Platform RTP Equipment 12"73296 Applied Materials Centura 4.0 Radiance RT Platform RTP Equipment 12"73297 Applied Materials Centura 4.0 Radiance RT Platform RTP Equipment 12"74204 Applied Materials Centura 5.3 CVD 1274205 Applied Materials Centura 5.3 HT Polycide 1274206 Applied Materials Centura 5.3 HT Polycide 12
63666 APPLIED MATERIALS CENTURA 5200 3X SA BPSG CHM, TEB TEPO TEOS 200mm74207 Applied Materials Centura 5200 ACL 859689 Applied Materials Centura 5200 CVD 3chamber 200 mm71519 APPLIED MATERIALS CENTURA 5200 CVD TEOS (DXZ) - 1Ch 200 mm70166 Applied Materials CENTURA 5200 DARC CVD 200 mm70100 Applied Materials Centura 5200 Dielectric CVD, for SiC seposition 200 mm70228 Applied Materials CENTURA 5200 DPS PLUS POLY ETCH 200 mm70237 Applied Materials CENTURA 5200 DPS PLUS POLY ETCH 200 mm71212 Applied Materials Centura 5200 DPS Plus poly etcher 200 mm69239 Applied Materials Centura 5200 Dry Etch DPS / ASP PLUS 150 mm69258 Applied Materials Centura 5200 Etcher70172 Applied Materials CENTURA 5200 GIGAFILL BPSG 200 mm70220 Applied Materials CENTURA 5200 OXIDE ETCH 200 mm53300 Applied Materials Centura 5200 Oxide Etcher IPS 200 mm62297 Applied Materials Centura 5200 Oxide PECVD 200mm60383 Applied Materials Centura 5200 Oxide PECVD 200 mm60489 Applied Materials Centura 5200 Oxide PECVD 200 mm34782 Applied Materials Centura 5200 Oxide PECVD TEOS System w/ 3 X DL200mm71520 APPLIED MATERIALS CENTURA 5200 SELECTIVE EPI 200 mm71215 Applied Materials Centura 5200 Super E Dry etcher 200 mm70177 Applied Materials CENTURA 5200 TICL4 AND TI CVD 200 mm70101 Applied Materials Centura 5200 Tungsten CVD 200 mm34783 Applied Materials Centura 5200 Tungsten CVD System w/ 4 X WxZ, 1 200mm62365 Applied Materials Centura 5200 Tungsten Etch 200mm60438 Applied Materials Centura 5200 Tungsten Etch 200 mm62300 Applied Materials Centura 5200 Tungsten PECVD 200mm62298 Applied Materials Centura 5200 Tungsten PECVD 200mm62299 Applied Materials Centura 5200 Tungsten PECVD 200mm60384 Applied Materials Centura 5200 Tungsten PECVD 200 mm60385 Applied Materials Centura 5200 Tungsten PECVD 200 mm60386 Applied Materials Centura 5200 Tungsten PECVD 200 mm34784 Applied Materials Centura 5200 Tungsten PECVD System w/ 4 X WxZ 200mm60387 Applied Materials Centura 5200 Ultima HDP-PECVD 200 mm54023 Applied Materials Centura 5200 WxP Chambers
54020 Applied Materials Centura 5200 PECVD System54019 Applied Materials Centura 5200 PECVD System
35050 Applied Materials Centura 5200 Rev 4 MAINFRAME WITH 3 POLYGE 300 mm38858 Applied Materials Centura 5200 / Ultima HDP CVD Process 200 mm70102 Applied Materials Centura 5200 ACP EPI Reduced pressure Epitaxial deposit 300 mm56896 Applied Materials Centura 5200 AP 4 CHAMBER DIELECTRIC / METAL 300 mm56875 Applied Materials Centura 5200 AP 4 CHAMBER DIELECTRIC ETCHER 300 mm56264 APPLIED MATERIALS Centura 5200 DPN DPN + RTP XE Chambers 200171812 Applied Materials Centura 5200 DPS DRY ETCHER 200 mm72940 APPLIED MATERIALS CENTURA 5200 DPS DRY ETCHER 8"72941 APPLIED MATERIALS CENTURA 5200 DPS DRY ETCHER 8"72956 APPLIED MATERIALS CENTURA 5200 DPS DRY ETCHER 8"72957 APPLIED MATERIALS CENTURA 5200 DPS DRY ETCHER 8"72958 APPLIED MATERIALS CENTURA 5200 DPS DRY ETCHER 8"72959 APPLIED MATERIALS CENTURA 5200 DPS DRY ETCHER 8"59788 Applied Materials Centura 5200 DPS Etch 200 mm59789 Applied Materials Centura 5200 DPS Etch 200 mm71720 Applied Materials Centura 5200 DxZ DxZ SACVD 3 chamber TEOS CVD s200 mm57108 Applied Materials Centura 5200 DxZ PECVD (Chemical Vapor Deposition 200 mm73137 Applied Materials Centura 5200 DxZ TEOS 8"69257 Applied Materials Centura 5200 eMXP Etcher66407 Applied Materials Centura 5200 eMxP+ 2ch66406 Applied Materials Centura 5200 eMxP+ 3ch66408 Applied Materials Centura 5200 eMxP+ 3ch70665 APPLIED MATERIALS CENTURA 5200 GIGAFILLCVD70221 Applied Materials CENTURA 5200 IPS DDE DRY ETCHER 200 mm70222 Applied Materials CENTURA 5200 IPS DDE DRY ETCHER 200 mm75751 Applied Materials Centura 5200 IPS Dielectric etch system 200 mm57147 Applied Materials Centura 5200 MxP Dielectric Etch 200 mm
70372 Applied Materials Centura 5200 MxP Dielectric Etch 200 mm70373 Applied Materials Centura 5200 MxP Dielectric Etch 200 mm74383 Applied Materials Centura 5200 MXP Poly 874384 Applied Materials Centura 5200 MXP Poly 875999 Applied Materials Centura 5200 MXP Poly / Oxide etch 200 mm70371 Applied Materials Centura 5200 MxP+ Dielectric Etch 200 mm70374 Applied Materials Centura 5200 MxP+ Dielectric Etch 200 mm
53299 Applied Materials Centura 5200 Oxide Etch IOxide Etcher IPS 200 mm53386 Applied Materials Centura 5200 Oxide Etch IThis system has been harvested for 200 mm
75640 Applied Materials Centura 5200 PVD PVD (Physical Vapor Deposition) 20026850 Applied Materials Centura 5200 REV 4 HAR MAINFRAME ONLY 300 mm
35088 Applied Materials Centura 5200 Rev.4 4 chamber deep trench etcher 300 mm72955 APPLIED MATERIALS CENTURA 5200 RTP RTP CLUSTER TOOL 8"54018 Applied Materials Centura 5200 Ultima HDP CVD System30014 APPLIED MATERIALS CENTURA 5200 WXZ CVD - W69743 Applied Materials Centura 5200 XE Plus Chamber, RTP 200 mm74058 Applied Materials Centura ACP RP EPI Epitaxial Silicon (EPI) 12"73168 Applied Materials Centura AP AdvantEdge G Polysilicon Etch 12"73169 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 12"73170 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 12"73171 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 12"73172 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 12"73173 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 12"73174 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 12"36863 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 300mm36864 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 300mm36865 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 300mm36866 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 300mm36867 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 300mm67290 Applied Materials Centura AP DPS II Polysili Polysilicon Etch 300mm67291 Applied Materials Centura AP eMax CT Dielectric Etch 300mm67292 Applied Materials Centura AP eMax CT Dielectric Etch 300mm73175 Applied Materials Centura AP eMax CT+ Dielectric Etch 12"67293 Applied Materials Centura AP eMax CT+ Dielectric Etch 300mm73176 Applied Materials Centura AP Enabler Dielectric Etch 12"70378 Applied Materials Centura AP Enabler Dielectric Etch 300 mm
55973 Applied Materials Centura AP Epi Epitaxial reactor ACP/EPI 300 mm70344 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Depositi 300 mm70345 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Depositi 300 mm70346 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Depositi 300 mm70347 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Depositi 300 mm70348 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Depositi 300 mm70349 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Depositi 300 mm67263 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Depositi 300mm64179 Applied Materials CENTURA CxZ (SA/NSG) SMIF
68431 Applied Materials SA-CVD 200mm74385 Applied Materials CENTURA DPS Etch 874386 Applied Materials CENTURA DPS Etch 876053 Applied Materials Centura DPS Etcher 8 inch76054 Applied Materials Centura DPS Etcher 8 inch74387 Applied Materials CENTURA DPS Poly 865318 Applied Materials Centura DPS (3 ch poly etch)63731 APPLIED MATERIALS CENTURA DPS 2 ETCHER 5200 DPSII 2CH METAL /2 200mm63703 APPLIED MATERIALS CENTURA DPS 2 ETCHER 5200 DPSII, 2-POLY, 1-ME 200mm63730 APPLIED MATERIALS CENTURA DPS 2 ETCHER CENTURA AP METAL DPS I200mm63729 APPLIED MATERIALS CENTURA DPS 2 ETCHER, CENTURA AP METAL DPS I200mm63704 APPLIED MATERIALS CENTURA DPS 2 ETCHER, DPS II CENTURA 200mm63701 APPLIED MATERIALS CENTURA DPS 2 SYSTEM, DPSII METAL/ASP II 200mm63702 APPLIED MATERIALS CENTURA DPS 2 SYSTEM, DPSII METAL/ASP II 200mm57148 Applied Materials Centura DPS Poly R1 Polysilicon Etch 200 mm70380 Applied Materials Centura DPS Poly R1 Polysilicon Etch 200 mm70381 Applied Materials Centura DPS Poly R1 Polysilicon Etch 200 mm73177 Applied Materials Centura DPS Poly R1 Polysilicon Etch 8"63705 APPLIED MATERIALS CENTURA DPS+ POLY 2X DPS+ POLY 200mm63706 APPLIED MATERIALS CENTURA DPS+ POLY C 1xDPS+ POLY CHAMBER 200mm76055 Applied Materials Centura DPS2 Poly Etch 12 INCH76056 Applied Materials Centura DPS2 Poly Etch 12 INCH70226 Applied Materials Centura DPSII DPS POLY ETCH 200 mm70227 Applied Materials Centura DPSII DPS POLY ETCH 200 mm75230 Applied Materials Centura DxZ Multi-Process CVD 20075231 Applied Materials Centura DxZ Multi-Process CVD 20075232 Applied Materials Centura DxZ Multi-Process CVD 20075233 Applied Materials Centura DxZ Multi-Process CVD 20075234 Applied Materials Centura DxZ Multi-Process CVD 20075235 Applied Materials Centura DxZ Multi-Process CVD 20075236 Applied Materials Centura DxZ Multi-Process CVD 20075237 Applied Materials Centura DxZ Multi-Process CVD 200
36836 Applied Materials Centura eMAX Dielectric Etch 300mm36837 Applied Materials Centura eMAX Dielectric Etch 300mm36838 Applied Materials Centura eMAX Dielectric Etch 300mm36840 Applied Materials Centura eMAX Dielectric Etch 300mm36841 Applied Materials Centura eMAX Dielectric Etch 300mm36842 Applied Materials Centura eMAX Dielectric Etch 300mm36843 Applied Materials Centura eMAX Dielectric Etch 300mm53465 Applied Materials Centura eMAX Dielectric Etch 300mm53466 Applied Materials Centura eMAX Dielectric Etch 300mm53467 Applied Materials Centura eMAX Dielectric Etch 300mm74388 Applied Materials Centura eMAX CT Plus Etcher 1274389 Applied Materials Centura eMAX CT Plus Etcher 1275432 Applied Materials Centura EPI Epitaxial Silicon (EPI) 30074099 Applied Materials Centura EPI EpitaxialSilicon EPI 300 mm75238 Applied Materials Centura Gigafill SACVD (Chemical Vapor Deposition 20069934 Applied Materials Centura HP Ultima 300mm HDP-CVD Processing Syst 300mm73178 Applied Materials Centura II DPS Poly R1 Polysilicon Etch 8"70379 Applied Materials Centura II DPS+ Poly Parts/Chamber Modules 200 mm73179 Applied Materials Centura II SUPER-E Dielectric Etch 8"73180 Applied Materials Centura II SUPER-E Dielectric Etch 8"33207 Applied Materials Centura II SUPER-E Etcher - Dielectric33208 Applied Materials Centura II SUPER-E Etcher - Dielectric75239 Applied Materials Centura Multi-Process CV Multi-Process CVD 20074390 Applied Materials CENTURA MXP Etch 865320 Applied Materials Centura MxP (3 ch STI etch)
67861 Applied Materials Centura MXP 2-Poly, 1-Ox Process Kits62540 Applied Materials Centura MXP Metal Metal Etcher 150 mm
74391 Applied Materials CENTURA MXP+ Etch 874392 Applied Materials CENTURA MxP+ Etch 865321 Applied Materials Centura MxP+ (4 ch sel oxide etch)71571 APPLIED MATERIALS CENTURA RTP 5200 RTP71617 APPLIED MATERIALS CENTURA RTP 5200 RTP75661 Applied Materials Centura RTP XE Platform RTP Equipment 20075662 Applied Materials Centura RTP XE Platform RTP Equipment 20075663 Applied Materials Centura RTP XE Platform RTP Equipment 20075664 Applied Materials Centura RTP XE Platform RTP Equipment 200
75665 Applied Materials Centura RTP XE Platform RTP Equipment 20075666 Applied Materials Centura RTP XE Platform RTP Equipment 200
75667 Applied Materials Centura RTP XE Platform RTP Equipment 20075669 Applied Materials Centura RTP XEplus Platform RTP Equipment 20075670 Applied Materials Centura RTP XEplus Platform RTP Equipment 20075671 Applied Materials Centura RTP XEplus Platform RTP Equipment 20075240 Applied Materials Centura SACVD SACVD (Chemical Vapor Deposition 20070370 Applied Materials Centura SUPER-E Dielectric Etch 200 mm73181 Applied Materials Centura SUPER-E Dielectric Etch 8"30310 APPLIED MATERIALS CENTURA SUPER-E, MXP ETCHER - POLY 200 mm30309 APPLIED MATERIALS CENTURA SUPER-E, MXP ETCHER - POLY74208 Applied Materials Centura Ultima BPSG , HDP CVD 821260 Applied Materials Centura Ultima HDP CVD 200 mm75242 Applied Materials Centura Ultima HDP CVD (Chemical Vapor Depositi 20075244 Applied Materials Centura Ultima HDP CVD (Chemical Vapor Depositi 20075245 Applied Materials Centura Ultima HDP CVD (Chemical Vapor Depositi 20075247 Applied Materials Centura Ultima HDP CVD (Chemical Vapor Depositi 20023903 Applied Materials Centura Ultima HDP CVD process 200 mm23904 Applied Materials Centura Ultima HDP CVD process 200 mm36455 APPLIED MATERIALS CENTURA ULTIMA HDPCvD 200mm71805 Applied Materials Centura Ultima 5200 HDP CVD 200 mm71816 Applied Materials Centura Ultima 5200 HDP CVD 200 mm75249 Applied Materials Centura Ultima TE HDP CVD (Chemical Vapor Depositi 20075250 Applied Materials Centura Ultima X HDP CVD (Chemical Vapor Depositi 20075251 Applied Materials Centura Ultima X HDP CVD (Chemical Vapor Depositi 200
54939 APPLIED MATERIALS Centura Ultima+ Deposition Equipment, HDP CVD (Ch200mm74209 Applied Materials Centura UltimaX CVD 12
75252 Applied Materials Centura WSi Metal CVD (Chemical Vapor Deposit 30036456 APPLIED MATERIALS Centura Wsix CVD 200mm36457 APPLIED MATERIALS Centura Wsix 200mm75253 Applied Materials Centura WxZ Metal CVD (Chemical Vapor Deposit 20075254 Applied Materials Centura WxZ Metal CVD (Chemical Vapor Deposit 20075255 Applied Materials Centura WxZ Metal CVD (Chemical Vapor Deposit 20066388 Applied Materials Centura WxZ 3ch66389 Applied Materials Centura WxZ 3ch69793 Applied Materials Centura XE Plus RTP cluster tool 200 mm
55532 APPLIED MATERIALS Centura XE+ Rapid Thermal Processing 200 mm74210 Applied Materials Centura XZ CVD 12
71941 Applied Materials CENTURA_5200_OXIDE_OXIDE ETCHER 3 CHAMBER 8"71942 Applied Materials CENTURA_5200_OXIDE_OXIDE ETCHER 3 CHAMBER 8"71943 Applied Materials CENTURA_DPS+POLY_3 POLY ETCHER 3 CHAMBER 8"71944 Applied Materials CENTURA_DPS+POLY_3 POLY ETCHER 3 CHAMBER 8"71945 Applied Materials CENTURA_DPS+POLY_3 POLY ETCHER 3 CHAMBER 8"71946 Applied Materials CENTURA_DPS+POLY_3 POLY ETCHER 3 CHAMBER 8"60912 Applied Materials CENTURA-I PHASE II36459 APPLIED MATERIALS CENTURA, DPS poly 200mm74393 Applied Materials Centura1 DPS Poly 874394 Applied Materials Centura1 DPS Poly 874395 Applied Materials Centura1 DPS Poly 874396 Applied Materials Centura1 DPS Poly 874397 Applied Materials Centura1 DPS Poly 874398 Applied Materials Centura1 DPS+ Poly 874399 Applied Materials Centura2 eMAX Oxide 874400 Applied Materials Centura2 eMXP+ Oxide Etch 671947 Applied Materials CENTURA2_DPS+POLY_ POLY ETCHER 3 CHAMBER 8"71948 Applied Materials CENTURA2_DPS+POLY_ POLY ETCHER 3 CHAMBER 8"71949 Applied Materials CENTURA2_DPS+POLY_ POLY ETCHER 3 CHAMBER 8"71950 Applied Materials CENTURA2_DPS+POLY_ POLY ETCHER 3 CHAMBER 8"71951 Applied Materials CENTURA2_WIDE_DPS+ POLY ETCHER 3 CHAMBER 8"71952 Applied Materials CENTURA2_WIDE_DPS+ POLY ETCHER 3 CHAMBER 8"70217 Applied Materials CENTURA5200 POLY ETCH 200 mm
54451 Applied Materials CENTURADXZ CVD54460 Applied Materials CENTURAIPS ETCH
68119 Applied Materials COMPASS Partic le Inspection 200mm68120 Applied Materials COMPASS Partic le Inspection 200mm70666 APPLIED MATERIALS COMPASS PARTICLE INSPECTION70667 APPLIED MATERIALS COMPASS PARTICLE INSPECTION75522 Applied Materials Compass Wafer Inspection Equipment 20075523 Applied Materials Compass Wafer Inspection Equipment 20053187 Applied Materials Compass 200 Patterned Wafer Inspection System 200 mm22830 Applied Materials Compass 300 Patterned Wafer Inspection System 300 mm30573 APPLIED MATERIALS COMPASS PRO PATTERNED WAFER INSPECTION SYSTEM
30574 APPLIED MATERIALS COMPASS PRO PATTERNED WAFER INSPECTION SYSTEM50164 Applied Materials Compass Pro 200 Defect inspection system 200 mm
68121 Applied Materials Compass Pro200 Metrology Mask & Wafer Inspection 200mm74895 Applied Materials COMPASS-PRO Wafer Inspection 1270438 Applied Materials ComPLUS Darkfield Inspection 200 mm70440 Applied Materials ComPLUS Darkfield Inspection 200 mm53310 Applied Materials ComPlus This is an off-line ADC manager stat 200 mm
35886 Applied Materials ComPlus Wafer Inspection Equipment 200 mm35887 Applied Materials ComPlus Wafer Inspection Equipment 200 mm75524 Applied Materials ComPlus - 2T Wafer Inspection Equipment 30075525 Applied Materials ComPlus - 2T Wafer Inspection Equipment 30075526 Applied Materials ComPlus - 2T Wafer Inspection Equipment 30075527 Applied Materials ComPlus - 2T Wafer Inspection Equipment 30053278 Applied Materials ComPlus - 3T Complus MP Patterned Wafer Inspe 200 mm75528 Applied Materials ComPlus - MP Wafer Inspection Equipment 20075529 Applied Materials ComPlus - MP Wafer Inspection Equipment 20075933 Applied Materials ComPlus 2T Wafer inspection 300 mm75934 Applied Materials ComPlus 2T Wafer inspection 300 mm75935 Applied Materials ComPlus 2T Wafer inspection 300 mm75936 Applied Materials ComPlus 2T Wafer inspection 300 mm74725 Applied Materials COMPLUS EV Wafer Inspection 870439 Applied Materials ComPLUS MP Darkfield Inspection 200 mm30580 APPLIED MATERIALS COMPLUS MP PATTERNED WAFER INSPECTION SYSTEM74726 Applied Materials COMPLUS MP Wafer Inspection 861434 Applied Materials DPS poly Etcher 200mm
68483 Applied Materials DPS poly Etcher 200mm70668 APPLIED MATERIALS DPS poly ETCHER 200mm
71953 Applied Materials DPS_G3_3CH DRY ETCH 3 CHAMBER 12"54461 Applied Materials DPS_POLY ETCH54453 Applied Materials DPS+POLY ETCH54456 Applied Materials DPS+POLY (CENTURAI,PHETCH54454 Applied Materials DPS+POLY (CENTURAI) ETCH54455 Applied Materials DPS+POLY (CENTURAI) ETCH74401 Applied Materials DPS532 Metal Etcher 1274402 Applied Materials DPS532 Metal Etcher 1274403 Applied Materials DPS532 Metal Etcher 12
72062 Applied Materials DPSa AXION POLY ETCH Chamber Only 12"62471 Applied Materials DR SEMVision cX Defect Review & Classification 200mm
60492 Applied Materials DR SEMVision cX Defect Review & Classification 200 mm64422 Applied Materials DR-9300 Modification of Neat Stg to Anarod Stg
64436 Applied Materials DRSEM Advance Auto Review SEM64451 Applied Materials DRSEM Workstation for ADC Analysis74404 Applied Materials eMAX CT Etcher 12
74405 Applied Materials eMAX CT Etcher 1274406 Applied Materials eMAX CT Etcher 1271954 Applied Materials EMAX CT_3CH DRY ETCH 3 CHAMBER 12"71955 Applied Materials EMAX CT_3CH DRY ETCH 3 CHAMBER 12"71956 Applied Materials EMAX CT+_2CH DRY ETCH 3 CHAMBER 12"74407 Applied Materials eMAX_CT(Chamer Only) 1274408 Applied Materials Enabler OXIDE ETCH 1274409 Applied Materials Enabler TOSZ ETCH BACK 1269819 APPLIED MATERIALS Endura 3 Chamber PVD74962 Applied Materials Endura Al, TiN 637634 APPLIED MATERIALS ENDURA PVD
74963 Applied Materials ENDURA PVD 874964 Applied Materials ENDURA PVD 876059 Applied Materials Endura PVD 876061 Applied Materials Endura PVD 876058 Applied Materials Endura PVD 8 inch76060 Applied Materials Endura PVD 8 inch75140 Applied Materials Endura pvd system 200 mm75141 Applied Materials Endura pvd system 200 mm75142 Applied Materials Endura pvd system 200 mm75143 Applied Materials Endura pvd system 200 mm63746 APPLIED MATERIALS ENDURA 5500 1X SIP TA (N), 1X SIP CU CHM 200mm63747 APPLIED MATERIALS ENDURA 5500 1X SIP TA (N), 1X SIP CU CHM 200mm63748 APPLIED MATERIALS ENDURA 5500 1X SIP TA (N), 1X SIP CU CHM 200mm53136 Applied Materials Endura 5500 Aluminum Nitride chambers 200mm55496 APPLIED MATERIALS Endura 5500 Deposition Equipment, PVD (Physica200mm71814 Applied Materials endura 5500 PVD 200 mm64169 Applied Materials ENDURA 5500 PVD75642 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 15075641 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075643 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 200
75644 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075645 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075646 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 200
75647 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075648 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 200
75649 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075650 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075651 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075652 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075653 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075654 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075656 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075657 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075658 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20075659 Applied Materials Endura 5500 PVD (Physical Vapor Deposition) 20064170 Applied Materials ENDURA 5500 PVD (Physical vapor deposition) system
69240 Applied Materials Endura 5500 PVD (Physical vapor deposition) sy 150 mm 72892 Applied Materials Endura 5500 PVD (Physical vapor deposition) sy 150 mm 69233 Applied Materials Endura 5500 PVD (Physical vapor deposition) sy 200 mm 69234 Applied Materials Endura 5500 PVD (Physical vapor deposition) sy 200 mm 69235 Applied Materials Endura 5500 PVD (Physical vapor deposition) sy 200 mm 69231 Applied Materials Endura 5500 PVD (Physical vapor deposition) sy 200 mm 72893 Applied Materials Endura 5500 PVD (Physical vapor deposition) sy 200 mm 72894 Applied Materials Endura 5500 PVD (Physical vapor deposition) sy 200 mm 55999 Applied Materials Endura 5500 PVD cluster tool 150 mm55989 Applied Materials Endura 5500 PVD cluster tool 150 mm73006 APPLIED MATERIALS ENDURA 5500 PVD CLUSTER TOOL 8"73007 APPLIED MATERIALS ENDURA 5500 PVD CLUSTER TOOL 8"70263 Applied Materials ENDURA 5500 PVD ELECTRA CU 200 mm70264 Applied Materials ENDURA 5500 PVD ELECTRA CU 200 mm34429 Applied Materials Endura 5500 PVD sputter system ALPS Al / Ti 200 MM63744 APPLIED MATERIALS ENDURA 5500 PVD SYS, APPLIED ENDURA 5500 200mm63745 APPLIED MATERIALS ENDURA 5500 PVD SYS, APPLIED ENDURA 5500 200mm75752 Applied Materials Endura 5500 PVD system, (IMP/2xW 101,1TiN CV 200 mm64182 Applied Materials ENDURA 5500 150mm64185 Applied Materials ENDURA 5500 150mm
64186 Applied Materials ENDURA 5500 150mm64173 Applied Materials ENDURA 550064174 Applied Materials ENDURA 550064175 Applied Materials ENDURA 550058356 Applied Materials Endura 5500 200 mm71537 APPLIED MATERIALS Endura 5500 200 mm71538 APPLIED MATERIALS Endura 5500 200 mm72895 Applied Materials Endura 5500 / Centura 52 wide body loadlocks 200 mm 73288 Applied Materials Endura 5500 Aluminum Int PVD (Physical Vapor Deposition) 6"73290 Applied Materials Endura 5500 Aluminum Int PVD (Physical Vapor Deposition) 6"73289 Applied Materials Endura 5500 Aluminum Int PVD (Physical Vapor Deposition) 8"54134 Applied Materials Endura 5500 Chamber PreClean ?Chamber54133 Applied Materials Endura 5500 Chamber Standard Body54132 Applied Materials Endura 5500 Chamber Water Cooled Standard Body54131 Applied Materials Endura 5500 Chamber Wide Body75978 Applied Materials Endura 5500 HP Metal PVD (Al / Cu) 150 mm
74965 Applied Materials ENDURA 5500 HP PVD 873291 Applied Materials Endura 5500 Liner/Barrier PVD (Physical Vapor Deposition) 8"73292 Applied Materials Endura 5500 Liner/Barrier PVD (Physical Vapor Deposition) 8"73293 Applied Materials Endura 5500 Liner/Barrier PVD (Physical Vapor Deposition) 8"72063 Applied Materials ENDURA CHAMBER Chamber Only 12"20449 Applied Materials ENDURA CHAMBER Endura chambers 300mm 300mm72056 Applied Materials ENDURA II PRE CLEAN Chamber Only 12"72057 Applied Materials ENDURA II PRE CLEAN Chamber Only 12"72058 Applied Materials ENDURA II PRE CLEAN Chamber Only 12"72060 Applied Materials ENDURA II PRE CLEAN Chamber Only 12"72059 Applied Materials ENDURA RE-FLOW CHA Chamber Only 12"72061 Applied Materials ENDURA RE-FLOW CHA Chamber Only 12"72054 Applied Materials ENDURA TXZ CHAMBER Chamber Only 12"72055 Applied Materials ENDURA TXZ CHAMBER Chamber Only 12"74966 Applied Materials ENDURA-Liner PVD 870564 Applied Materials ENDURA(CHAMBER) METAL PVD70565 Applied Materials ENDURA(CHAMBER) PVD70566 Applied Materials ENDURA(CHAMBER) PVD54485 Applied Materials ENDURA5500 PVD54487 Applied Materials ENDURA5500 PVD
11003 Applied Materials EXCITE IPM 832 BARE SILICON WAFER INSPECTION200 MM54855 Applied Materials Heaters Applied Materials Ceramic Heaters 12"22846 Applied Materials Hercules Hercules Lift for 300mm Endura
19206 APPLIED MATERIALS IMP CVD ADH CHAMBER KSPARES 200 mm22842 Applied Materials IPS Generator Rack
74410 Applied Materials MARIANA(Chamber) 1275899 Applied Materials Mirra CMP Polisher 150 mm
70105 Applied Materials Mirra CMP, For copper process 200 mm70106 Applied Materials Mirra CMP, For copper process 200 mm70340 Applied Materials Mirra Dielectric CMP 200 mm73127 Applied Materials Mirra Dielectric CMP 8"
Centura DCVDLamp?
75200 Applied Materials Mirra - ILD ILD (InterLayer Dielectric) CMP Syst 20075201 Applied Materials Mirra - Tungsten Metal Layer CMP System (Tungsten 20069710 Applied Materials Mirra 3400 CMP 200 mm70151 Applied Materials MIRRA 3400 CMP 200 mm60566 Applied Materials Mirra 3400 CMP - BPSG60567 Applied Materials Mirra 3400 CMP - PCCMP60565 Applied Materials Mirra 3400 CMP - SON 200 mm60568 Applied Materials Mirra 3400 CMP - SON 200 mm60564 Applied Materials Mirra 3400 CMP - STI / SOD / SON 200 mm60559 Applied Materials Mirra 3400 CMP - STI SOD 200 mm60560 Applied Materials Mirra 3400 CMP - STI SOD 200 mm60561 Applied Materials Mirra 3400 CMP - STI SOD 200 mm
60562 Applied Materials Mirra 3400 CMP - STI SOD 200 mm60563 Applied Materials Mirra 3400 CMP - STI SON 200 mm
60558 Applied Materials Mirra 3400 CMP BPSG/ILD 200 mm63657 APPLIED MATERIALS MIRRA 3400 NON-SMIF MIRRA TRAK 200mm
70150 Applied Materials MIRRA 3400 POLY CMP 200 mm74181 Applied Materials Mirra AS2000 Copper CMP 874182 Applied Materials Mirra AS2000 Copper CMP 874183 Applied Materials Mirra AS2000 Copper CMP 874184 Applied Materials Mirra AS2000 Copper CMP 874185 Applied Materials Mirra AS2000 Copper CMP 874186 Applied Materials Mirra AS2000 Copper CMP 8
74187 Applied Materials Mirra AS2000 Oxide CMP 874188 Applied Materials Mirra AS2000 Oxide CMP 874189 Applied Materials Mirra AS2000 TUNGSTEN CMP 874190 Applied Materials Mirra AS2000 TUNGSTEN CMP 874191 Applied Materials Mirra AS2000 TUNGSTEN CMP 875721 Applied Materials Mirra Mesa CMP Polisher61316 Applied Materials MIRRA MESA POLISHER W/ MESA CLEANER, CU 200mm37759 Applied Materials Mirra Ontrak CMP STI73300 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73301 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73302 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73303 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73304 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73305 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73306 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73307 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73308 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73309 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73310 Applied Materials Mirra Polishing Head Parts/Peripherals 8"73311 Applied Materials Mirra Polishing Head Parts/Peripherals 8"68027 Applied Materials Mirra Track CMP system 200 MM64370 Applied Materials MIRRA TRACK MIRRA TRACK CMP 200mm70154 Applied Materials MIRRA TRAK CMP POLISHER 200 mm70153 Applied Materials MIRRA TRAK CMP POLISHER 200 mm70152 Applied Materials MIRRA TRAK CMP POLISHER 200 mm61244 Applied Materials MIRRA TRAK CMP/SCRUBBER-ILD 200mm61245 Applied Materials MIRRA TRAK CMP/SCRUBBER-STI 200mm61242 Applied Materials MIRRA TRAK CMP/SCRUBBER, CU 200mm61243 Applied Materials MIRRA TRAK CMP/SCRUBBER, TUNGSTEN 200mm
75202 Applied Materials Mirra Trak - ILD ILD (InterLayer Dielectric) CMP Syst 20075203 Applied Materials Mirra Trak - ILD ILD (InterLayer Dielectric) CMP Syst 20075204 Applied Materials Mirra Trak - ILD ILD (InterLayer Dielectric) CMP Syst 20067102 APPLIED MATERIALS MIRRA-TRAK CMP - OXIDE 200mm63658 APPLIED MATERIALS MIRRA-TRAK NON-SMIF MIRRA TRAK 200mm63659 APPLIED MATERIALS MIRRA-TRAK NON-SMIF MIRRA TRAK 200mm63660 APPLIED MATERIALS MIRRA-TRAK NON-SMIF MIRRA-TRAK 200mm75530 Applied Materials NanoSEM CD SEM 20075531 Applied Materials NanoSEM CD SEM 20035889 Applied Materials NanoSEM 3D CD SEM 200 mm70443 Applied Materials NanoSEM 3D Retic le CD SEM n/a30626 APPLIED MATERIALS NANOSEM 3D SEM - CD (CRITICAL DIMENSION) 30070446 Applied Materials NanoSEM 3D SEM - Critical Dimension (CD) Mea 200 mm70447 Applied Materials NanoSEM 3D SEM - Critical Dimension (CD) Mea 300 mm53556 Applied Materials NanoSEM 3D SEM - Critical Dimension (CD) Mea 300mm74724 Applied Materials Orbot WF720 Metrology 556768 Applied Materials P 5000 1 ch. MkII75764 Applied Materials P 5000 3 X USG CHAMBER 200 MM75763 Applied Materials P 5000 3 X USG CHAMBER AND ONE SPU 200 MM71957 Applied Materials P_5000 (2CVD / 1 ETCH) CLUSTER TOOL 8"71958 Applied Materials P_5000_MXP+_POLY POLY DRY ETCH 8"74211 Applied Materials P-5000 2CH/ WCVD 659696 Applied Materials P-5000 CVD 200 mm74212 Applied Materials P-5000 CVD 874213 Applied Materials P-5000 CVD 862302 Applied Materials P-5000 Dielectric CVD TEOS 200mm62303 Applied Materials P-5000 Dielectric CVD TEOS 200mm60388 Applied Materials P-5000 Dielectric CVD TEOS 200 mm60389 Applied Materials P-5000 Dielectric CVD TEOS 200 mm35762 Applied Materials P-5000 Dielectric CVD, TEOS 3CH(A,B,D)35763 Applied Materials P-5000 Dielectric CVD, TEOS 4CH(A,B,D)35764 Applied Materials P-5000 Dielectric CVD, TEOS 5CH(A,B,D)35765 Applied Materials P-5000 Dielectric CVD, TEOS 6CH(A,B,D)74214 Applied Materials P-5000 LTO CVD 874215 Applied Materials P-5000 LTO CVD, Ozone TEOS 874216 Applied Materials P-5000 LTO CVD, Ozone TEOS 8
74217 Applied Materials P-5000 LTO CVD, Ozone TEOS 874218 Applied Materials P-5000 LTO CVD, TEOS 874219 Applied Materials P-5000 LTO CVD,TEOS 874411 Applied Materials P-5000 Metal Etch 668414 Applied Materials P-5000 P-CVD 125mm74220 Applied Materials P-5000 PECVD 573144 Applied Materials P-5000 PECVD (Chemical Vapor Deposition 6"74221 Applied Materials P-5000 PETEOS 674412 Applied Materials P-5000 Plasma Etch 574413 Applied Materials P-5000 Plasma Etch 574414 Applied Materials P-5000 Poly 674418 Applied Materials P-5000 Poly 674415 Applied Materials P-5000 Poly 874416 Applied Materials P-5000 Poly 874417 Applied Materials P-5000 Poly 874419 Applied Materials P-5000 SiO2 Etch 674420 Applied Materials P-5000 SIO2 Etch 674222 Applied Materials P-5000 TEOS 574223 Applied Materials P-5000 TEOS 674224 Applied Materials P-5000 TEOS 674421 Applied Materials P-5000 W Etch 674424 Applied Materials P-5000 W Etch 674422 Applied Materials P-5000 W Etch 874423 Applied Materials P-5000 W Etch 874425 Applied Materials P-5000 W Etch 836480 APPLIED MATERIALS P-500068415 Applied Materials P-5000 (TEOS) P-CVD 125mm
68416 Applied Materials P-5000 (TEOS) P-CVD 125mm67811 Applied Materials P-5000 2 chbr 2 chbr SACVD-BPTEOS67810 Applied Materials P-5000 2-dep, 1 etch 2 PECVD 67812 Applied Materials P-5000 3 chbr 3 chbr SACVD-BPTEOS36482 APPLIED MATERIALS P-5000 CVD SACVD 200mm36481 APPLIED MATERIALS P-5000 CVD SACVD, Ozone TEOS 200mm36483 APPLIED MATERIALS P-5000 CVD SACVD, Ozone TEOS 200mm
60390 Applied Materials P-5000 DCVD xP Oxide PECVD 200 mm36484 APPLIED MATERIALS P-5000 DxL DxL 200mm36485 APPLIED MATERIALS P-5000 DxL DxL 200mm36487 APPLIED MATERIALS P-5000 DxL DxL, TEOS 150mm36486 APPLIED MATERIALS P-5000 DxL DxL, TEOS 200mm60909 Applied Materials P-5000 DxL 4CH36488 APPLIED MATERIALS P-5000 METAL METAL 150mm62305 Applied Materials P-5000 MK-II Nitride PECVD 200mm62306 Applied Materials P-5000 MK-II Nitride PECVD 200mm60392 Applied Materials P-5000 MK-II Nitride PECVD 200 mm60393 Applied Materials P-5000 MK-II Nitride PECVD 200 mm62366 Applied Materials P-5000 MK-II Oxide Etch 200mm60439 Applied Materials P-5000 MK-II Oxide Etch 200 mm60440 Applied Materials P-5000 MK-II Oxide Etch 200 mm60441 Applied Materials P-5000 MK-II Oxide Etch 200 mm62307 Applied Materials P-5000 MK-II Oxide PECVD 200mm60391 Applied Materials P-5000 MK-II Oxide PECVD 200 mm34794 Applied Materials P-5000 MK-II PO Nitride CVD System w/ 2 X DCVD 200mm36489 APPLIED MATERIALS P-5000 MXP POLY 200mm73182 Applied Materials P-5000 MxP Polysilicon Etch 6"73183 Applied Materials P-5000 MxP Polysilicon Etch 8"73184 Applied Materials P-5000 MxP Polysilicon Etch 8"70598 Applied Materials P-5000 MXP+ DRY ETCH36490 APPLIED MATERIALS P-5000 MxP+ Oxide, OPTIMA TYPE 200mm60911 Applied Materials P-5000 MxP+ Oxide 3C/H, OPTIMA36492 APPLIED MATERIALS P-5000 OXIDE OXIDE, OPTIMA TYPE 200mm36493 APPLIED MATERIALS P-5000 OXIDE OXIDE, OPTIMA TYPE 200mm36494 APPLIED MATERIALS P-5000 OXIDE OXIDE, OPTIMA TYPE 200mm74426 Applied Materials P-5030E Metal Etch 6
74427 Applied Materials P-5030E Metal Etch 674428 Applied Materials P-5030E Metal Etch 674429 Applied Materials P-5030E Metal Etch 674430 Applied Materials P-5090E Etch 874431 Applied Materials P-5090E Etch 874432 Applied Materials P-5090E Etch 874433 Applied Materials P-5090E Etch 875760 Applied Materials P5000 1 chamber MXP Plus / 1 chamber Mk 200 mm75761 Applied Materials P5000 2 chamber BPSG CVD 200 mm75762 Applied Materials P5000 2 chamber BPSG CVD 200 mm75757 Applied Materials P5000 2 chamber MXP Plus 200 mm75758 Applied Materials P5000 2 chamber MXP Plus 200 mm75915 Applied Materials P5000 2 X TEOS CVD AND 1 X MXP ETCH 200 MM75759 Applied Materials P5000 3 chamber MXP Plus 200 mm70160 Applied Materials P5000 4 ch DARC CVD 200 mm70161 Applied Materials P5000 4 CH PE NITRIDE / XZ 200 mm71507 APPLIED MATERIALS P5000 BACK NITRIDE ETCH 200 mm62452 Applied Materials P5000 BPTEOS/TEOS 150mm54021 Applied Materials P5000 CVD System 200 mm75918 Applied Materials P5000 Dielectric CVD 200 MM74435 Applied Materials P5000 Dry Etch 474434 Applied Materials P5000 Dry Etch 862453 Applied Materials P5000 Mark II Mainframe 150mm62454 Applied Materials P5000 Mark II Mainframe 150mm60490 Applied Materials P5000 Mark II Mainframe 150 mm60491 Applied Materials P5000 Mark II Mainframe 150 mm75914 Applied Materials P5000 Nitride etch 200 MM71509 APPLIED MATERIALS P5000 OX 200 mm75990 Applied Materials P5000 Oxide CVD 200 mm70216 Applied Materials P5000 OXIDE ETCH 200 mm34104 Applied Materials P5000 P-CVD Teos70183 Applied Materials P5000 PE NITRIDE CVD 200 mm75913 Applied Materials P5000 Poly Etch 3 ch mxp 150 mm71508 APPLIED MATERIALS P5000 SPC 200 mm62455 Applied Materials P5000 TEOS DEPOSITION SYSTEM 150mm62456 Applied Materials P5000 TEOS DEPOSITION SYSTEM 150mm
62457 Applied Materials P5000 TEOS/BPSG DEPOSITION 150mm71506 APPLIED MATERIALS P5000 VIA 200 mm75989 Applied Materials P5000 WCVD 200 mm75916 Applied Materials P5000 200 MM75917 Applied Materials P5000 200 MM68466 Applied Materials P5000 (SiO2/SiN) Dry Etching 150mm66409 Applied Materials P5000 Etch 3ch62331 Applied Materials P5000 Mark 2 MXP Oxide Etch 150mm63788 Applied Materials P5000 Mark 2 MXP Oxide Etch System 200mm66012 Applied Materials P5000 Mark 2 MXP+ Oxide Etch 200mm68484 Applied Materials P5000 Mark II SiO2 Dry Etching 200mm75256 Applied Materials P5000 Multi-Process CVD Multi-Process CVD 20075257 Applied Materials P5000 Multi-Process CVD Multi-Process CVD 20075258 Applied Materials P5000 Multi-Process CVD Multi-Process CVD 20074436 Applied Materials P5000 MXP Dry Etch 474437 Applied Materials P5000 MXP Dry Etch 474438 Applied Materials P5000 MXP+ Dry Etch 474439 Applied Materials P5000 MXP+ Dry Etch 467689 Applied Materials P5000 MXP+ Optima Poly Tool 53288 Applied Materials P5000 PECVD 200 mm75259 Applied Materials P5000 SACVD SACVD (Chemical Vapor Deposition 20075260 Applied Materials P5000 TEOS Multi-Process CVD 20075261 Applied Materials P5000 TEOS Multi-Process CVD 20075262 Applied Materials P5000 TEOS Multi-Process CVD 20075263 Applied Materials P5000 TEOS Multi-Process CVD 20075264 Applied Materials P5000 TEOS Multi-Process CVD 20075265 Applied Materials P5000 TEOS Multi-Process CVD 20075266 Applied Materials P5000 TEOS Multi-Process CVD 20075267 Applied Materials P5000 TEOS Multi-Process CVD 20075268 Applied Materials P5000 TEOS Multi-Process CVD 20075269 Applied Materials P5000 TEOS Multi-Process CVD 20075270 Applied Materials P5000 TEOS Multi-Process CVD 20075271 Applied Materials P5000 TEOS Multi-Process CVD 20075272 Applied Materials P5000 TEOS Multi-Process CVD 200
75273 Applied Materials P5000 TEOS Multi-Process CVD 20074440 Applied Materials P5000E Poly 8
74441 Applied Materials P5000MXP Dry Etch 470244 Applied Materials P5000MxP OXIDE ETCH 200 mm19207 APPLIED MATERIALS PC II ADH KIT SPARES 200 mm19202 APPLIED MATERIALS PC II COBALT CHAMBER KSPARES 200 mm68528 Applied Materials PI-9500 Ion Implanter (High Current) 200mm68529 Applied Materials PI-9500 Ion Implanter (High Current) 200mm74526 Applied Materials PI-9500XR Implanter 874527 Applied Materials PI-9500XR Implanter 874528 Applied Materials PI9500 Implant 474529 Applied Materials PI9500 Implant 453387 Applied Materials Polishing Head Applied Materials Contour Head Conv200 mm50947 APPLIED MATERIALS PRECISION 5000 Oxide Etch System w/ 2 X MxP Cha 200mm74225 Applied Materials Producer BPSG 874226 Applied Materials Producer BPSG 874227 Applied Materials Producer BPSG 862308 Applied Materials Producer BPSG SACVD (Standard) System (3 200mm60394 Applied Materials Producer BPSG SACVD (Standard) System (3 200 mm75919 Applied Materials Producer CVD 200 MM22832 Applied Materials PRODUCER Etch Chambers / Missing Some Parts67271 Applied Materials Producer PECVD (Chemical Vapor Deposition 200mm72926 APPLIED MATERIALS PRODUCER BPSG 3 twin chamber CVD cluster to 200 mm36496 APPLIED MATERIALS PRODUCER CVD 200mm62661 Applied Materials Producer 72859 Applied Materials Producer 3 TEOS CVD Cluster tool, TEOS process, 3 200 mm 19372 Applied Materials Producer BPSG BPSG SACVD (Standard Body) Syste200 mm66789 Applied Materials Producer CVD Deposition Equipment, PECVD (Chem200mm75274 Applied Materials Producer CVD PECVD (Chemical Vapor Deposition 200
75275 Applied Materials Producer CVD PECVD (Chemical Vapor Deposition 20075276 Applied Materials Producer CVD PECVD (Chemical Vapor Deposition 20075278 Applied Materials Producer CVD PECVD (Chemical Vapor Deposition 20075279 Applied Materials Producer CVD PECVD (Chemical Vapor Deposition 20075280 Applied Materials Producer CVD PECVD (Chemical Vapor Deposition 20036641 APPLIED MATERIALS Producer DCVD CVD 200 mm36640 APPLIED MATERIALS Producer DCVD D-CVD 200 mm
74228 Applied Materials Producer GT CVD 1275281 Applied Materials Producer PECVD PECVD (Chemical Vapor Deposition 200
53291 Applied Materials Producer S (XY) PE DARC/NITRIDE TWIN Configu200 mm53290 Applied Materials Producer S Bought 3/2004Producer S 3-Twin Cha200 mm
53289 Applied Materials Producer S Configuration available upon reques200 mm61214 Applied Materials PRODUCER S Main Frame, Parts System Only75282 Applied Materials Producer S PECVD (Chemical Vapor Deposition 20073145 Applied Materials Producer S PECVD Silane PECVD (Chemical Vapor Deposition 8"70353 Applied Materials Producer S PECVD TEOS PECVD (Chemical Vapor Deposition 200 mm74229 Applied Materials Producer SE PECVD 1274230 Applied Materials Producer SE PETEOS 1270156 Applied Materials PRODUCER SE SACVD BPTEOS 200 mm70167 Applied Materials PRODUCER SE TWIN ACTC CVD 200 mm70165 Applied Materials PRODUCER SE TWIN SACVD BPSG 200 mm70164 Applied Materials PRODUCER SE TWIN SACVD BPSG 200 mm74231 Applied Materials Producer Shrink BPSG 874232 Applied Materials Producer Shrink BPSG 836256 Applied Materials Producer Shrink DCVD D-CVD twin c luster tool 200 mm74442 Applied Materials Producer Split ACL 874443 Applied Materials Producer Split Harp 871213 Applied Materials Producer TEOS TEOS CVD70176 Applied Materials PRODUCER TWIN SACVD BPSG 200 mm63667 APPLIED MATERIALS PRODUCER-S CVD PETEOS 200 mm75435 Applied Materials Quantum X High Current Ion Implanter (Low Ene 30075436 Applied Materials Quantum X High Current Ion Implanter (Low Ene 30070107 Applied Materials Quantum X High current low energy ion implant 300 mm70108 Applied Materials Quantum X High current low energy ion implant 300 mm72960 APPLIED MATERIALS QUANTUM X IMPLANTER 12"76057 Applied Materials QUANTUM X Implanter 12 INCH
65322 Applied Materials Quantum X 74530 Applied Materials QUANTUM_X Implanter 1274531 Applied Materials QUANTUM_X Implanter 1270526 Applied Materials Radiance Platform RTP Equipment 300 mm70525 Applied Materials RadiancePlus Platform RTP Equipment 300 mm22858 Applied Materials Reflexion 300mm to 200mm capable tool conversion kit. New in Crates69853 Applied Materials Reflexion CMP Metal Layer Polisher (Tungsten300 MM 74192 Applied Materials Reflexion Oxide CMP 1275205 Applied Materials Reflexion - Tungsten Metal Layer CMP System (Tungsten 300
71922 Applied Materials Reflexion (Parts) Cleaner module from Applied Material300 mm71466 APPLIED MATERIALS REFLEXION 3600 CMP POLISHER 300 MM
71447 Applied Materials SEM Vision CX Scanning-Electron-Beam-Defect review63756 APPLIED MATERIALS SEMIVISION CX REVIEW SEM W/EDX 200mm71959 Applied Materials SEMVISION INSPECTION SEM 8"70268 Applied Materials SEMVISION CX CD SEM 200 mm75532 Applied Materials SEMVision cX DR SEM 20075533 Applied Materials SEMVision cX DR SEM 20075534 Applied Materials SEMVision cX DR SEM 20071960 Applied Materials SEMVISION CX INSPECTION SEM 8"63757 APPLIED MATERIALS SEMVISION CX REVIEW SEM 200mm74727 Applied Materials SEMVision CX Review SEM 875157 Applied Materials SEMVISION CX Review SEM 5030905000070274 Applied Materials SEMVISION CX SEM 200 mm73204 Applied Materials SEMVision CX SEM - Defect Review (DR) 8"74728 Applied Materials SemVision CX SEM Review 874729 Applied Materials SemVision CX SEM Review 822833 Applied Materials SemVision CX Plus DR SEM 200 mm73205 Applied Materials SemVision CX Plus SEM - Defect Review (DR) 8"75535 Applied Materials SEMVision G2 DR SEM 20075536 Applied Materials SEMVision G2 DR SEM73206 Applied Materials SEMVision G2 Plus SEM - Defect Review (DR) 12"71491 Applied Materials Semvision G2+ FIB FIB SEM 300 MM32804 Applied Materials SEMVision G3 FIB Applied Materials SEMVision G3 FIB 300 mm67856 Applied Materials SEMVISION_CX Review SEM
60046 Applied Materials SEMVISION_CX Review SEM SEMVISION_CX 200 mm54457 Applied Materials SUPER_E ETCH74233 Applied Materials TECTRA TiN CVD 874234 Applied Materials TECTRA TiN CVD 874235 Applied Materials TECTRA TiN CVD 874236 Applied Materials TECTRA TiN CVD 874237 Applied Materials TECTRA TiN CVD 874238 Applied Materials TECTRA TiN CVD 860913 Applied Materials TxZ 3C/H TECTRA75765 Applied Materials Ultima 5200 Centura CVD, 3 chambers HDP PSG 200 mm70599 Applied Materials ULTIMA-X CVD
50797 Applied Materials UNIT UFC-1100 100 SCC UNIT UFC-1100 100 SCCM N2 for P500075537 Applied Materials UVision Wafer Inspection Equipment 300
73008 APPLIED MATERIALS VANTAGE RTP processing system 12"70273 Applied Materials VERA SEM3D SEM 200 mm35891 Applied Materials VeraSEM CD SEM 200 mm75538 Applied Materials VeraSEM 3D CD SEM 20056882 Applied Materials VeraSEM 3D CD SEM 300 mm / 200 MM 71961 Applied Materials VERASEM 3D INSPECTION SEM 8"74732 Applied Materials Verity CD SEM 1274733 Applied Materials Verity CD SEM 1274730 Applied Materials Verity CD SEM 874731 Applied Materials Verity CD SEM 874734 Applied Materials Verity SEM 874735 Applied Materials Verity SEM 862504 Applied Materials Verity Plus II SEM 3 pots 300mm60533 Applied Materials Verity Plus II SEM 3 pots 300 mm75539 Applied Materials VeritySEM CD SEM 20075540 Applied Materials VeritySEM CD SEM 200
72918 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Mea 300 mm73207 Applied Materials VeritySEM 3 SEM - Critical Dimension (CD) Mea 12"70448 Applied Materials VeritySEM 3 SEM - Critical Dimension (CD) Mea 300 mm71570 APPLIED MATERIALS WF720 WAFER INSPECTION71636 APPLIED MATERIALS WF720 WAFER INSPECTION69791 Applied Materials Xe Plus chamber Chamber, RTP 200 mm69792 Applied Materials Xe Plus chamber Chamber, RTP 200 mm
35996 Applied Materials xR80 Implanter67818 Applied Materials XR80 ION IMPLANTATION17346 Applied Materials XR80 Implant 200 mm 19203 APPLIED MATERIALS ZDP Ti Kit SPARES 200 mm19205 APPLIED MATERIALS ZDP Ti Kit SPARES 200 mm64379 Applied Materials CENT IPS_OXIDE-NITRIDE60920 Applied Materials Centura MxP+ Oxide60921 Applied Materials Centura MxP+ Oxide60922 Applied Materials Centura MxP+ Oxide72887 Applied Materials DPS+ Poly Chamber for Centura II50185 Applied Materials Emax oxide etchers 200mm
60908 Applied Materials Mirra on track64272 Applied Materials SINGen Chamber
60550 Applied Materials P-5000 CVD 200 mm56699 Applied Materials 0010-04542 WxZ CPR Heater Block69963 Applied Materials 0010-16858 CSP601 Pedestal 300MM SDMCA FDR SLT ESC ASSY
56695 Applied Materials 0010-27430 MCA refurbished56698 Applied Materials 0010-29443 Centre Hot Vacuum chuck
56701 Applied Materials 0010-34715 Heater75151 Applied Materials 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT W/WT 22864 Applied Materials 0190-15079 MX-500 Recirculating Chiller / New71836 Applied Materials 0200-3663162209 Applied Materials AME 833034096 Applied Materials Centura TiCl4 CVD57771 Applied Materials Ceramic Heater 300mm Ceramic Heaters22862 Applied Materials EXCITE Wafer Inspection High Speed Partic le Detection System56693 Applied Materials Heaters 12" Heaters 56262 Applied Materials IMP Chamber IMP and PC11 Chambers56795 Applied Materials Mirra CMP Mirra CMP / Scrubber ST1
61521 Applied Materials MIRRA? TRAK? CMP18487 Applied Materials ORBOT 736 WAFER INSPECTION SYSTEM 200 mm61523 Applied Materials P5000 Optima P5000 MxP+ Etcher 200 mm69859 Applied Materials P5000 P5000 MxP, 2CH 200mm61522 Applied Materials P5000 150 mm71240 Applied Materials VHP+ Robot Refurbished VHP+ Robot 66721 APPLIED MATERIALS / Applie 5000 DSAD ILD 200mm66708 APPLIED MATERIALS / Applie 5000 PECVD NITRIDE PARC 200mm66725 APPLIED MATERIALS / Applie 5500 ENDURA C4SPUTTER-1DG,1PCII,1Al,1Ti,1Ni 300mm71564 Applied Materials / Applied M Producer Split 3 Twin SIN 200 mm66713 APPLIED MATERIALS / Applie QUANTUMX+ SINGLE WAFER HIGH CURRENT I 300mm66726 APPLIED MATERIALS / Applie REFLEXIONS POLISHER, STI 300mm66737 APPLIED MATERIALS / Applie SEM VISION REVIEWSTATION, SEM DEFECT 300mm66735 APPLIED MATERIALS / Applie SEM VISION CX AUTO DEFECT REVIEW SEM/EDX 200mm66736 APPLIED MATERIALS / Applie SEM VISION CX AUTO DEFECT REVIEW SEM/EDX+H200mm66738 APPLIED MATERIALS / Applie SEM VISION G4MAX REVIEWSTATION, SEM DEFECT 300mm66727 APPLIED MATERIALS / Applie ULTIMA X ILD DEPOSITION 300mm66728 APPLIED MATERIALS / Applie ULTIMA X STI DEPOSITION 300mm66709 APPLIED MATERIALS / Applied Materials STI DEP ETCH 200mm22866 Applied Materials / Asyst 3403-03992 300mm Universal Load Port
22868 Applied Materials / Asyst 3403-03993 300mm Universal Load Port36655 Applied Measurment DS 210 Pressure Sensor73208 Applied Precis ion ProbeWoRx 300 Probe Card Analyzer
73209 Applied Precis ion ProbeWoRx 300 Probe Card Analyzer12071 Applied Precis ion Microburst Ultra Sonic Cleaner 150 MM
68905 Aprova Ceramic wirebond capilares67127 APT / CONVAC 3110 Mask Cleaner59998 ASA ASA 9500 Ball Attach Ball Attach 150 mm53311 ASA Omega ECO 602 Scheduled for deinstallation in June 2011.37623 Asahi AK-X200 Motor Driver54521 Asahi MJR100C-11 CO2 Bubbler32217 ASAHI TOOLS K-2 PRECISION WRENCH PARTS60849 Aseco S130 Single s ite, ambient and hot, various kits71572 ASECO S130 Test Handler
71599 ASECO S130 Test Handler60851 Aseco S170 Ambient and hot, 7mm FPBGA?
71598 ASECO S170C Test Handler60850 Aseco S170D Dual s ite, ambient and hot, 173mil TSSOP,?71597 ASECO S170D Test Handler71596 ASECO TL50 Test Handler60714 Aseco S-130 Change Kits60764 Aseco S-130 Spare Parts60772 Aseco S-130/3 Tri-Temp SMD Handler51062 Aseco S-130/3 Tri-Temp SMD Handler, Convertible Single Site60773 Aseco S-130/3 (converted 137) Tri-Temp SMD Handler51063 Aseco S-130/3 (converted 137) Tri-Temp SMD Handler, Convertible Single Site61102 Asia TACT 733056824 ASM 339 Wire Bonder56739 ASM 339 Eagle Wire Bonder34359 ASM 559-06 Wire Bonder61208 ASM 559A Wedge Bonder71702 ASM 559A Wire Bonder37606 ASM 809-06 Die Bonder70546 ASM 829A Die Bonder54206 ASM 829A Die Bonder36669 ASM 889 Die Bonder 8"62187 ASM 896 Die Sorter71429 ASM 896-06 Die Bonder71433 ASM 896-08 Die Bonder
22870 ASM A400 Retro Upgrade Fast Ramp Capability Kit, NEW in CRATES70198 ASM A400C LPCVD NITRIDE 200 mm
71513 ASM A400C NITR 200 mm71512 ASM A400C POLY LPCVD 200 mm
66106 ASM A412 FURNACE (LPCVD)68287 ASM A412 Furnace (LPCVD) 200mm64616 ASM A412 FURNACE (LPCVD) 200mm58234 ASM AB-33968081 ASM AB309A66435 ASM AB339 Wire Bonder66436 ASM AB339 Wire Bonder
66437 ASM AB339 Wire Bonder66438 ASM AB339 Wire Bonder
59556 ASM AB339 Wirebonder 150 mm59557 ASM AB339 Wirebonder 150 mm
59558 ASM AB339 Wirebonder 150 mm59559 ASM AB339 Wirebonder 150 mm68082 ASM AB33959553 ASM AB339 WB Wirebonder 150 mm59554 ASM AB339 WB Wirebonder 150 mm59555 ASM AB339 WB Wirebonder 150 mm59991 ASM AB339 WB 150 mm59992 ASM AB339 WB 150 mm66443 ASM AB339Cu Wire Bonder57789 ASM AB509 WEDGE BONDER57788 ASM AB559 WEDGE BONDER56836 ASM AB559-06 Aluminium Bonders71080 ASM AB559A-06 Wedge Bonder74139 ASM AB559A-IL08 Wire Bonder 6, 870616 ASM AD 829A Wire Bonder 6 inch56737 ASM AD 898 COB DIE BONDER68083 ASM AD809-0076077 ASM AD809-M06 Die Bonder 3,4,5,6,868084 ASM AD829A76078 ASM AD830 Die Bonder 3,4,5,6,866444 ASM AD889 Die Bonder57793 ASM AD8930 DIE BONDER74140 ASM AD8930 Die Bonder 3,4,5,6,8
74141 ASM AD8930 Die Bonder 3,4,5,6,874142 ASM AD8930 Die Bonder 3,4,5,6,8
76079 ASM AD8930 Die Bonder 3,4,5,6,868085 ASM AD893034316 ASM AD8930u Die Attach68086 ASM AD8930U50300 ASM AD896A-08 Die Attach59987 ASM AD900 150 mm59988 ASM AD900 150 mm59989 ASM AD900 150 mm59990 ASM AD900 150 mm
70092 ASM AS809 Die Sorter 6"71851 ASM AS899 Wafer Sorter
71055 ASM CS900 Chip Mounter (pick and place)66144 ASM DAD320 WIRE BONDER74239 ASM Dragon 2300 PECVD Equipment for Barrier 1274240 ASM Eagle 12 PECVD 1274241 ASM Eagle 12 UV Cure 1269329 ASM Eagle 60 Bonder34315 ASM Eagle 60 Wire Bonder68087 ASM Eagle 6060907 ASM EAGLE-10 3C/H PE-CVD 76080 ASM EAGLE60 Wire Bonder 3,4,5,6,872511 ASM Epsilon 2000 EPITAXIAL DEPOSITION 150 mm72512 ASM Epsilon 2000 EPITAXIAL DEPOSITION 150 mm72513 ASM Epsilon ONE EPITAXIAL DEPOSITION 150 mm76081 ASM iHAWK Wire Bonder 3,4,5,6,876082 ASM iHAWK XTREME Wire Bonder 3,4,5,6,869458 ASM MS-10062698 ASM MS80970978 ASM MS896A Die Sorter71866 ASM MS896A Die Sorter71579 ASM POLYGON 8200 ALD74242 ASM PXJ-200 PECVD 659978 ASM SIL 9L TUBE TI TUBE FORMING SYSTEM 150 mm71794 ASM SLS230T Automatic TOP LED sorting system assembly
71795 ASM SLT400 Automatic LED taping system assembly68709 ASM TLB 139 Twin Line Buffer71755 ASM Various LED Line for 3528 package type assembly71739 ASM Various LED Packaging line Assembly64274 ASM 339 Bonder with Vertical LED configuration64157 ASM 339 AP EAGLE Bonder with Vertical LED configuration 56932 ASM 8930 Die Attach69982 ASM 896 Die Attach for LED71428 ASM 896-08 Die Bonder 50296 ASM 896A-06 Die Bonder71167 ASM 898 Die Bonder34471 ASM A600 LPCVD FURNACE BRAND NEW 200mm71064 ASM AD898 Die Bonder34339 ASM BP 2000 ASM BP2000 Automatic Ball Placement System with SR902 Reflow Oven61231 ASM Eagle 60 Bonder 71722 ASM Eagle 60AP Wire Bonders33985 ASM EPSILON E3200 EPI DEPOSITION71731 ASM iHawkXtreme LED Wire Bonder50573 ASM MS896 Die Bonders63804 ASM MS896A Die Sorter 73112 ASM AD211 Die Bonder ASSEMBLY66729 ASM AMERICA INC A412 DUAL REACTOR VDF INTERGRAD 300mm61248 ASM AMERICA INC A412 DUAL REACTOR VDF POLY 300mm60317 ASM Fico B 100 Automold Press System (415V,3PH)70362 ASM International A412 Vertical Atmospheric Furnace 300 mm70363 ASM International A412 Vertical Atmospheric Furnace 300 mm67250 ASM International A412 Vertical Atmospheric Furnace 300mm67249 ASM International A412 Vertical Atmospheric Furnace 300mm75306 ASM International Advance-412 - LPCVD Vertical LPCVD Furnace 300
75307 ASM International Advance-412 - Poly Vertical POLY Furnace 30075309 ASM International Advance-412 - Poly Vertical POLY Furnace 30075310 ASM International Advance-412 - Poly Vertical POLY Furnace 30032655 ASM International Advance-412 200/300mm - FURNACE AS DOPED POLY AND U 300 mm75284 ASM International Eagle Series PECVD (Chemical Vapor Deposition 15069564 ASM International Eagle Series PECVD Chemical Vapor Deposition 200 mm75433 ASM International Epsilon E2000 Epitaxial Silicon (EPI) 150
34190 ASML 2500/40 i-line stepper75904 ASML 5000 i line stepper70035 ASML 5500/80 In-Line Stepper 200mm74623 ASML ASML 5000/50 Lithography 474624 ASML ASML 5000/55 Lithography 474625 ASML ASML 5000/55 Lithography 474626 ASML ASML 5000/55 Lithography 435009 ASML AT1100 Photolithographic exposure tool (S 300 MM75138 ASML AT1200D ASML PAS250075139 ASML AT1200D AT:1200D 193nm scanner70625 ASML CALIBRATION WAFERS CALIBRATION WAFERS 150 MM68572 ASML MODEL 604 Projection Aligner 125mm68573 ASML MODEL 641HT Projection Aligner 125mm68574 ASML MODEL 641HT Projection Aligner 125mm66715 ASML MSX STEPPER DUV 200mm74627 ASML PAS 2500/10 Lithography 674628 ASML PAS 2500/10 Lithography 674629 ASML PAS 2500/35 Lithography 674630 ASML PAS 2500/40 Lithography 574631 ASML PAS 2500/40 Lithography 574632 ASML PAS 2500/40 Lithography 574633 ASML PAS 2500/40 Lithography 574634 ASML PAS 5000/55A Lithography 674635 ASML PAS 5000/55A Lithography 671664 ASML PAS 5500 /100 I LINE STEPPER 200 mm 71663 ASML PAS 5500 /100 I LINE STEPPER 200 mm 71662 ASML PAS 5500 /100 I LINE STEPPER
69921 ASML PAS 5500 100D I LINE STEPPER 200 MM72961 ASML PAS 5500-550 PHOTOLITHOGRAPHY EXPOSURE 8"
70612 ASML PAS 5500-550A I LINE STEPPER 200 mm72962 ASML PAS 5500-750 PHOTOLITHOGRAPHY EXPOSURE 8"71666 ASML PAS 5500/100 I LINE STEPPER71665 ASML PAS 5500/100C I LINE STEPPER 200 mm71778 ASML PAS 5500/100D I LINE STEPPER 150 MM71667 ASML PAS 5500/100D I LINE STEPPER 150 MM71821 ASML PAS 5500/400B i-line step and scan lithography ex 200 mm71822 ASML PAS 5500/400B i-line step and scan lithography ex 200 mm71823 ASML PAS 5500/400B i-line step and scan lithography ex 200 mm
71824 ASML PAS 5500/500 248 nm duv step and scan lithogra 200 mm71728 ASML PAS 5500/500 248 nm duv step and scan lithogra 200 mm71825 ASML PAS 5500/550D 248 nm duv step and scan lithogra 200 mm71826 ASML PAS 5500/700D 248 nm duv step and scan lithogra 200 mm74651 ASML PAS 5500/750F KrF Middle NA lith exposure system 874636 ASML PAS2500/30 Lithography 574637 ASML PAS2500/40 Lithography 574638 ASML PAS2500/40 Lithography 574639 ASML PAS2500/40 Lithography 574640 ASML PAS2500/40 Lithography 574641 ASML PAS2500/40 Lithography 574642 ASML PAS5500/100D i-Line 8
74643 ASML PAS5500/1100 ArF High NA 874644 ASML PAS5500/200B i-LINE 5X 8
74645 ASML PAS5500/200B i-LINE 5X 874646 ASML PAS5500/200B i-LINE 5X 8
74647 ASML PAS5500/250C Stepper 874648 ASML PAS5500/300B Stepper 864252 ASML PAS5500/300C DUV SCANNER 200 mm75801 ASML PAS5500/300C DUV SCANNER 200 mm64253 ASML PAS5500/300C stepper/scanner74649 ASML PAS5500/400C i-LINE 4X 874650 ASML PAS5500/550 Scanner 8
68594 ASML PAS5500/700B Scanner 200mm68595 ASML PAS5500/80 Stepper 200mm71561 ASML Twinscan XT 1250B DUV LITHOGRAPHY SYSTEM 193 n 200 mm and 300 mm73200 ASML TWiNSCAN XT:1700i Immersion Scanner 12"66697 ASML XT1250 DUV Scanner 300 mm71262 ASML XT1900Gi TWINSCAN Litho (ArF) 300 mm66716 ASML XT1900i 193NM, IMMERSION SCANNER 300mm34590 ASML® PAS 5500-300C ® DUV 248 NM Lithographic exposure200 mm37781 ASML® PAS 5500-300C ® DUV 248 NM Lithographic exposure200 mm59246 Assembleon AX 20133077 Assembleon AX-201 SMT Pick and Place machine59249 Assembleon AX2 Vision Placement Tool59247 Assembleon Robot-CPR AX Compact Placement Robot-CPR59248 Assembleon Robot-SPR AX Replacement Robot-SPR59191 Assembleon AX Laser Heads59301 Assembleon AX Spare Camera59245 Assembleon AX Trolleys35539 ASSEMBLY AUTOMATION SA-1MM Vibratory Bowl Feeder35708 AST VCA 2500XE Hi Mag AST VIDEO CONTACT ANGLE ANALYSIS SYSTEM 60322 AST Steag Mattson SHS 1000VAC RTP Rapid Thermal Processor53270 Astec 9K2-300-372 Power Supply Megatest Part 11406053269 Astec 9J5360-371 Power Supply Megatest Part 11446769920 Astex AX 8200A Ozone Generator rack22874 Astex AX 8402 Ozone Generator69854 Astex AX 8402 Ozone Generator50057 Astex Semozon AX8555-21020-03CV1 ReOzone Generator s ingle channel38264 Astex Semozon AX8555-21020-13CV1 ReOzone Generator71205 Asymtek 430 Dispenser70986 Asymtek 430 Dispenser
67154 Asymtek AUTOMOVE 402 TABLE TOP DISPENSING UNIT 70981 Asymtek M600 Dispenser71204 Asymtek M600 Millenium Dispensing System70040 Asymtek M620 underfill glue dispenser SMT71749 Asymtek Millenium M620 Dispenser assembly75108 Asymtek X-1020 Dispenser -75109 Asymtek X-1020 Underfill dispenser -75110 Asymtek X-1020 Underfill dispenser60318 Asymtek A-612C Dispensing System60319 Asymtek A-618C Millennium Dispensing System60320 Asymtek Dispensing System69866 ASYST 300FL SMIF LOAD PORT 300 MM22883 Asyst 300FL, S2.1 HAMA / E-84 SMIF 300FL Wafer Loader54115 Asyst APL2200 SMIF Robot 200 mm72202 Asyst ASYST NRI 1150R Asyst Part 9700-6444-0153111 asyst indexer 2200 EP53104 asyst indexer 2200 EP53105 asyst indexer 2200 EP53106 asyst indexer 2200 EP53107 asyst indexer 2200 EP53108 asyst indexer 2200 EP53109 asyst indexer 2200 EP53110 asyst indexer 2200 EP53112 asyst indexer 2200 EP53113 asyst indexer 2200 EP54105 Asyst PST100 Wafer Metrology Sorter54872 Asyst SMC 7-2-8 Stocker Master Controller54195 Asyst Spartan EFEM Sorter 300mm 61213 Asyst VersaPort 2200 Wafer Loaders64406 ASYST SMS MICRO LOADER, ELLIPIZ_AXIOTRON_Metrology34528 ASYST 9700 4395-013 200mm62449 Asyst / Fluorware 6" POD and Carrier 150mm70396 Asyst Technologies, Inc. LPT 2200 SMIF 200 mm70397 Asyst Technologies, Inc. LPT 2200 SMIF 200 mm54116 Asyst-MECS UTM3500 Wafer Handling Robot76035 Atcor Ultra 1210 Box Washer 200 MM
71018 ATHLETE FA MS-189003 POTTER71019 ATHLETE FA MS-189003 POTTER71020 ATHLETE FA MS-189003 POTTER68710 Atlas Copco ZR75 Compressor55864 ATMI CDO859 Burn Box55865 ATMI CDO859 Burn Box60727 Atmosphere Control Products Clear Acrylic Desiccator Box60995 ATTO PE-TEOS SCRUBBER72561 August AVI Macro Auto Inspection 150 mm71962 AUGUST AXI-S MACRO DEFECT INSPECTION SYS 8"53983 August CV-9800 Wafer Cassette Inspection System60347 August CV9812 Automatic Wafer Carrier Inspection 300 mm62162 August NSX 90 Surface Inspection system 57822 AUTO WIN SDB-00T TAPE CUT ATTACH61013 AUTOMATIC WAFER PROBER EQUIPMENT41148 Aviza 901034-001 PCB,CONFIGURATION,CARD,AUXILIWJ 999,1000,150040594 Aviza 902175-001 PCB ASSY,CARD,AUXILIARY,952 -A WJ 999,1000,150039062 Aviza 980756-001 CARD,CENTRAL PROCESSING UNITWJ 999,1000,150071801 Aviza 980756-002 CARD,CENTRAL PROCESSING UNITWJ 999,1000,150035042 AVIZA Celsior ALD 2 chamber c luster tool 300 MM50445 Aviza Pantheon Atomic Layer Deposition System , 2 300 mm50446 Aviza Pantheon Atomic Layer Deposition System , 3 300 mm56952 Aviza SPP furnace 12 inch73147 AVIZA RVP-300 Vertical Diffusion Furnace 12"73148 AVIZA RVP-300 Vertical Diffusion Furnace 12"73149 AVIZA RVP-300 Vertical Diffusion Furnace 12"73150 AVIZA RVP-300 Vertical Diffusion Furnace 12"
73151 AVIZA RVP-300 Vertical Diffusion Furnace 12"73152 AVIZA RVP-300 Vertical Diffusion Furnace 12"73153 AVIZA RVP-300 Vertical Diffusion Furnace 12"73154 AVIZA RVP-300 Vertical Diffusion Furnace 12"73155 AVIZA RVP-300 Vertical Diffusion Furnace 12"73156 AVIZA RVP-300 Vertical Diffusion Furnace 12"73157 AVIZA RVP-300 Vertical Diffusion Furnace 12"
64789 AXCELIS 110000800 Universal 300mm Disk Cart MAT # 450142270280 Axcelis 200 PCU UV BAKE 1 CH 200 mm70669 AXCELIS 200ACU ASHER68249 Axcelis 200ACU Asher 200mm68251 Axcelis 202_GES Asher 200mm68252 Axcelis 202_GES Asher 200mm72963 AXCELIS 202GES ASHER 8"68254 Axcelis ASH-MTL 200A (EATON) Asher 200mm68255 Axcelis ASH-MTL 200A (EATON) Asher 200mm68256 Axcelis ASH-MTL 200A (EATON) Asher 200mm68253 Axcelis ASHING-WSI (EATON) Asher 200mm66626 Axcelis F300M UV Glue Tunnel Furnace Curing53313 Axcelis Fusion Gemini GPL HTP FusionIncludes: 200 mm53314 Axcelis Fusion Gemini GPL HTP FusionIncludes: 200 mm53315 Axcelis Fusion Gemini GPL Includes: 200 mm53316 Axcelis Fusion Gemini GPL Includes: 200 mm34838 Axcelis Fusion Gemini GPL 220 IP Resist Ashing System 200mm63749 AXCELIS FUSION M200PCU DUV PHOTOSTABILIZER 200mm63750 AXCELIS FUSION M200PCU DUV PHOTOSTABILIZER 200mm63751 AXCELIS FUSION M200PCU DUV PHOTOSTABILIZER 200mm63752 AXCELIS FUSION M200PCU DUV PHOTOSTABILIZER 200mm63753 AXCELIS FUSION M200PCU UV CURE PHOTOSTABILIZER 200mm64332 Axcelis FUSION PCU Gemini 68760 Axcelis Fusion UV Bake Oven PC UV Cure 150mm70147 AXCELIS GEMINI GPL IP ASHER 200 mm56941 Axcelis Gemini GPL IP Two Chambers-Dual cassette 200 mm56939 Axcelis Gemini GPL IP Asher Two Chambers-Dual cassette200 mm67871 Axcelis Gemini GPS UV CURE Photo stabilizer 2 CH 200 mm70146 AXCELIS GPL ASHER 200 mm
53115 AXCELIS GSD Interconnect source pole to CTRL DI70245 Axcelis GSD 200 E IMPLANTER 200 mm54463 AXCELIS GSD 200E IMPLANTER 200 mm69922 Axcelis GSD 200E Implanter, 160 KV 150 MM75437 Axcelis GSD-200E2 High Current Ion Implanter 20075438 Axcelis GSD-200E2 High Current Ion Implanter 20057154 Axcelis GSD200E2 High Current Implanter 200 mm11749 Axcelis HC3 Ultra 5.5 Ion Implanter - High Current 300 mm53093 Axcelis Kit 160 KeV GSD 200E Kit for upgrade 80-160KV spares 200 mm71257 Axcelis Nv 8250 Medium Current Implanter 200 mm63728 AXCELIS NV-GSD200E2 HIGH CURRENT IMPLANTER 200mm66376 Axcelis Optima HD Implanter High Current 300 mm71540 Axcelis Optima MD Implanter Medium Current 300 mm74994 Axcelis Rapid Cure320FC RadiatoUV anneal Unit 1274995 AXCELIS SUMMIT XT RTP 1270276 Axcelis UV BAKE 1 CH 200 mm70277 Axcelis UV BAKE 1 CH 200 mm70278 Axcelis UV BAKE 1 CH 200 mm70279 Axcelis UV BAKE 1 CH 200 mm73066 AXCELIS 202MCU Stripper/Asher 6"73067 AXCELIS Gemini GES Stripper/Asher 6"73068 AXCELIS Gemini GES Stripper/Asher 8"74059 AXCELIS M150PC UV Cure System73298 AXCELIS Summit 200 Standalone RTP Equipment 8"73299 AXCELIS Summit 300XT Standalone RTP Equipment 12"60368 Axcelis (Matrix) Jaguar 300SQ 2-Chamber Resist Asher 300 mm14614 AXCELIS / EATON RELIANCE R850 RAPID THERMAL ANNEAL 200 MM71830 Axcelis / Fusion Gemini 202 MCU Asher 200 mm38827 AXCELIS / Fusion GPS GEMINI uv bake 200 mm75775 Axcelis / Fusion M200PC UV PHOTORESIST BAKE 200 mm71161 Axcelis / Fusion MCU 200 stripper / asher 200 mm75766 Axcelis / Fusion MCU 200 stripper / asher 200 mm75767 Axcelis / Fusion MCU 200 stripper / asher 200 mm75768 Axcelis / Fusion MCU 200 stripper / asher 200 mm69971 Axcelis Eaton NV10 -160 High Current Implanter 125 mm71436 Axcelis Fusion Fusion 200 PCU Single Chamber UV Bake/Stabilization
61342 AXCELIS TECHNOLOGIES I 200 PCU DUV CURE 200mm66705 AXCELIS TECHNOLOGIESI ES3 ASHER (ETCH), CU 300mm66730 AXCELIS TECHNOLOGIESI PS3 DUV CURE, DUAL CHAMBER 300mm54139 Axcelis-Fusion 200PCU SingleChamber UV Bake/Stailization54138 Axcelis-Fusion 200PCU SingleChamber UV Bake/Stailization54035 Axcelis-Fusion GSD100 Axcelis-Eaton Implanter Gyro Wheel Disk for High Current Implant24115 Axcelis/Eaton GSD200E2 implanter 200 mm70670 AXCELIS/FUSION 200ACU ASHER68257 Axcelis/Fusion 200ACU Asher 200mm35632 Axcelis/Fusion Gemini Asher 200 mm35636 Axcelis/Fusion MCU Asher68711 Axiospect Axiospect54859 Baccini 35MW Solar Cell Line Solar Cell Print line for Mono or Poly 156 mm56142 Baccini Screen printers Printers and Dryers 156 mm56145 Baccini Cell Tester 156mm56146 Baccini Cell Sorter 156mm67757 Balzers BAF301D Freeze Fracture Etch69903 Balzers BAK 600 Evaporator with crucible E Gun63094 Balzers LFC 100 Plasma Asher74968 Balzers LLS900 PVD 871586 BALZERS LSS310 SPUTTERING TOOL71587 BALZERS LSS310 SPUTTERING TOOL71588 BALZERS LSS310 SPUTTERING TOOL71877 Balzers UMS 500 Ultra High Vacuum Evaporator37685 Balzers (leybold) Z660 Etch 9890 BAY VOLTEX HT 6800 Recirculating Chiller60888 BAYVOLTEX CHILLER60889 BAYVOLTEX CHILLER34438 BAYVOLTEX HRE-HT-30650-D1-RP Chiller 200mm72182 Beckhoff BK 5200 Bus coupler72185 Beckhoff KL2488 8 x Digital output Bus terminal 72186 Beckhoff KL3064 4 x Analog input Bus 72184 Beckhoff KL4004 4-Channel Analog Output Terminal 0-10V PLC72183 Beckhoff LC5200 Device net coupler
67692 Beckman CX4 Synchron CX Series Analyzer 70267 BEDE SCIENTIFIC METRIXF XRF 200 mm
70643 Beijing Sevenstar Huachang L42500-4/ZM PECVD furnace 156 mm56044 Beijing Sevenstar HuachuangL42500-4/ZM Two Tube horizontal PECVD 156mm75756 Berger PSS8 Sun simulator 5 and 6 inch34476 BETCHER BRA36WPP AUTOMATED CHEMICAL PROCESS 200mm63095 BETCHER RA36FRPP AUTOMATED CHEMICAL PROCESS 200mm34475 BETCHER RA36FRPP AUTOMATED CHEMICAL PROCESS 200mm34477 BETCHER RA36WPP AUTOMATED CHEMICAL PROCESS 200mm72882 Binder VD 53 Vacuum oven OVEN60717 Binder BD115 Incubator60493 Bio-Rad Q5/Q6 Overlay Registration Tool 150 mm22673 Bio-Rad Q5/Q6 Overlay Registration Tool ? Has be 150mm75541 Bio-Rad Q7/Q8 CD SEM 20062472 Bio-Rad Q7/Q8 Overlay Tool 200mm60494 Bio-Rad Q7/Q8 Overlay Tool 200 mm22674 Bio-Rad Q7/Q8 Overlay Tool(s) 200mm53317 Bio-Rad Q7/Q8 200 mm53318 Bio-Rad Q7/Q8 200 mm35545 BIO-RAD QS-300 FT-IR Spectrometer for up to 300mm Wafers22671 Bio-Rad QS-312 FT-IR Spectrometer(s) 150 mm75937 Bio-Rad QS408M/FTI FTIR 200 MM71744 BIO-RAD / Accent opto QS1200 FT-IR Spectrometer for up to 300mm 300 mm18582 Biodata Ltd Microlink33671 BIORAD Q5 Overlay Metrology Tool33672 BIORAD Q6 Overlay Metrology Tool33673 BIORAD Q7 Overlay Metrology Tool14529 BioRad Q7 Q8 Overlay Metrology Tool 75mm-200mm 35543 BIORAD Q8 Overlay Metroloty / CD Measurement Tool for up to 200mm Wafers
74736 BioRad QS 300 Metrology 661181 BIORAD QS-300 FT-IR Spectrometer for up to 200mm Wafers56857 BIORAD QS-408M Manual FTIR Spectrometer for Epi, SiN, BPSG Measurement, up to 200mm Wafers76037 BIORAD QS1200 FT-IR Spectrometer for up to 300mm Wafers76036 BIORAD QS2200A FT-IR Spectrometer for up to 200mm Wafers19267 BIORAD QUAESTOR Q3 OPTICAL METROLOGY 100 mm69302 BioRad Quaestor Q7 Overlay Metrology System
18589 Biorad SP2000A Emscope, Cryo sample prep system71878 BLE Coater Acrobat Coater with HDMS
67371 Blue M 10VA67370 Blue M 146A
67372 Blue M 206B-132222 Blue M AGC 256 OVEN34536 BLUE M B-I-1SF-14878-061 OVEN 200mm66629 Blue M BLUE M Oven60073 Blue M BLUE M Oven Oven 150 mm62506 Blue M CC-05-C-P-B Oven 200mm60546 Blue M CC-05-C-P-B Oven 200 mm62507 Blue M CC-09C10-E-MP-HP Oven 200mm60545 Blue M CC-09C10-E-MP-HP Oven 200 mm67373 Blue M CR07-14669312 Blue M CR07-206B/C Oven67374 Blue M CW 558057045 Blue M DCA 256Y-MP Post Die Bond Cure Oven Back End60543 Blue M DCC-206C Parts Bake Oven 22676 Blue M DCC-206C Parts Bake Oven n/a69313 Blue M GO1300A Oven67375 Blue M M DC 1406C67376 Blue M M DC206C67377 Blue M M DCC14667378 Blue M M DL10667379 Blue M M IGF 668067380 Blue M M POM 146 A67382 Blue M M POM 778067381 Blue M M VRCO8PS 3WE60544 Blue M POM7-146C-2X Mechanical Convection Oven with AMF 7-day time switch
67183 BLUE M WSP109B CO2 cool/hot chamber, -73 to 260 degrees C, ID 12X11X7" high Analog or microprocessor controlled available, LN2 cooled. (Email us for Photo) 16277 Blue M DCC 206CY BAKE OVEN67182 BLUE M FR256 ID 25X20X21", -18 to 93 degrees C, cam controlled humidity chamber w/refridgeration. (Email us for Photo) 73244 BLUE M DCC-1406-G-MP350 Bake Oven 12"73245 BLUE M DCC-1406-G-MP350 Bake Oven 12"73246 BLUE M DCI-336-KY-MP350 Cure Oven n/a73247 BLUE M DCI-336-KY-MP350 Cure Oven n/a73248 BLUE M DCI-336-KY-MP350 Cure Oven n/a73249 BLUE M DCI-336-KY-MP350 Cure Oven n/a
60828 Blue M Oven DCA206C Oven50160 Blue M/TPS DCC 1406-E Clean Room Inert Gas Oven
50204 Blue-M (CC-04-IPE-HP) 30-350c Blue-M, convectin oven (CC-04-IPE-HP) 30-350c67107 Blue-M CC-02-S-M-B Mechanical Convection Oven75635 Blue-M DC-146C Oven N/A72605 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72606 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72607 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72608 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72609 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72610 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72611 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72612 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72613 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72614 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm72615 BLUE-M DCC-256C Dehydration/Post processing Hard 150 mm38826 Boc Edwards misc Slurry semiconductor equipment54173 BOC-Edwards-Seiko Seiki STP H1000L Turbo Pump61182 BOEKEL SS Dessicator Box Stainless Steel Dessicator Box 22678 Bold Technologies 50-200L Piranha Automated Wet Bench Multiple62435 Bold Technology EPI CLEAN WETDECK 150mm62436 Bold Technology EPI CLEAN WETDECK 150mm68712 Boschman Unistar 10098534533 BOSHMAN BXXP/21 200mm34478 BPS RA36PVDF Dryer, 200mm
72349 Branson 3000LP Resist Asher 150 mm72350 Branson 3000LP Resist Asher 150 mm72351 Branson 3000LP Resist Asher 150 mm72352 Branson 3000LP Resist Asher 150 mm72353 Branson 3000LP Resist Asher 150 mm72354 Branson 3000LP Resist Asher 150 mm72355 Branson 3000LP Resist Asher 150 mm72356 Branson 3000LP Resist Asher 150 mm72357 Branson 3000LP Resist Asher 150 mm72358 Branson 3000LP Resist Asher 150 mm72359 Branson 3000LP Resist Asher 150 mm
67194 Branson 3075 BRANSON 3075 PLASMA CLEANER 750 WATT GENERATOR, 3 GAS CAPABILITY 10X20" QUARTZ BARREL 72360 Branson 3100 Asher 150 mm
72361 Branson 3100 Asher 150 mm72362 Branson 3100S Asher 150 mm72363 Branson 3100S Asher 150 mm72364 Branson 3100S Asher 150 mm72365 Branson 3100S Asher 150 mm72366 Branson 3100S Asher 150 mm72367 Branson 3100S Asher 150 mm72368 Branson 3100S Asher 150 mm72369 Branson 3100S Asher 150 mm72370 Branson 3100S Asher 150 mm72371 Branson 3100S Asher 150 mm72372 Branson 3100S Asher 150 mm72373 Branson 3100S Asher 150 mm72374 Branson 3100S Asher 150 mm67195 Branson 4150 BRANSON 4150 PLASMA ASHER 2 GAS CAPABILITY 15" DIAMETER X 30" DEEP ALUMINUM CHAMBER 67398 Branson 4450/2 BRANSON 4450/2 DOWN STREAM PLASMA ETCHER (5) SHELVES, 7X17"DEEP DUAL GAS PM119 RF GENERATOR REBUILT VACUUM PUMPS ALSO IN STOCK (HYDROCARBON OR FOMBLIN/KRYTOX)
67196 Branson L3200 BRANSON L3200 CASSETTE TO CASSETTE ASHER INCLUDES FOMBLIN PREPPED PUMPS AND PUMP CART 17746 BRANSON L3200 Etcher72375 Branson S3100-11220LP Asher 150 mm72376 Branson S3100-11220LP Asher 150 mm12589 BRANSON IPC L2101 asher17459 Branson /IPC Plasma Asher 55911 BRANSON/IPC 4055/2 Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available
56846 BRANSON/IPC L-3100 Plasma Barrel Stripper61175 BRANSON/IPC P-2100 Plasma Barrel Stripper22679 Branson/IPC S2100 Barrel Etcher/Asher 150mm67123 BRANSON/IPC Series 4000 Plasma Barrel Stripper56923 Brewer Science CEE 1100 Hot Plate69274 Brewer Science CEE 4000 Wafer Track36639 Brooks APS-3000 Wafer Sorter 200mm67081 Brooks ATM 107-2-2-S-CE Brooks robot74737 Brooks Bright light 200 UV Inspection 867080 Brooks ESC-212B400,S93 Brooks robot controller, ESC-212B400,S9367884 Brooks ESC-222-NONSMIF Brooks robot controller, ESC-222-NONSMIF
56782 Brooks MFC MFC's New74738 Brooks PRI7500 Pod Stocker 8
71793 Brooks TSB-407-CE-V2 Twinstar Robot + Controller + Cable67690 Brooks MagnaTran 7 Automation Robot69948 Brooks / PRI Aero track AMHS COMPONENTS for 200 mm Wafe200 mm
67876 Brooks / PRI ATM-107-2-S-CE-293,cl1 Brooks robot22890 Brooks Automation ABM405-1-S-CE-S293 Robot
75111 Brooks Automation Jenoptik SSM Sorter Wafer Sorter 856858 BROOKS AUTOMATION Multitran 5 3 Axis Robot, Rebuilt32160 Brooks Automation Polycold Water Pump Fast Cycle Water Vapor Cryo Pump22895 Brooks Automation / Zygo ZARIS Retic le Macro Inspection Tool, with Staubli Robot 61234 BROOKS AUTOMATION INC 100-0146 AUTO RETICLE MACRO INSPECTIO 200mm53529 Brooks Automation, Inc. ERGOSPEED 3800 SMIF 200mm53530 Brooks Automation, Inc. ERGOSPEED 3800 SMIF 200mm70398 Brooks Automation, Inc. ERGOSPEED II SMIF 200 mm70399 Brooks Automation, Inc. ERGOSPEED II SMIF 200 mm37040 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm37041 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm
56482 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56483 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56484 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56485 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56486 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56487 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56488 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56489 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56490 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56491 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56492 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56493 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56494 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56495 Brooks Automation, Inc. ERGOSPEED II SMIF 200mm56478 Brooks Automation, Inc. Jenoptik Infab SLR 200 SMIF 200mm56479 Brooks Automation, Inc. Jenoptik Infab SLR 200 SMIF 200mm56480 Brooks Automation, Inc. Jenoptik Infab SLR 200 SMIF 200mm56481 Brooks Automation, Inc. Jenoptik Infab SLR 200 SMIF 200mm67773 Brown & Sharp Microval CMM 20 x 18 x 14" high travel. Manual drive. With Micromeasure III DOS 100 Mhz Pentium computer67388 Brownie LR-6 2 zone hot plate table top reflow furnace
75800 Bruce BDF 41 Horizontal Furnace 150 mm72865 Bruce BTI BDF41 BTI FOUR STACK LPCVD FURNACE150mm69232 Bruce Technologies BTI BDF41 BTI FOUR STACK HIGH TEMP OXI 150mm
70671 BRUKKER D700069250 BTI BDF-4 Oxidation Furnace
72403 BTI BDF41 Horizontal furnace Boron diff/drive 150 mm72404 BTI BDF41 Horizontal furnace Boron diff/drive 150 mm72405 BTI BDF41 Horizontal furnace Boron diff/drive 150 mm72407 BTI BDF41 Horizontal furnace Boron diff/drive 150 mm72406 BTI BDF41 Horizontal furnace N-Well/Deep Dr 150 mm72410 BTI BDF41 Horizontal furnace N-Well/Deep Dr 150 mm72408 BTI BDF41 Horizontal furnace Oxide 150 mm72409 BTI BDF41 Horizontal furnace Oxide 150 mm72411 BTI BDF41 Horizontal furnace Oxide 150 mm
72412 BTI BDF41 Horizontal furnace Oxide 150 mm72413 BTI BDF41 Horizontal furnace Oxide 150 mm
72402 BTI BDF41 Horizontal furnace - Boron diff/drive 150 mm59287 BTU Paramax 125A Reflow Oven15310 BTU TRS212-8-140CT EAS-243370672 BTU VIP70N SMT REFLOW SYST68713 BTU VIP70N SMT Reflow System14514 BUCHER KLETTGAU QT23-008R GEAR HEAD67751 Buehler Abrasimatic 2 950-1820-2 Automatic Cut Off Saw67761 Buehler Ecomet 4 Wafer Polisher67765 Buehler Ecomet 5 Two Position Polisher67763 Buehler Ecomet III Variable Speed Polisher67770 Buehler Ecomet IV67758 Buehler Fibrmet 69-3000-160 Optical Fiber Polisher67748 Buehler Isomet Low Speed Saw67749 Buehler Isomet Low Speed Saw36673 Buehler Isomet Low speed saw67762 Buehler Maximet 61-4100-260 Heavy Duty Automatic Polisher67764 Buehler Metaserv twin 8" Polisher,71715 Buehler Minimet Polisher67759 Buehler PowerPro 3000 Grinder Polisher67747 Buehler Samplmet I Abrasive Saw67753 Buehler Supermet 2 Position Coarse Grinder67746 Buehler Surfmet I Sngle Belt Grinder67766 Buehler 2 Position Polisher
67767 Buehler 2 Position Polisher67768 Buehler 3 Position Polisher
67754 Buehler Supermet Single Position Coarse Grinder67752 Buehler Twin Belt Grinder
70617 Buehler Isomet 4000 Liner precis ion Saw62164 Buehler Motopol 2000 Sample Polisher 73077 BUELHER Micromet 5114 Microhardness Tester27800 Burle TC7055C TV Camera68026 Busch Cobra DS 3010B Vacuum Pump PUMP75718 Busch DS 700 Vacuum Pump (COBRA) PUMP57049 Buse 15S Dry ICE C02 Blasting Machine
60996 C.S.K TI-TIN SCRUBBER60997 C.S.K TI-TIN SCRUBBER
76022 Cabin UCSS Retic le Storage63100 Camalot 1818 1818 Dispenser
68714 Camalot 3700 Dispenser system68715 Camalot 3700 Dispenser system68716 Camalot 3700 Dispenser system33748 CAMALOT System 3600 Liquid Dispenser38053 Cambridge 360 SEM 67778 Cambridge Instruments StereoScan II SEM71759 Cameca 6F SIMS71562 CAMECA SC-ULTRA SIMS Laboratory53385 Cameca Shallow Probe (LEXES) LexFab 300 Factory Automated Shal 300 mm35892 Cameca Shallow Probe (LEXES) Spectrometry 300 mm33957 CAMECA SHALLOW PROBE Metrology54866 Camelot Gemini 11 Glue Dispence71850 Camtek 630 Plus Wafer Inspection73210 Camtek Condor 202M LED OPTICAL INSPECTION 6"62738 Camtek Falcon 200Sb Wafer Inspection Station35893 Camtek KIS 3000 Wafer Level Inspection 200 mm61542 Canon 365-04 Retic le For Stepper61541 Canon 365-06 Retcile For Stepper61543 Canon 365i (365-01-1) Retic le For Stepper61536 Canon 6" DR Mask MPA500FAb61535 Canon 6" Focus Mask MPA500FAb61532 canon 7" Focus Mask MPA600FA38295 CANON APT-5850 APCVD system 200 mm
35966 CANON APT-5850 BPSG/PSG75112 CANON APT4800 BPSG 8 inch
52162 Canon Bar Mirror Bar Mirror Kit For Canon EX352270 Canon BG4-5456 DSP board52177 Canon BG9-3502, BH8-0672-03 acc-1 Brd53056 Canon BG9-4757, BH8--1069-01 AF DRV board s52173 Canon BH8-0670-05 ADP-II Board (P/N BH8-0670-05)52275 Canon BH8-1756-01 BG4-9745 OFCD-IF brd52344 Canon BH8-1824-01 IL1-C/D Board52174 Canon BH8-1830-01 TV BACKPLANE CHASSIS52176 Canon BH8-1977-01, BG9-3793 IMP-IIa Board
68543 Canon CDS-630 Coater (PIX) 125mm68544 Canon CDS-630 Coater/Developer (PSPI) 125mm
68545 Canon CDS-630+ Coater/Developer (Color resist) 125mm68546 Canon CDS-630R+ Coater/Developer (Nega) 125mm52153 Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5 200mm62405 Canon EX3/EX4 Cannon Stepper Beam Delivery Sys 200mm60477 Canon EX3/EX4 Cannon Stepper Beam Delivery Sys 200 mm36684 CANON EX3/EX4 (SPARE PARTS) beam delivery system for the Canon 300mm70021 Canon FPA 1500 MARK 4W STEPPER 150 MM70023 Canon FPA 1500 MARK 4W STEPPER, G LINE 150 MM63801 Canon FPA 2000 i1 i-line stepper76050 Canon FPA 2500 i2 i line stepper - parts tool 200 mm76051 Canon FPA 2500 i2 i line stepper - parts tool 200 mm71659 CANON FPA 2500 I3 I LINE STEPPER71660 CANON FPA 2500 I3 I LINE STEPPER52164 Canon FPA 3000 (Spares) ALS System11198 CANON FPA 3000 EX3 (SPARE PA LITHO/STEPPER DUV (SPARES) 200 MM71661 CANON FPA 3000 EX4 DUV LITHOGRAPHY EXPOSURE SYSTEM71658 CANON FPA 3000 I4 I LINE STEPPER53074 Canon FPA 3000 series extended rextended retic le library 6 inch68025 Canon FPA 5000 ES2+ 248 nm lithography exposure syste 200 mm (300 mm also possible with conversion kit)76049 Canon FPA 5000 ES3 248 nm duv step and scan lithogra 200 mm68024 Canon FPA 5000 ES3 248 nm lithography exposure syste 200 mm70635 Canon FPA 5000 ES3 DUV Lithography exposure tool 200 mm70634 Canon FPA 5000 ES3 DUV Lithography exposure tool 200 mm
75749 Canon FPA 5500 iZa I line stepper 8 and 12 inch72936 Canon FPA 6000 ES6a 248 nm DUV Scanner 300 mm62404 Canon FPA-1550 M IV-W Canon G-line Stepper 150mm56928 Canon FPA-1550 Mark4 (Parts SyG-line Stepper 150mm38860 CANON FPA-1550 MIVW DUV LITHOGRAPHIC Stepper 200 mm38359 Canon FPA-2000 i1 I-line Stepper 150mm75486 Canon FPA-2000i1 i-Line Stepper 20075487 Canon FPA-2000i1 i-Line Stepper 20038360 Canon FPA-2500 i2 I-line Stepper 200mm38361 Canon FPA-2500 i2 I-line Stepper 200mm38362 Canon FPA-2500 i2 I-line Stepper 200mm38363 Canon FPA-2500 i3 I-line Stepper 150 mm50442 Canon FPA-2500i361074 Canon FPA-3000EX364396 Canon FPA-3000EX4 FINE PATTERN ALIGNER64397 Canon FPA-3000EX4 FINE PATTERN ALIGNER50433 Canon FPA-3000EX467833 Canon FPA-3000EX5 Stepper50439 Canon FPA-3000i4 Retic le Changer68592 Canon FPA-3000i4 Stepper 150mm74652 Canon FPA-3000i5 i-line 874653 Canon FPA-3000i5 i-line 870287 CANON FPA-5000ES4 248 nm lithographic exposure tool 200 mm70258 CANON FPA-5000ES4 duv scanner 200 mm20861 Canon FPA1550 Mark 4 g-line stepper 150 mm20144 Canon FPA2500i2 i line stepper 200 mm69844 Canon FPA2500I3 I-LINE STEPPER 150 MM53022 Canon FPA3000 EX5 DUV Stepper 200 mm74654 Canon FPA3000 EX6 DUV Stepper 8
52358 Canon FPA3000 EX6 DUV Stepper 248 nm 200 mm74655 Canon FPA3000 i5 I line stepper 654815 CANON FPA5000-ES3 248 NM SCANNER 200 mm70286 Canon FPA5000ES4 DUV Step and Scan System 200 mm70288 Canon FPA5000ES4 DUV Step and Scan System 200 mm71566 Canon FPA5000i5+ i-line stepper 100 mm71231 Canon FPA5500IzA i line stepper 300 MM
71295 Canon FPA5500IzA i line stepper 300 MM71296 Canon FPA5500IzA i line stepper 300 MM71297 Canon FPA5500IzA i line stepper 300 MM71298 Canon FPA5500IzA i line stepper 300 MM71299 Canon FPA5500IzA i line stepper 300 MM71300 Canon FPA5500IzA i line stepper 300 MM71301 Canon FPA5500IzA i line stepper 300 MM71302 Canon FPA5500IzA i line stepper 300 MM71303 Canon FPA5500IzA i line stepper 300 MM71304 Canon FPA5500IzA i line stepper 300 MM71305 Canon FPA5500IzA i line stepper 300 MM71306 Canon FPA5500IzA i line stepper 300 MM71307 Canon FPA5500IzA i line stepper 300 MM71308 Canon FPA5500IzA i line stepper 300 MM71278 Canon FPA5500IzA1 i line stepper 300 MM71279 Canon FPA5500IzA1 i line stepper 300 MM71280 Canon FPA5500IzA1 i line stepper 300 MM71281 Canon FPA5500IzA1 i line stepper 300 MM71284 Canon FPA5510iZ i line stepper 300 MM71285 Canon FPA5510iZ i line stepper 300 MM71286 Canon FPA5510iZ i line stepper 300 MM71287 Canon FPA5510iZ i line stepper 300 MM71288 Canon FPA5510iZ i line stepper 300 MM71289 Canon FPA5510iZ i line stepper 300 MM71291 Canon FPA5510iZ i line stepper 300 MM71292 Canon FPA5510iZ i line stepper 300 MM71293 Canon FPA5510iZ i line stepper 300 MM
71294 Canon FPA5510iZ i line stepper 300 MM71290 Canon FPA5510iZ i line stepper 300 MM
38385 Canon L450G Wafer handling robot 200 mm25471 Canon M-830 Ultra Sonic Image Inspection (SAT)63640 Canon Mark IV Stepper 150mm33617 CANON MAS-8000 Microwave Ashing System22680 Canon MAS-8000 Single Wafer Resist Asher(s) 200mm68239 Canon MAS8000? Asher 150mm70003 Canon MPA 5500 Lithography exposure system for flatFPD 3.5G75489 Canon MPA 600 FA Mask Aligner 12575488 Canon MPA 600 FA Mask Aligner
75490 Canon MPA 600 FA Mask Aligner34077 Canon MPA 600 Super ALIGNER 150 MM75491 Canon MPA 600 Super Mask Aligner38364 Canon MPA-500FAb Aligner 125mm38365 Canon MPA-500FAb Aligner 125mm38366 Canon MPA-500FAb Aligner 125mm38367 Canon MPA-500FAb Aligner 125mm38368 Canon MPA-500FAb Aligner 125mm38369 Canon MPA-500FAb Aligner 125mm50440 Canon MPA-500FAb Complete systems74656 Canon MPA-600 SUPER Aligner 667119 Canon MPA-600 Super 150mm
67120 Canon MPA-600 Super 150mm74657 Canon MPA-600FA Aligner 6
50438 Canon MPA-600Super74658 Canon MPA500FAB mask aligner 4
74659 Canon MPA500FAB mask aligner 469904 Canon PLA 501 FA Aligners, 2 inch, 3 inch , 4 inch 75492 Canon PLA 501 FA Mask Aligner71563 Canon PLA-501F Aligner 100 mm71880 Canon PLA-501F Mask Aligner68575 Canon PLA-501FA Aligner 125mm68576 Canon PLA-501FA Aligner 125mm
68577 Canon PLA-501FA Aligner 125mm68578 Canon PLA-501FA Aligner 125mm68579 Canon PLA-501FA Aligner 125mm68580 Canon PLA600FA Mask Aligner 125mm61540 Canon Reticel 320-04 For Stepper61539 Canon Retic le 325-02 For Stepper73069 Canon Surpass 320 Stripper/Asher 12"71869 Canon FPA 3000 iW WIDE FIELD I-LINE STEPPER 200 MM59146 Canon MPA-600FA 100mm, 125mm, 150mm75938 Carl Zeiss 1455 MICROSCOPE AND PROBER 200 MM74739 Carl Zeiss AIMS193 Aerial Image Measurement System 1275542 Carl Zeiss Axiotron Microscope 20075543 Carl Zeiss Axiotron Microscope 20068802 Carl Zeiss AXIOTRON Scope68803 Carl Zeiss LEO1560 SEM71706 Carton Trincoular Microscope with Camera70512 Cascade PA200DS BlueRay Engineering Wafer Prober n/a67408 Cascade Summit 9000 Cascade Summit 9000 Manual 6" Probe Station With Mitutoyo high optics 1-2x zoom and long working distance objectives. 56949 cascade microtech ALESSI REL 4800 prober 200mm71716 Cascade Microtech PS21 Fully Automatic Prober 200 mm62677 Cascade Microtech PS300 Parametric Series Automatic Wafer Prober 300 mm56974 cascade microtech REL-4800 prober71235 Casio YCM-7000V/G Pick & Place Machine72562 CDE 168 4 point probe / RESISTIVITY MEAS 150 mm74740 CDE RESMAP 168 FTIR 676040 CDE Resmap 463 OC Resistiv ity Measurement up to 300 mm67848 CDE RESMAP468 Resistiv ity mapping system70110 Celerity Megaflow 7 CMP Slurry dispenser Facilities75206 Celerity MegaFlow-VII CMP Slurry Dispenser Equipment N/A
22896 Censor ANS 100 Unpatterned Wafer Dark Field Inspection System71882 Centrotherm CT-BW Exhaust Incinerator56140 CentroTherm DO 12.000-200-FF-HTO- Fast Firing Funace with Dryer 156mm56144 Centrotherm E2000 Horizontal diffusion furnace 156mm71881 Centrotherm Furnace Type DO 7.500-CAN furnace66176 Centrotherm Reflow oven 10 Zone38049 Centura MXP Metal MXP Metal * 2 EA Chamber 150mm50202 Centura PVD system, 2 chamber (1 SB alumin200mm56061 CHA 50 Evaporator67471 CHA 600 18" X 30" HIGH S/S BELL JAR INFICON IC-6000 THICKNESS MONITOR AUTOTECH II AUTOMATIC/MANUAL VALVING ION/DUAL TC GAUGE TEMESCAL CV-8 POWER SUPPLY DIFFUSON PUMP (REBUILT) SINGLE POCKET GUN (REBUILT)... 67181 CHA Mark 40 26T X 26"DIAMETER CHAMBER LIFT OFF DOME FOR 6" WAFERS IC5 THICKNESS CONTROLLER 6 POCKET ELECTRON BEAM GUN (REBUILT) CHA ELECTRON BEAM POWER SUPPLY CTI CRYOPUMP WITH COMPRESSOR REBUILT 2 STAGE DIRECT DRIVE ROUGHING PUMP75163 CHA MARK 50 EVAPORATOR 150 mm67470 CHA SE 600 CHA SE 600 EBEAM SYSTEM WITH SINGLE OR 4 POCKET EBEAM GUN, AIRCO TEMESCAL CV8 P/S, 18" BELL JAR SYSTEM, IC-6000 THICK. MON., AUTO VALVING, ION/DUAL THEROCOUPLE GUAGE, 6" PREGANT DIFFUSION PUMP, OR CTI-8 CRYOPUMP, LEYBOLD D30 DIRECT DRIVE ROUGHING PUMP. 67472 CHA MARK 40 Ion Miller21275 Chad WOC 2200 Workcell Getter Dispenser34492 CHEMWEST K232 QUARTZ CLEANER 200 mm61103 Chip Right CRH400DK61104 Chroma 360074193 Ci Science TORUS-200 Bevel Etching 860443 CI Science TORUS200 BEVEL ETCHER 200 mm60444 CI Science TORUS200 BEVEL ETCHER 200 mm21122 CLEAN ROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE FACILITIES71152 Cleanroom 14 X 14 X 8 Softwall Cleanroom36663 Clestra c leanroom 300M271065 clone Advantest T5585 Test system with qty 2 test handlers TEST71704 clone ASM 559A Wedge Bonder70561 clone SVG 90S COATER AND DEVELOPER TERAC 150 mm72929 CMI XRF 900 Coating thickness Gauge Refurbished37690 CMS Diode pumped Laser Marking System 67362 COBILT CA-400 Cobilt CA-400 mask aligner exposes up to 3" wafers Includes split field optics 67363 COBILT CA-800 serviced, add 1400 for local installation 2-4" 17423 CODIMEN FINGER COTS12125 Codonics NP 1660M PRINTER FOR MEDICAL DEVICES FACILITIES38459 Cognex Vision Systems32188 Coherent LM-100-E Power Meter head60743 Cole 720 Series Palmer Dataplate
22900 Comdel CDX-1000 13.56 MHz / 2 Dual RF Generator22899 Comdel CPS-1001 Power Supply33749 COMDEL CPS-500AS 13.56 MHz RF Generator - 100W-500W54157 Comdel CPS1001S Power Supply71883 Comet R20 Wafer Transfer system72189 Comet PN1000093520445 Common RIBBON CABLE20446 Common RIBBON CABLE67197 COMMONWEALTH SCIENTIFIC Ion Beam System with load lock for up to 3 inch diameter substrates 72907 Complete Line SOT 23 package assembly line assembly69328 complete line various complete 6 inch fab line, still installed 150 mm69780 Complete line GaN manufacturing pilot wafer produc2-4 inch60887 Component System EST-A4000 Level Tester19457 Compumotor L20 Linear Motor66505 CONDOR 100-3 Total Ball Shear Tester13210 condor ols-5bv dc power supply59252 Contact Systems C5D Dual Beam Placement Machine71885 Convac 2000 Model 2000 photoresist coater69337 Convac 6000 Developer69865 Convac 6000 Photoresist Developer12581 Convac AL90E Powerful 800W vacuum cleaners for all toner applications69350 Convac Falcon Coater Developer71884 Convac M6000 Indexer robot60803 Convac Solvent and Chemical Cabinet66609 Corfin DTS-300 Solder Dip Machine TO-220 Package22904 Cosam WTC-U010FU-JEL Recirculating Chiller13143 cosel mmc100 switching regulator
15657 COSEL MMC100U-2 POWER SUPPLY16545 COSEL PMC75E-4 SWITCHING REGULATORS69871 Cosmir Univer Pin insertion Machine INS.P.M70451 CR Technology CRX-1000 X-Ray Radiography System n/a70452 CR Technology CRX-1000 X-Ray Radiography System n/a61184 CR TECHNOLOGY UF160-0 Xray System55866 CRANE ELECTRONICS Tool Star Recorder
56854 CRANE ELECTRONICS Tool Star Recorder38460 Creative Automation? ADM 1812 Automatic Dispense System62603 Credenc e (TMT) ASL1000 In Test Manipulator16930 Credence 9000 lX IC Tester71106 Credence ASL 1000 Tester56897 Credence ASL 1000 Tester TEST67872 Credence ASL 1000 Tester67873 Credence ASL 1000 Tester65390 Credence ASL 1000 Tester38803 Credence ASL 2000 Tester33528 Credence ASL 3000 Credence ASL 3000 Mix Signal Tester 63125 Credence ASL 3000 Mixed signal Tester 62604 Credence ASL 3000 Test System62652 Credence ASL 3000RF Parts Machine68894 Credence ASL100071610 CREDENCE ASL3000 Tester62629 Credence Cal Station Credence Calibration Station for Credence Quartet and Credence Duo's61106 Credence D-1071085 Credence D10 Tester71086 Credence D10 Tester62579 Credence Duo Mixed Signal Tester62580 Credence Duo Mixed Signal Tester71608 CREDENCE DUO Tester71609 CREDENCE DUO Tester62583 Credence Duo SX Mixed Signal Tester62584 Credence Duo SX Mixed Signal Tester62581 Credence Duo XP Mixed Signal Tester62582 Credence Duo XP Mixed Signal Tester54160 Credence Kalos I Main Board, Main PCB
54161 Credence Kalos I Main Board, Main PCB69459 Credence Kalos II HEX68182 Credence Kalos K96 Flash Tester62585 Credence LT1000 Mixed Signal Tester62586 Credence LT1001 Mixed Signal Tester62587 Credence Octet 200 Mixed Signal Tester62588 Credence Quartet Mixed Signal Tester62589 Credence Quartet Mixed Signal Tester71607 CREDENCE RFX Tester32130 Credence Sapphire DRAM Test System59631 Credence SC Micro Tester 150 mm66506 Credence SC Micro Tester61108 Credence SC21261105 Credence SC31262590 Credence Vista Vis ion Mixed Signal Tester56308 Credence ASL 1000 Component Tester56266 Credence ASL 3000 Component Test 60695 Credence Calfixture 671-1374-121400 Calibration Fixture for SC Series Tester38461 Credence Valstar 2000? Test System61066 CRYO 8112873G001 PUMP 75134 CTI 9600 Compressor22910 CTI CT-100 Cryo Pump / Rebuilt62666 CTI 8510 Cryo Compressor62670 CTI 8F Onboard Cryo Pump71800 CTI IS-1000 Cryo Compressor 0190-1939569962 CTI Cryogenics 0190-19395 IS-1000 CRYO COMPRESSOR HV69960 CTI Cryogenics 0190-19395 IS-1000 CRYO COMPRESSOR HV69961 CTI Cryogenics 0190-19395 IS-1000 CRYO COMPRESSOR HV55868 CTI CRYOGENICS 1020R Helium Compressor55867 CTI CRYOGENICS 8300 Helium Compressor w/8001 Controller69855 CTI Cryogenics Cryotorr 100 Cryopump pumps55915 CTI CRYOGENICS CryoTorr 10F Cryogenic Vacuum Pump pump54562 CTI cryogenics CRYOTORR-100Cryopu Cryopump70642 CTI Cryogenics IS-1000 Cryo Compressor 0190-1939533797 CTI CRYOGENICS MC Compressor, 2ea Available70637 CTI Cryogenics ON BOARD IS CRYOPUMP0190-19393 / 8186227G004 300mm
69958 CTI Cryogenics On-Board Cryopump ON BOARD IS CRYOPUMP33798 CTI CRYOGENICS SCW Helium Compressor62544 CUDA M-300 High Intensity Fiber Optic Light Source75747 Custom 3 bath ultrasonic c leaner 150 mm69725 Cybeq 2850 Prealigner 35930 CYBEQ 6100 integrated controllers for pre-aligner & robot71452 Cybeq 6100 Controller35928 CYBEQ 6100 Cybeq 6100 Controller parts/assemblies35924 CYBEQ 6100 refurbished robot35925 CYBEQ 6100 refurbished robot35926 CYBEQ 6100 refurbished robot69724 Cybeq 6100 Robot Rontroller35929 CYBEQ 6100 Selection of Cybeq Pre-Aligner assemblies 35927 CYBEQ 6100 Spare Parts35923 CYBEQ IP 9000 CMP Planerisation and Cleaning sys300mm35922 Cybeq Isoplaner 8000 CMP Tool 150/200mm59190 Cyberoptics SE300 Laser Solder Paste AOI53029 Cyberoptics Flex AOI / Automated Optical Inspection69260 Cymer ELS 4000 Laser69261 Cymer ELS 5600 Laser69353 Cymer ELS 5610 248 nm laser Facilities70987 Cymer ELS 6300 248 nm excimer laser FACILITIES75172 Cymer ELS 6300 248 nm excimer laser FACILITIES68674 Cymer ELS-4300F Illumination System 150mm60495 Cymer ELS-4300F Illumination System74660 Cymer ELS-5400 KrF Laser FACILITIES74661 Cymer ELS-5410 KrF Laser FACILITIES74662 Cymer ELS-6400 KrF Laser FACILITIES71023 DAESUNG RESIN Cooler57821 DAESUNG ENG DS-STMP TAPE MOUNTER34308 Dage 10Kg WP Wire Pull Module for Dage 2400PC67528 Dage 2067526 Dage 2267176 Dage 23 Die Shear Tester 100,200,500 Gram available
67527 Dage 2400 PULL TESTER32134 Dage 2400-TX Wire Pull Ball Shear Tester
27753 Dage 2400PC Wire Pull and Ball Shear Tester66150 DAGE 4000 PULL TESTER72338 Dage 4000 Shear Tester 150 mm69732 Dage 4000 Wire Pull, Die Shear, Ball Shear Tester69789 Dage 4000-HK-5-2 160-OT12-PTP-02 PULL TEST PIN69322 Dage BS250 Ball Shear Module for DAGE 400034304 Dage BS500 Ball Shear module9916 DAGE BT 24 Ball/Die Shear Tester ASSEMBLY61380 DAGE BT-100 DIE SHEAR TESTER68183 DAGE BT-100 Die Shear Tester75176 Dage BT-100 Material Tester75175 Dage BT-100 Material Tester67432 Dage BT-23 w/200 gram head with IEEE computer interface 71963 DAGE BT-2400 PULL TESTER 8"68184 DAGE DAGE-4000 Tester71063 Dage DS100KG Die Shear Cartridge for Dage2400PC67433 Dage MCT-20 Die shear head, ball shear also available 67434 Dage MCT-22 100g pull tester, 200g die shear head also available 58837 Dage Series 14L2 Pull Test59143 Dage WP100g Wire pull module for Dage 400070132 Dage 22 Wire Pull, Die Shear, Ball Shear Test assembly33626 DAGE 2400PC Wire Bond pull Tester35553 DAGE 2400PC-BS500G 500g Ball Shear Load Cell for Dage 240035555 DAGE 2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 240071799 Dage 4000 Wire Pull, Die Shear, Ball Shear Tester67128 DAGE 4000-WP100 100g Wire Pull Load Cell for Dage 400033623 DAGE BT-22PC Microtester with 50gm or 200gm wire pull load cells
33624 DAGE BT-23 Microtester with LC200 Die Shear Load Cell64777 DAGE BT22-PC Assembly Test Tool with 50gm Wire Pull Load and Rotating Hook71207 Dage DS 100Kg Die Shear Cartridge for Dage 400063097 Dage Precis ion BT 23 WIRE PULL Tester ASSEMBLY69864 Daifuku Various AMHS Equipment for 300 mm Wafer S300 mm22915 Daihen MFG-10SD Power Generator / New73035 Daikin UBRP4CTH IN CHILLER model UBRP4CTH IN
13069 daikin industries ltd mr-02b-2-50-29 relief valve69275 Dainippon (DNS) FC 821L WET 200 mm
57168 Dainippon Screen Mfg. Co. MP-2000 Single Wafer Processing 200 mm66696 Dainippon Screen Mfg. Co. SS-80BW-AR Wafer Scrubber 200
67548 Dainippon Screen Mfg. Co. SS-80BW-AR Wafer Scrubber 200mm67550 Dainippon Screen Mfg. Co. SS-80BW-AR Wafer Scrubber 200mm67551 Dainippon Screen Mfg. Co. SS-80BW-AR Wafer Scrubber 200mm67552 Dainippon Screen Mfg. Co. SS-80BW-AR Wafer Scrubber 200mm37044 Dainippon Screen Mfg. Co. SS-W80A-A Wafer Scrubber 200mm16999 DAITO COMMUNICATION A SMP50/ SMP20 FUSES61489 DAN SCOV8795 Cassett Clean Oven 200mm68808 DAN SCOV8795 Cassett Clean Oven 200mm67088 DAS SUPRA II ASHER 200 MM68769 DAS Science TKW-5S Wet Station 200mm56322 Datacon 2200PPS Die Bonder 71852 Datacon DS 9000 Wafer36670 Datacon PPS 2200 die attach bonder assembly71124 Datacon APM 2200 Multi Chip Die Bonder60852 Daymarc 3287 Tri-Temp, 300 mil, SOJ kit75181 DDM Novastar LS40V Pick and Place SMT67453 DeHaart 12E 7X10" PRINT AREA, UP TO 12" SCREENS, SEMIAUTOMATIC BENCH TOP UNIT 67456 DeHaart AOL-12 12X12 SCREEN, 6X8" PRINT AREA SEMI AUTOMATIC 67455 DeHaart AOL-15 10X12" PRINT AREA, UP TO 15" SCREENS, SEMI-AUTOMATIC 67454 DeHaart AOL12AEFW 12X12" SCREEN, 6X8" PRINT AREA, CONVERTED TO MANUAL OPERATION 56030 DEK Horizon Screen Printer70970 DEK Horizon 02i Screen Printer75174 DEK Horizon 03i Screen Printer72898 DEK Horizon 03i Screen Printer 70971 DEK Horizon 265 Screen Printer
34058 DEK 265EX Screen Printer36664 DEK GS Screen printer72886 DEK Horizon 01 Screen Printer72897 DEK Horizon 03 Screen Printer74060 DEK Europa In-Line Screen Printer assembly74061 DEK Galaxy In-Line Screen Printer assembly74062 DEK Galaxy In-Line Screen Printer n/a69276 Dektak 3030 Surface Profiler60325 Dektak Sloan V300-Si Wafer Surface Profiler 300 mm
55869 DELATECH CDO 858-4 Burn Box69909 Delta Castle LX 7500 Test Handler TEST
69910 Delta Castle LX 7500 Test Handler TEST69911 Delta Castle LX 7500 Test Handler TEST66670 DELTA EDGE DE8000 Technic Handler67186 DELTA MK 2800 16WX7X7" ID, -100 to 350 degrees F, CO2 cooled. (Email us for Photo) 67187 DELTA Mod 8000 Air to air top table shock chamber, dig setpoint, LCO2 cooled. (Email us for Photo) 21337 Delta SDH-1055 W/ITR Handler with package kit62175 Delta Turbo Flex Test Handler TEST67184 DELTA DESGN 7650 C 20X20X25"D ID, -73 to 315 degrees C, horizontal airflow, CO2 cooled. (Email us for Photo) 67185 DELTA DESIGN 2300 MICROPROCESSOR OR DIGITAL CONTROLLED ID 8X8X10" WIDE REQUIRES CO2 OR LN2 FOR COOLING 69908 Delta Design Castle LX 7500 Test Handler TEST61109 Delta Design Edge DE800071696 Delta Design Matrix Pick and Place handler TEST71595 DELTA DESIGN NITRO Test Handler16929 Delta Design RFS 2040 IC Handlers75701 Delta Design Summit PTC Pick and Place Logic Handler N/A75702 Delta Design Summit PTC Pick and Place Logic Handler N/A70024 Delta Design Turbo Flex Test Handler Tri-temperature Test38462 Delta Design BGA and CQFP Change Kits22923 Delta F PAH0025 Platinum Series Process Oxygen An facilities71787 Delvotec 6400DA Deep Access Gold Wedge Bonder71843 Delvotec 6600 Wire Bonder 61205 Delvotec 6830 Dual Head Heavy Wire Bonder assembly34632 Delvotec 6830 Dual Head Heavy Wire Bonder assembly
71070 Delvotec 6834 Bonder for TO22071747 Delvotech / F and K 64/66000 G5 Wire Bonder assembly71748 Delvotech / F and K 6400 Wire Bonder assembly69277 Denton DV-502C High Vacuum Evaporator58851 Despatch CDF 7210 Firing/Drying Furnace 156 mm59144 Despatch CDF7210 Firing furnace / Reflow oven 156 mm square67383 Despatch LAC2-12-267108 Despatch LCD1-16NV-3 Inert Atmosphere Oven71560 DFD 641 Dicing Saw71123 DFD 641 Dicing Saw 50199 Diatron WT8000 Wafer transfer system 200mm
61384 DIGITAL INSTRUMENT NANOSCOPE III Measuring 200mm68221 Digital Instrument NANOSCOPE III Measuring 200mm
69306 Digital Instruments Nanoscope III Scanning Probe Microscope59187 Digital Test MTS 300 Sigma III Digital Test59188 Digital Test MTS 300 Sigma III Digital Test63826 Digitizer Acqiris DC240 with CC103 Mainframe35894 Dionex DX600 Chromatograph N/A6534 Disco 2D8 Dicing Saw70049 Disco DAC551 dicing saw67440 Disco DAD 2H/6 Dicing Saw 150mm67441 Disco DAD 2SP6T DICING SAW 6" Capability34101 Disco DAD 320 Dicing Saw 150 mm70080 Disco DAD 321 Dicing Saw 150 mm70081 Disco DAD 321 Dicing Saw69731 Disco DAD 321 Dising Saw 54576 Disco DAD 521 Automatic Dicing Saw 150 mm71567 Disco DAD 522 Dicing Saw74102 Disco DAD 640 Dicing Saw refurbished
69377 Disco DAD-2H/6T Dicing saw 125mm54579 Disco DAD-562 Automatic Dicing Saw 150mm70041 Disco DAD320(6") dic ing saw 150 mm70042 Disco DAD321 dicing saw70046 Disco DAD380(12") dic ing saw 300 mm65879 Disco DAD3S/8 Automatic Dicing Saw70043 Disco DAD3S/8 dicing saw
65880 Disco DAD520 Semi Automatic Dicing Saw70044 Disco DAD521(6") dic ing saw 150 mm70045 Disco DAD522(6") dic ing saw 150 mm70047 Disco DAD561(6") dic ing saw 150 mm70048 Disco DAD562(6") dic ing saw 150 mm70054 Disco DAD641 dicing saw65886 Disco DAD641 Dicing Saws 200mm70050 Disco DAD695 dicing saw65887 Disco DAD695 Semi Automatic Dicing Saw70056 Disco DAG810 dicing saw67442 Disco DAS 6IL DICING SAW, 6X12" CUTTING AREAIDEAL FOR CUTTING HARD MATERIALS
65950 Disco DCS140 Automatic Cleaning SYSTEM72928 Disco DCS140 Wafer Washer
69896 Disco DFD 6361 Singulation Saw71243 Disco DFD 640 Dicing saw65889 Disco DFD620 Full Automatic Dicing Saw 150mm
70051 Disco DFD620(6") dic ing saw 150 mm68893 Disco DFD6361 for s ingulation dic ing saw for s inglulation
53979 Disco DFD640 Automatic Dicing Saw System70052 Disco DFD640(8") dic ing saw 200 mm58802 DISCO DFD641 Fully Automatic Dicing Saw 200mm70053 Disco DFD641(8") dic ing saw 200 mm65892 Disco DFD650 Full Automatic Dicing Saw74168 Disco DFD651 DICING SAW 3,4,5,6,874169 Disco DFD651 DICING SAW 3,4,5,6,874170 Disco DFD651 DICING SAW 3,4,5,6,874171 Disco DFD651 DICING SAW 3,4,5,6,870055 Disco DFD670 dicing saw65893 Disco DFD670 Full Automatic Dicing Saw 300 MM
69453 Disco DFG 841 Backgrinder69380 Disco DFG-82IF/8 Back Grinder 125mm67796 Disco DFG-82IF/8 Grinder67797 Disco DFG-82IF/8 Grinder72339 Disco DFG840 Back grinder 150 mm70059 Disco DFG840HS back grinder70057 Disco DFG841 back grinder72340 Disco DFG850 Back grinder 150 mm70062 Disco DFL 7160 laser saw65918 Disco DFL7160 LASER SAW61138 Disco DFL716070060 Disco DTU151 CHILLER65913 Disco DTU151 Chiller57820 DISCO DTU151 Chiller70061 Disco DTU152 CHILLER65914 Disco DTU152 Chiller70058 Disco DVC010 COOLING UNIT65948 Disco DVC010 Vacuum system56683 Disco EAD 6361 Inteconn 8808+EAD6361 saw27767 Disco EAUJ--107600 Cable Unit (Z-Axis Motor 1)
56873 disco madpr005--g chuck table (6")16266 disco madpr005--g chuck table (6")13138 disco modfy488--d fine ceramic porous chuck
13140 disco modfy493--E fine ceramic porous chucktable13139 disco modfy494--c fine ceramic porous chuck
27766 Disco MOEKL010 Toothed Belt27768 Disco MOENN004 Touch up Paint16329 DISCO SPARE PARTS DISCO SPARE PARTS17012 Disco Spindle Disco Spindle refurbishment36660 Disco 3350 Dicing Saw 6"71862 Disco 640 DICING SAWS FOR PARTS71081 Disco 670 Discing Saw 12 inch 69230 Disco DAD 321 Dicing Saw55971 Disco DAD 321 Dicing Saw
71774 Disco DAD 380 Dicing Saw 12 Inch72876 Disco DAD 651 Dicing Saw
71439 Disco DBG Line Dicing Before Grinding Complete Line 75156 Disco DFD 6361 Dicing Saw (6" s ize and included 8" conversion kit)69895 Disco DFD 6361 Dicing singulation Saw67958 Disco DFD 640 Dicing Saw71242 Disco DFD 640 Dicing Saw70133 Disco DFD 640 Dicing Saw70082 Disco DFD 641 Dicing Saw 200mm70312 Disco DFD 641 Dicing saw 8"69832 Disco DFD 641 Dicing Saw 70134 Disco DFD 650 Dicing saw75158 Disco DFD 651 Dicing Saw70311 Disco DFD 670 Dicing saw 12 Inch71775 Disco DFD 670 Dicing Saw 12 Inch63595 Disco DFD-2D8 Fully Automatic Dicing Saw71208 Disco DFD6361 Dicing Saw 12 Inch 69325 Disco DFG 841 Backgrinder70135 Disco DFG 850 Backgrinder61216 Disco DFG840 Backgrinder 200mm62724 Disco DFL7160 laser saw71557 Disco DFP 8160 Grinder Polisher69331 Disco DFP8140 Wafer Polisher 36005 Disco DTU 152 Temperature Control Unit27765 Disco MOELT044 Toothed Belt
62733 Disco Wafer Frames Disco 8" wafer Frames 62093 Disco Backgrinder Parts
73128 DISCO DFP8160 Wafer Polisher 12"73129 DISCO DGP8761 Fully Auto Wafer Thinning system 6"
32228 Disco Saw 2D8 DICING SAW18883 DNS 006 9105 D-SPIN 622 Service manual / part lis t31648 DNS 1110-408899-11 Olives18881 DNS 2229 D-SPIN 80A Electrical c ircuit diagram18882 DNS 603 9309 D-SPIN 60A Part lis t18888 DNS 603-9311 D-SPIN 60A Part lis t71640 DNS 60A COATER DEVELOPER
68547 DNS 627 Coater/Developer 125mm72815 DNS 629 Scrubber 150 mm
72816 DNS 629 Scrubber 150 mm72817 DNS 629 Scrubber 150 mm
72818 DNS 629 Scrubber 150 mm72819 DNS 629 Scrubber 150 mm72820 DNS 629 Scrubber 150 mm18884 DNS 6398 D-SPIN 60 A Electrical c ircuit diagram18885 DNS 6398 D-SPIN 60 A Part lis t18886 DNS 6398 D-SPIN 60A Service manual35676 DNS 80 i-LINE Coater/Developer System18879 DNS 803-9605 D-SPIN 80A Parts lis t 18878 DNS 806 9406 D-SPIN 60A Instruction manual18887 DNS 806-9311 D-SPIN 60A Instruction manual18889 DNS 806-9371 D-SPIN 60 Instruction manual18880 DNS 8089702 D-SPIN 80A Engineer's manual74549 DNS 80A Photoresist Coater/Developer 868909 DNS 80A68910 DNS 80A71639 DNS 80B COATER DEVELOPER68908 DNS 80B35984 DNS 80B Coater Linear Wafer Tracks (Resist Coater 200 mm35985 DNS 80B Coater Linear Wafer Tracks (Resist Coater 200 mm35981 DNS 80B Coater Linear Wafer Tracks (Resist Coater 200 mm35983 DNS 80B Developer Linear Wafer Tracks - 3 station Dev 200 mm75771 DNS AS 2000 WET CLEANER 200 mm75207 DNS AS-2000 Standalone CMP Cleaner 200
75208 DNS AS-2000 Standalone CMP Cleaner 20071457 DNS AS-2000 Standalone CMP Cleaner 200 mm
75033 DNS AS2000 Cleaner 870148 DNS AS2000 CMP 200 mm70149 DNS AS2000 CMP 200 mm70155 DNS AS2000 CMP SCRUBBER 200 mm75805 DNS AS2000 WAFER SCRUB TRACK 200 MM75804 DNS AS2000 WAFER SCRUB TRACK 200 MM71517 DNS AS2000 wet SCRUB 200 mm71463 DNS COMPACT CW-1500 WET 150 MM68655 DNS CV-8000 Non-contact C-V 125mm
71964 DNS DNS 60A PHOTORESIST COAT/DEV TRACK 6"71965 DNS DNS 60A PHOTORESIST COAT/DEV TRACK 6"
50191 DNS DNS SSW-80A DNS SSW-80A, (Dainippon screen) 200mm68560 DNS DNS_80A 1C/2D 200mm61456 DNS DNS_80A SPINNER70675 DNS DNS_80A SPINNER68696 DNS DNS_80A Spinner 200mm64271 DNS DNS_80A (Scurber)34470 DNS EEW 622-8 PHOTORESIST EDGE EXPOSURE S 200mm34469 DNS EEW-622-B PHOTORESIST WAFER EDGE EXPO 200mm35982 DNS FC-3000 Wet Etching System 300 mm75034 DNS FC-3000 Wet station 1266734 DNS FC-3000 WET STATION BACK END CLNS,CU 300mm75035 DNS FC-3100 Batch Wafer Processing 1259795 DNS FC-820L Wet Etching System 200 mm59796 DNS FC-820L Wet Etching System 200 mm72964 DNS FC3000 WET BENCH 12"65211 DNS FC3100 Wet Bench, Batch Wafer Processing 300 mm67815 DNS FC820L Auto Wet Etchers67816 DNS FC820L Auto Wet Etchers62332 DNS FL-820L HF ETCH PRE-OXIDATION CLEAN 150mm75209 DNS FL-820L Standalone CMP Cleaner 20034480 DNS FS-820-L WET HOOD 200mm34482 DNS FS-820-L WET HOOD 200mm34484 DNS FS-820-L WET HOOD 200mm
73051 DNS FS-820L Batch Wafer Processing 8"73052 DNS FS-820L Batch Wafer Processing 8"34485 DNS FS820L-SH WET HOOD 200mm75672 DNS LA-820 Traditional RTP Equipment 20067852 DNS LA-W815-AV2.575707 DNS MP-2000 Single Wafer Cleaner 20075036 DNS MP-3000 (AQUASpin) Wet Cleaning Equipment 1271462 DNS MP2000 WET 200 MM68646 DNS RD-641C-VM Spin Dryer 125mm68647 DNS RIW-601-B Spin Dryer 125mm68648 DNS RIW-621A Spin Dryer 125mm75440 DNS SC-60AW Cluster Tool Tracks (Resist Coater) 15074540 DNS SC-80A-AVFG Coater 570415 DNS SC-80BW-AV Linear Wafer Tracks (Resist Coater 200 mm70416 DNS SC-80BW-AV Linear Wafer Tracks (Resist Coater 200 mm70417 DNS SC-80BW-AV Linear Wafer Tracks (Resist Coater 6"70418 DNS SC-80BW-AV Linear Wafer Tracks (Resist Coater 6"73195 DNS SC-80BW-AV Linear Wafer Tracks (Resist Coater 6"74541 DNS SC-W60A Coater 675726 DNS SC-W60A-AV Brush Scrubber Track 150 mm74542 DNS SC-W60A-AV Coater 662437 DNS SC-W60A-AV WAFER SCRUBBER 150mm62438 DNS SC-W60A-AV WAFER SCRUBBER 150mm34110 DNS SC-W60A-AVC PIQ Coater34107 DNS SC-W60A-AVFG SOG Coater/Baker34112 DNS SC-W60A-AVN Baking34111 DNS SC-W60A-AVP PIQ Developer62292 DNS SC-W80A-AVFGLP SOG Furnace for 80A 200mm60416 DNS SC-W80A-AVFGLP SOG Furnace for 80A 200 mm
67832 DNS SC-W80A-AVG Coater59840 DNS SC-W80A-AVG Coater 200 mm74543 DNS SC-W80A-AVQ PR coater 868561 DNS SCW-80A-AVQ PIQ Coater 200mm75806 DNS SCW60A-AVFG WAFER SCRUB TRACK 150 MM75441 DNS SD-60AW Cluster Tool Tracks (Resist Develo 15074544 DNS SD-80BW-AVPE Developer 8
70419 DNS SD-80BW-AVPF Linear Wafer Tracks (Resist Devel 200 mm70420 DNS SD-80BW-AVPF Linear Wafer Tracks (Resist Devel 200 mm70422 DNS SD-80BW-AVPF Linear Wafer Tracks (Resist Devel 200 mm70421 DNS SD-80BW-AVPF Linear Wafer Tracks (Resist Devel 6"75725 DNS SD-W60A-AVP Brush Scrubber Track 150 mm74545 DNS SD-W60A-AVP Developer 562439 DNS SD-W60A-AVP WAFER SCRUBBER 150mm68548 DNS SD-W636-AVP Developer (Posi) D-SPIN636 125mm74546 DNS SD-W80A-AVFN Polymide bake 875442 DNS SD-W80B Cluster Tool Tracks (Resist Develo 20075443 DNS SD-W80B Cluster Tool Tracks (Resist Develo 20075444 DNS SD-W80B Cluster Tool Tracks (Resist Develo 20075445 DNS SD-W80B Cluster Tool Tracks (Resist Develo 20075446 DNS SD-W80B Cluster Tool Tracks (Resist Develo 20075447 DNS SD-W80B Cluster Tool Tracks (Resist Develo 20068761 DNS SDW-80A-AVFN PIQ Bake 200mm68562 DNS SDW-80A-BVPE Developer 200mm68563 DNS SDW-80A-BVPE Developer 200mm74547 DNS SDW-80A-BVPE Developer 871828 DNS SK 2000 Photoresist coat/develop system 200 mm70111 DNS SK 2000 Resist coater /developer 200 mm53366 DNS SK-200 (2) Main Bodys (1) 200 mm53367 DNS SK-200 (2) Main Bodys (1) 200 mm53368 DNS SK-200 (2) Main Bodys (1) 200 mm53369 DNS SK-200 (2) Main Bodys (1) 200 mm53370 DNS SK-200 (2) Main Bodys (1) 200 mm53371 DNS SK-200 (2) Main Bodys (1) 200 mm
53372 DNS SK-200 (2) Main Bodys (1) 200 mm53373 DNS SK-200 (2) Main Bodys (1) 200 mm
53374 DNS SK-200 (2) Main Bodys (1) 200 mm53375 DNS SK-200 (2) Main Bodys (1) 200 mm53307 DNS SK-200 SK-200W200mmphotolithography track200 mm75448 DNS SK-2000 Cluster Tool Tracks (Resist Coater 20053378 DNS SK-2000 Configured as follows: SK2000Main 200 mm53381 DNS SK-2000 Configured as follows: SK2000Main 200 mm53377 DNS SK-2000 Configured as follows:SK2000Main B200 mm53376 DNS SK-2000 Configured as follows:SK2000Main 200 mm53379 DNS SK-2000 Configured as follows:SK2000Main 200 mm
53380 DNS SK-2000 Configured as follows:SK2000Main 200 mm73196 DNS SK-2000 Single Block (Resist Coater/Develo 8"71638 DNS SK-80B COATER DEVELOPER75449 DNS SK-80BW Cluster Tool Tracks (Resist Coater 20068564 DNS SK-80BW-AV Coater 200mm68550 DNS SK-W636-CV Coater/Developer (Nega) D-SPIN 125mm68551 DNS SK-W636-CVN Coater/Developer (Nega) D-SPIN 125mm68552 DNS SK-W636-CVN Coater/Developer (Nega) D-SPIN 125mm68557 DNS SK-W80A-BVP Coat/Develop 150mm75724 DNS SK-W80A-BVP Photoresist Coater and Developer 200 mm75037 DNS SK-W80B scrubber track 471641 DNS SK200W COATER AND DEVELOPER TRACK
71642 DNS SK200W COATER AND DEVELOPER TRACK71643 DNS SK200W COATER AND DEVELOPER TRACK
68549 DNS SKW-629-BVP Coater/Developer 125mm74548 DNS SKW-80A-AVPE Track 8
68565 DNS SKW-80A-BV Coater 200mm68566 DNS SKW-80A-BV Coater 200mm68567 DNS SKW-80A-BV Coater 200mm68568 DNS SKW-80A-BV Coater (Multi Layer) 200mm9928 DNS SKW-80A-BVP Photoresist Coater Developer 200 mm67828 DNS SKW-80B Track 1COATER/2DEVELOPER67829 DNS SKW-80B Track 2COATER/2DEVELOPER
67830 DNS SKW-80B Track 2COATER/3DEVELOPER67831 DNS SKW-80B Track 3COATER/3DEVELOPER68457 DNS SP-W621 Spin Etcher 125mm67849 DNS SP-W813-U Spin?Processor71827 DNS SPIN 200 Photoresist coat/develop system 200 mm75769 DNS SPW 813 SCRUBBER TRACK 200 mm70900 DNS SPW-3000 BACK SIDE COAT68458 DNS SPW-621-A Spin Etcher (Al) 125mm75210 DNS SPW-813 Standalone CMP Cleaner 20075038 DNS SR-3000 (AQUASpin) Polymer Residue Removal System 1256047 DNS SS-80BW-A Scrubber73053 DNS SS-80BW-AR Wafer Scrubber 8"73054 DNS SS-80BW-AR Wafer Scrubber 8"56660 DNS SS-W60A-AR Double-side Wafer Scrubber 150mm75039 DNS SS-W60A-AR Double-side Wafer Scrubber 675807 DNS SS-W60A-AR WAFER SCRUB TRACK 150 MM72821 DNS SS-W60A-AR Wafer Scrubber 150 mm60945 DNS SS-W60A-AV60946 DNS SS-W60A-AV54008 DNS SS-W80-AR Wafer Spin Scrubber54009 DNS SS-W80-AR Wafer Spin Scrubber54010 DNS SS-W80-AR Wafer Spin Scrubber54011 DNS SS-W80-AR Wafer Spin Scrubber54012 DNS SS-W80-AR Wafer Spin Scrubber54013 DNS SS-W80-AR Wafer Spin Scrubber54014 DNS SS-W80-AR Wafer Spin Scrubber53320 DNS SS-W80A 4 CupJetsprayNo Vacuum-less Han200 mm64398 DNS SS-W80A Backside Scrubber for SS-W80A-AR (ST)75708 DNS SS-W80A-AR Scrubber 200
75709 DNS SS-W80A-AR Scrubber 20060989 DNS SS-W80A-AR SCRUBBER60990 DNS SS-W80A-AR SCRUBBER75710 DNS SS-W80A-AVR Scrubber 20075711 DNS SS-W80A-AVR Scrubber 20075712 DNS SS-W80A-AVR Scrubber 20075713 DNS SS-W80A-AVR Scrubber 20075714 DNS SS-W80A-AVR Scrubber 20071966 DNS SSW_60A_R SCRUBBER 6"70093 DNS SSW-636A SCRUBBER TRACK 150 MM62460 DNS SSW-80A Wafer Scrubber (w/ Nano Spray) 200mm60519 DNS SSW-80A Wafer Scrubber (w/ Nano Spray) 200 mm34827 DNS SSW-80A Wafer Scrubber (w/ Nano Spray) 200mm62461 DNS SSW-80A Wafer Scrubber (w/ Nano Spray) ( 200mm60520 DNS SSW-80A Wafer Scrubber (w/ Nano Spray) ( 200 mm34828 DNS SSW-80A Wafer Scrubber (w/ Nano Spray) ( 200mm67083 DNS SSW-80A-AR Scrubber with Nano Spray Configurationn 62463 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm62464 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm62465 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm62466 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm62467 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm62468 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm62469 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm60521 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200 mm60522 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200 mm60523 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200 mm60524 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200 mm60525 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200 mm60526 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200 mm60527 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200 mm60528 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200 mm34829 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm34830 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm34831 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm34832 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm
34834 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm34835 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm34836 DNS SSW-80A-AR Wafer Scrubber (w/ Nano Spray) 200mm34837 DNS SSW-80A-AR Wafer Scrubbing DIW (Nano Spray) 200mm62470 DNS SSW-80A-AR Wafer Scrubbing DIW (w/Nano Spra 200mm60529 DNS SSW-80A-AR Wafer Scrubbing DIW (w/Nano Spra 200 mm69355 DNS SSW-80B-AR SCRUBBER 200mm67678 DNS SSW6072042 DNS SSW80A COATER AND DEVELOPER TRACK 8"72043 DNS SSW80A SCRUBBER TRACK 8"72044 DNS SSW80A SCRUBBER TRACK 8"75939 DNS STM-603-P THICKNESS MEASUREMENT 200 MM22924 DNS VP-C812 Wet Etching System 200mm72822 DNS WS-620C Wet bench - Hot Phosphoric acid p 150 mm54858 DNS WS-820C Automated Wet Processing System w200mm35678 DNS WS-820C Nitride Hood35677 DNS WS-820C Post Ash Hood35679 DNS WS-820C Silic ide Hood34488 DNS WS-820C WET HOOD 200mm34490 DNS WS-820C WET HOOD 200mm34486 DNS WS-820C WET HOOD 200mm54861 DNS WS-820L Wet - Process 200mm62440 DNS WS-W625 TYPE 8 PIRANHA CLEAN 150mm62441 DNS WS-W625 TYPE 8 PIRANHA CLEAN 150mm62240 DNS WS-W625 TYPE 9 NITRIDE STRIP 150mm75983 DNS WS620C WET ETCH 200 mm75984 DNS WSW625 WET ETCH 200 mm
75985 DNS WSW625 WET ETCH 200 mm34481 DNS FS-820-L WET HOOD 200mm34483 DNS FS-820-L WET HOOD 200mm34479 DNS FS-820-L WET HOOD 200mm34489 DNS WS-820C WET HOOD 200 mm34487 DNS WS-820C WET HOOD 200mm34491 DNS WS-820C WET HOOD 200mm
71745 DNS / Sokuda RF3 193 nm Coater and developer track 200 mm / 300 mm31228 DNS / SOKUDO RF300A DEVELOPER TRACK 200 mm36506 Dongwha BAKE OVEN71033 DONGYANG DVS-018 VISUAL INSPECTOR71034 DONGYANG DVS-018 VISUAL INSPECTOR71030 DONGYANG DVS-018-V VISUAL INSPECTOR71031 DONGYANG DVS-018-V VISUAL INSPECTOR71032 DONGYANG DVS-018-V VISUAL INSPECTOR71029 DONGYANG FDM-411V MARKING M/C(Auto Inkjet)62214 DPN CHAMBER62213 DPS POLY CHAMBER64323 DS DS141 Automatic Cleaning SYSTEM65943 DS DSS-1 Stereomicroscope-binocular65944 DS DSS-2 Stereomicroscope-binocular (for inspection)65945 DS DSS-3 Stereomicroscope-trinocular65907 DS NMM8901 Tape Mounter71444 DS UV New Belt UV Cure 65949 DS Ring Expander65919 DS UV CURE Belt64326 DS UV CURE Box65908 DS Wafer Mouter 150mm64320 DS Wafer Mouter 150mm, 200mm64322 DS Wafer Mouter 200mm58813 DS Precis ion Ind. Co. DS-Precis ion Lapping & Polis ing M/C64329 DS Semicon Co., Ltd. DLM0158228 DYNA TECH DT-HVS-330A REWINDER70400 Dynamic Micro Systems 2090 Retic le Stocker n/a64311 DYNATECH DT-MWM1030A Semi Automatic Tape Mounter62675 Dynatex DX-III Wafer Scriber Breaker
9933 DYNATEX DX111 Wafer Scriber 4 INCH67443 Dynatex DXB-525-01 WAFER BONDER, BONDS RUBBER DISC TO WAFERS UP TO 6" PRIOR TO DICING, SCRIBING 22691 Dynatronix Various Pulse, Pre Series, Programmable Po N/A74741 E&H MX203 Metrology 471486 E+H MX6012 Multistation Wafer sorter 300 mm27816 Eaton 1706380RD NOZ RTN62394 EATON LSI-60 PHOTO SPIN TRACK 150mm62245 Eaton/Axcelis Fusion Gemini GPL 220 IP Resist Ashing 200mm60369 Eaton/Axcelis Fusion Gemini GPL 220 IP Resist Ashing 200 mm21109 EBARA 150x40 Dry vacuum pump pump33800 EBARA 40x20 Dry Vacuum Pump, 42 CFM, 5 Pump Stages, Ultimate Pressure of 30 m Torr, 2ea Available33801 EBARA 50X20 Dry Vacuum Pump, 127 CFM, 6 Pump Stages, 10ea Avaiable21108 EBARA 80x25 Dry vacuum pump pump33802 EBARA 80X25 Dry Vacuum Pump, 300 CFM, 6 Pump Stages59159 Ebara A07V Dry Pump37186 Ebara A10S Dry Pump 200mm37187 Ebara A10S Dry Pump 200mm37191 Ebara A10S Dry Pump 200mm37195 Ebara A10S Dry Pump 200mm37199 Ebara A10S Dry Pump 200mm37230 Ebara A10S Dry Pump 200mm37231 Ebara A10S Dry Pump 200mm53934 Ebara A10S Dry Pump 200mm53935 Ebara A10S Dry Pump 200mm53936 Ebara A10S Dry Pump 200mm53940 Ebara A10S Dry Pump 200mm53941 Ebara A10S Dry Pump 200mm53945 Ebara A10S Dry Pump 200mm53946 Ebara A10S Dry Pump 200mm53950 Ebara A10S Dry Pump 200mm53954 Ebara A10S Dry Pump 200mm53955 Ebara A10S Dry Pump 200mm53959 Ebara A10S Dry Pump 200mm53960 Ebara A10S Dry Pump 200mm73265 Ebara A10S Dry Pump n/a73266 Ebara A10S Dry Pump n/a
73267 Ebara A10S Dry Pump n/a73268 Ebara A10S Dry Pump n/a73269 Ebara A10S Dry Pump n/a73270 Ebara A10S Dry Pump n/a73271 Ebara A10S Dry Pump n/a73272 Ebara A10S Dry Pump n/a73273 Ebara A10S Dry Pump n/a73274 Ebara A10S Dry Pump n/a73275 Ebara A10S Dry Pump n/a73276 Ebara A10S Dry Pump n/a73277 Ebara A10S Dry Pump n/a73278 Ebara A10S Dry Pump n/a73279 Ebara A10S Dry Pump n/a73280 Ebara A10S Dry Pump n/a73281 Ebara A10S Dry Pump n/a37107 Ebara A10S Dry Pump37121 Ebara A10S Dry Pump37122 Ebara A10S Dry Pump37126 Ebara A10S Dry Pump37127 Ebara A10S Dry Pump37128 Ebara A10S Dry Pump37129 Ebara A10S Dry Pump37143 Ebara A10S Dry Pump37144 Ebara A10S Dry Pump67617 Ebara A10S Dry Pump67618 Ebara A10S Dry Pump67619 Ebara A10S Dry Pump67620 Ebara A10S Dry Pump67621 Ebara A10S Dry Pump67622 Ebara A10S Dry Pump67623 Ebara A10S Dry Pump67624 Ebara A10S Dry Pump67625 Ebara A10S Dry Pump67626 Ebara A10S Dry Pump
67627 Ebara A10S Dry Pump67628 Ebara A10S Dry Pump
67629 Ebara A10S Dry Pump67630 Ebara A10S Dry Pump67631 Ebara A10S Dry Pump67632 Ebara A10S Dry Pump67633 Ebara A10S Dry Pump67634 Ebara A10S Dry Pump67636 Ebara A10S Dry Pump67637 Ebara A10S Dry Pump67638 Ebara A10S Dry Pump67639 Ebara A10S Dry Pump67640 Ebara A10S Dry Pump67641 Ebara A10S Dry Pump67642 Ebara A10S Dry Pump67643 Ebara A10S Dry Pump67644 Ebara A10S Dry Pump67645 Ebara A10S Dry Pump67646 Ebara A10S Dry Pump67647 Ebara A10S Dry Pump67648 Ebara A10S Dry Pump67649 Ebara A10S Dry Pump67650 Ebara A10S Dry Pump67651 Ebara A10S Dry Pump67652 Ebara A10S Dry Pump67653 Ebara A10S Dry Pump67654 Ebara A10S Dry Pump67655 Ebara A10S Dry Pump57544 Ebara A150W-M Dry Pump 200 mm
57545 Ebara A150W-M Dry Pump 200 mm37142 Ebara A150W-M Dry Pump57409 Ebara A150W-M Dry Pump57410 Ebara A150W-M Dry Pump57411 Ebara A150W-M Dry Pump57412 Ebara A150W-M Dry Pump57413 Ebara A150W-M Dry Pump
57415 Ebara A150W-M Dry Pump57416 Ebara A150W-M Dry Pump
57420 Ebara A150W-M Dry Pump57431 Ebara A150W-M Dry Pump
57432 Ebara A150W-M Dry Pump57437 Ebara A150W-M Dry Pump57441 Ebara A150W-M Dry Pump57451 Ebara A150W-M Dry Pump57520 Ebara A150W-M Dry Pump57540 Ebara A150W-M Dry Pump57543 Ebara A150W-M Dry Pump57417 Ebara A150W-T Dry Pump57418 Ebara A150W-T Dry Pump57421 Ebara A150W-T Dry Pump57422 Ebara A150W-T Dry Pump57423 Ebara A150W-T Dry Pump57424 Ebara A150W-T Dry Pump57425 Ebara A150W-T Dry Pump57433 Ebara A150W-T Dry Pump57434 Ebara A150W-T Dry Pump57435 Ebara A150W-T Dry Pump57488 Ebara A150W-T Dry Pump57489 Ebara A150W-T Dry Pump57490 Ebara A150W-T Dry Pump57497 Ebara A150W-T Dry Pump57498 Ebara A150W-T Dry Pump57499 Ebara A150W-T Dry Pump57500 Ebara A150W-T Dry Pump57501 Ebara A150W-T Dry Pump
57502 Ebara A150W-T Dry Pump57503 Ebara A150W-T Dry Pump57504 Ebara A150W-T Dry Pump57505 Ebara A150W-T Dry Pump57506 Ebara A150W-T Dry Pump57507 Ebara A150W-T Dry Pump57508 Ebara A150W-T Dry Pump57509 Ebara A150W-T Dry Pump57510 Ebara A150W-T Dry Pump
57511 Ebara A150W-T Dry Pump57512 Ebara A150W-T Dry Pump
57513 Ebara A150W-T Dry Pump57514 Ebara A150W-T Dry Pump57515 Ebara A150W-T Dry Pump57516 Ebara A150W-T Dry Pump57517 Ebara A150W-T Dry Pump57518 Ebara A150W-T Dry Pump57519 Ebara A150W-T Dry Pump57537 Ebara A150W-T Dry Pump57538 Ebara A150W-T Dry Pump57539 Ebara A150W-T Dry Pump57541 Ebara A150W-T Dry Pump57542 Ebara A150W-T Dry Pump57462 Ebara A30W Dry Pump 200 mm57463 Ebara A30W Dry Pump 200 mm57465 Ebara A30W Dry Pump 200 mm57466 Ebara A30W Dry Pump 200 mm57467 Ebara A30W Dry Pump 200 mm37180 Ebara A30W Dry Pump 200mm37181 Ebara A30W Dry Pump 200mm37184 Ebara A30W Dry Pump 200mm37185 Ebara A30W Dry Pump 200mm37188 Ebara A30W Dry Pump 200mm37189 Ebara A30W Dry Pump 200mm
37192 Ebara A30W Dry Pump 200mm37196 Ebara A30W Dry Pump 200mm37197 Ebara A30W Dry Pump 200mm37205 Ebara A30W Dry Pump 200mm37208 Ebara A30W Dry Pump 200mm37209 Ebara A30W Dry Pump 200mm37212 Ebara A30W Dry Pump 200mm37221 Ebara A30W Dry Pump 200mm37228 Ebara A30W Dry Pump 200mm53937 Ebara A30W Dry Pump 200mm53942 Ebara A30W Dry Pump 200mm
53943 Ebara A30W Dry Pump 200mm53944 Ebara A30W Dry Pump 200mm
53947 Ebara A30W Dry Pump 200mm53948 Ebara A30W Dry Pump 200mm53949 Ebara A30W Dry Pump 200mm53951 Ebara A30W Dry Pump 200mm53952 Ebara A30W Dry Pump 200mm53953 Ebara A30W Dry Pump 200mm53956 Ebara A30W Dry Pump 200mm53957 Ebara A30W Dry Pump 200mm53958 Ebara A30W Dry Pump 200mm53961 Ebara A30W Dry Pump 200mm53962 Ebara A30W Dry Pump 200mm53963 Ebara A30W Dry Pump 200mm73282 Ebara A30W Dry Pump n/a73283 Ebara A30W Dry Pump n/a73284 Ebara A30W Dry Pump n/a73285 Ebara A30W Dry Pump n/a
73286 Ebara A30W Dry Pump n/a73287 Ebara A30W Dry Pump n/a37081 Ebara A30W Dry Pump37112 Ebara A30W Dry Pump37123 Ebara A30W Dry Pump37125 Ebara A30W Dry Pump37146 Ebara A30W Dry Pump
53924 Ebara A30W Dry Pump53925 Ebara A30W Dry Pump53926 Ebara A30W Dry Pump53927 Ebara A30W Dry Pump57400 Ebara A30W Dry Pump57401 Ebara A30W Dry Pump57402 Ebara A30W Dry Pump57407 Ebara A30W Dry Pump57408 Ebara A30W Dry Pump57455 Ebara A30W Dry Pump57456 Ebara A30W Dry Pump
57496 Ebara A30W Dry Pump57521 Ebara A30W Dry Pump
57522 Ebara A30W Dry Pump57523 Ebara A30W Dry Pump57524 Ebara A30W Dry Pump
57525 Ebara A30W Dry Pump57526 Ebara A30W Dry Pump
67660 Ebara A30W Dry Pump67661 Ebara A30W Dry Pump56004 Ebara A70W DRY VACUUM PUMP61054 EBARA A70W DryPUMP62669 Ebara A70W Dry Pump System67662 Ebara AA10 Dry Pump67663 Ebara AA10 Dry Pump67664 Ebara AA10 Dry Pump67665 Ebara AA10 Dry Pump67666 Ebara AA10 Dry Pump67667 Ebara AA10 Dry Pump
67668 Ebara AA10 Dry Pump67669 Ebara AA10 Dry Pump67670 Ebara AA10 Dry Pump67671 Ebara AA10 Dry Pump67672 Ebara AA10 Dry Pump67673 Ebara AA10 Dry Pump61042 EBARA AA20N DryPUMP61043 EBARA AA20N DryPUMP61059 EBARA AA20N DryPUMP69341 Ebara AA20N Vacuum Pumps61058 EBARA AA40W DryPUMP71082 Ebara AA70W Vacuum Pump 34364 Ebara AAL10 AAL10 Dry pump68419 Ebara CDO 885V P-CVD Scriber 125mm70677 EBARA EPO 222 CMP68408 Ebara EPO 222 CMP 200mm75770 EBARA EPO 222 CMP POLISHER 200 mm71803 Ebara EPO 222 CMP Polisher 200 mm71802 Ebara EPO 222 CMP Polisher 200 mm75900 EBARA epo 222 CMP Polisher 200 mm
64365 Ebara EPO-213 Chemical Mechanical Polisher (Oxide)64368 Ebara EPO-213 Chemical Mechanical Polisher (Oxide)64366 EBARA EPO-213 EBARA EPO-213 OXIDE CMP
64369 Ebara EPO-222 CMP OXIDE75211 Ebara EPO-222 - ILD ILD (InterLayer Dielectric) CMP Syst 200
75212 Ebara EPO-222 - ILD ILD (InterLayer Dielectric) CMP Syst 20075213 Ebara EPO-222 - ILD ILD (InterLayer Dielectric) CMP Syst 20075214 Ebara EPO-222 - ILD ILD (InterLayer Dielectric) CMP Syst 20075215 Ebara EPO-222 - ILD ILD (InterLayer Dielectric) CMP Syst 20075216 Ebara EPO-222 - Tungsten Metal Layer CMP System (Tungsten 20075217 Ebara EPO-222 - Tungsten Metal Layer CMP System (Tungsten 20074194 EBARA EPO-223 CMP 874195 EBARA EPO-223 CMP 874196 EBARA EPO-223 CMP 8
74197 EBARA EPO-223 CMP 874198 EBARA EPO-223 CMP 8
75218 Ebara EPO-223 - Tungsten Metal Layer CMP System (Tungsten 20075219 Ebara EPO-223 - Tungsten Metal Layer CMP System (Tungsten 20075220 Ebara EPO-223 - Tungsten Metal Layer CMP System (Tungsten 20054017 Ebara EPO2228 Tungsten CMP (WCMP)22929 Ebara ET 300 Turbo Controller74098 EBARA FREX 300 CMP Polisher 300 mm73130 Ebara FREX 300 Dielectric CMP 300 mm53448 Ebara FREX 300 Poly/STI CMP 300 mm53444 Ebara FREX 300 Poly/STI CMP 300 mm53443 Ebara FREX 300 Poly/STI CMP 300 mm53445 Ebara FREX 300 Poly/STI CMP 300 mm56371 Ebara FREX 300 Poly/STI CMP 300 mm53446 Ebara FREX 300 Poly/STI CMP 300 mm53447 Ebara FREX 300 Poly/STI CMP 300 mm75221 Ebara FREX 300 - Copper Metal Layer CMP System (Copper) 30075222 Ebara FREX 300 - Copper Metal Layer CMP System (Copper) 30075223 Ebara FREX 300 - Copper Metal Layer CMP System (Copper) 30075224 Ebara FREX 300 - Copper Metal Layer CMP System (Copper) 30069563 Ebara FREX 300 - Copper Metal Layer CMP System Copper 300 mm74101 Ebara FREX 300E CMP System, used for Tungsten 300 mm70676 Ebara FREX 300S CMP 300 mm56370 Ebara FREX300 Poly/STI CMP 300 mm56369 Ebara FREX300 Poly/STI CMP 300 mm
67248 Ebara FREX300 Poly/STI CMP 300mm68409 Ebara FREX300S CMP 200mm
74202 EBARA FREX300S TUNGSTEN CMP 300 mm74201 EBARA FREX300S TUNGSTEN CMP Polisher with integr12 inch
74199 EBARA FREX300S TUNGSTEN CMP Polisher with integr12 inch74200 EBARA FREX300S TUNGSTEN CMP Polisher with integr300 mm 73131 Ebara FREX300S Polishing HeadParts 12"22692 Ebara Various Vacuum Dry & Wet Pump(s) N/A34529 EBARA OZW3UL OZONE GENERATORS/ DI WATER 200mm66731 EBARA TECHNOLOGIES IN UFP-300A HVM AUTO PLTR SPR CADS RINSE 300mm61021 EBWARDS IH600 DryPUMP
61022 EBWARDS IH600 DryPUMP61023 EBWARDS IH600 DryPUMP
61024 EBWARDS IH600 DryPUMP61016 EBWARDS IH600
61017 EBWARDS IH60061018 EBWARDS IH60061019 EBWARDS IH60061020 EBWARDS IH8073055 ECI Technology Qualilab QL-5EX Plating Bath Analysis70401 Edlon 100 Gal Chemical/Gas Storage & Delivery n/a70402 Edlon 100 Gal Chemical/Gas Storage & Delivery n/a70403 Edlon 100 Gal Chemical/Gas Storage & Delivery n/a70404 Edlon 600 Gal Chemical/Gas Storage & Delivery n/a18922 EDWARDS 03-A301-51-883 Eh series mechanical booster pump and combination units18921 EDWARDS 03-A344-02-881 Rotary vacuum pump E1M40, E1M80,E2M40,E2M8018923 EDWARDS 03-A344-02-882 Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps69794 Edwards 1502E GAS REACTOR COLUMN (GRC) Facilities69799 Edwards 1502E GRC Facilities71873 Edwards 150D GAS REACTOR COLUMN (GRC) Facilities66767 Edwards 4080 Plus Chiller Facilities18865 EDWARDS A529-80-905 QMKII dry pumping system72188 Edwards AIM-S-NW25 Vacuum Gauge69356 EDWARDS BOC EDWARDS IH 600 DRY PUMP69357 EDWARDS BOC EDWARDS IH600 DRY PUMP69358 EDWARDS BOC EDWARDS IH600 DRY PUMP69359 EDWARDS BOC EDWARDS IH600 LK DRY PUMP69360 EDWARDS BOC EDWARDS IH600 NEDRY PUMP
4960 EDWARDS C33355000 SPEEDIVALVE SP25K PUMPS75130 Edwards DP-180 Dry Vacuum Pump pump
71173 Edwards DP40 Vacuum Pump9940 EDWARDS DP40/EH250 Vacuum Dry Pump/Blower Package67966 Edwards E100 IPUP Pump18584 Edwards E2M5 Vacuum Pump11449 Edwards Gate Valve Edwards Gate Valve22937 Edwards HCMB 600 Blower67971 Edwards HMB2400 IH Dry Pump Booster21124 Edwards i QDP 80 VACUUM PUMP PUMP54523 Edwards ih600 Dry Vacuum Pump combo pump
54522 Edwards ih600 Dry Vacuum Pump combo pump58427 Edwards IH600 New Look & New LK model Vacuum Pumps
61061 EDWARDS IH80 DryPUMP61031 EDWARDS IL 70 DryPUMP61060 EDWARDS IL70 DryPUMP61064 EDWARDS IL70 DryPUMP67970 Edwards iLS600N Smart Pump55870 EDWARDS IM Interface Module, 3ea Available67967 Edwards IPX100A Pump61028 EDWARDS IQDP 80 DryPUMP61029 EDWARDS IQDP 80 DryPUMP61030 EDWARDS IQDP 80 DryPUMP61050 EDWARDS IQDP 80 DryPUMP61053 EDWARDS IQDP 80 DryPUMP61025 EDWARDS IQDP 80+QMB 500 DryPUMP61026 EDWARDS IQDP 80+QMB 500 DryPUMP61027 EDWARDS IQDP 80+QMB 500 DryPUMP67964 Edwards IQDP40 Pump32200 Edwards iQDP40 Vacuum Pump54524 Edwards iQDP80 Dry Vacuum Pump pump69955 Edwards IQDP80 Vacuum Pump54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump54214 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump54216 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump11448 Edwards KF Flange Edwards KF Flange11450 Edwards KF Flange Edwards KF Flange67969 Edwards L70 & EH250 with control pPump71879 Edwards Mistral Hox-e Exhaust Scrubber61032 EDWARDS QDP 40 DryPUMP61033 EDWARDS QDP 40 DryPUMP61034 EDWARDS QDP 40 DryPUMP61035 EDWARDS QDP 40 DryPUMP61036 EDWARDS QDP 40 DryPUMP61052 EDWARDS QDP 40 DryPUMP61044 EDWARDS QDP 40 + QMB 25061045 EDWARDS QDP 40 + QMB 25061046 EDWARDS QDP 40 + QMB 25061047 EDWARDS QDP 40 + QMB 25061048 EDWARDS QDP 40 + QMB 25061049 EDWARDS QDP 40 + QMB 25061051 EDWARDS QDP 40 + QMB 25067963 Edwards QDP40 Pump54222 Edwards QDP80 Dry Vacuum Pump pump67965 Edwards QDP80 Pump54220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump54221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump61062 EDWARDS QDP80 + QMB500 DryPUMP50447 Edwards S150B SEM Sample Coater34625 Edwards TCU 40/80 CHILLER FACILITIES32174 Edwards TCU 40/80 CHILLER FACILITIES69850 Edwards TCU 4080 CHILLER FACILITIES22693 Edwards Various Vacuum Dry & Wet Pump(s) N/A
60549 Edwards Various Vacuum Pumps55871 EDWARDS Flash Module, 3ea Available69913 Edwards ATL TPU 4E TMS DIL Edwards-Atlas Thermal Processing unit (TPU) 62668 Edwards IQDP40/QMB 250 Dry Pump System11451 Edwards KF Flange Edwards KF Flange62667 Edwards IQDP40 Dry Pump32120 Edwards / Seiko Seiki SCU 301H Turbo pump controller pump
32119 Edwards / Seiko Seiki STP 301H Turbo pump pump52190 Edwards / Seiko Seiki STP301H Turbo Molecular Pump pump70516 Edwards High Vacuum InterniL70 Dry Pump n/a70517 Edwards High Vacuum InterniL70 Dry Pump n/a70518 Edwards High Vacuum InterniL70 Dry Pump n/a70519 Edwards High Vacuum InterniL70 Dry Pump n/a70520 Edwards High Vacuum InterniL70 Dry Pump n/a70521 Edwards High Vacuum InterniL70 Dry Pump n/a73056 EEJA CUP-Plater ECD (Electro Chemical Deposition)72868 EFD 1000DVE /1500D Manual dispensing system assembly67409 EG 660 Manual probe station16505 Eichhorn and Hausmann MX208 Wafer Geometry Gauge67148 EJ Systems Wakefeild Watchman 75 With digital readout and chart recorder. ID: 2'x2'x4'h, 200 & 250 deg C (Email us for photo) 67149 EJ Systems Watchman 75 Deg C, N2, chart rec, 75 positions for printed circuit boards Back plane(upgraded for operation at 250 degrees C) (2) in stock (Does not include power supplies, but does include power supply rack/ controller) (Email us for photo) 64347 EJLCD Line EJ LCD 3rd Generation Line57772 Ekra X5 Screen Printer13142 elco k100a-12 switching regulater13123 elco k100a-24 switching regulator16544 ELCO K10A-5 SWITCHING REGULATOR15655 ELCO K50A-12 SWITCHING REGULATOR 15654 ELCO K50A-15 SWITCHING REGULATOR 15659 ELCO K50A-24 SWITCHING REGULATOR15658 ELCO MMC50-1 POWER SUPPLY37626 Elec-Co PMD-11BT Motor Driver37625 Elec-co PMD-21F Motor Driver66642 ELECTROGLAS 1034XA Prober66643 ELECTROGLAS 1034XA Prober
66644 ELECTROGLAS 1034XA Prober62633 Electroglas 2001 Wafer Probers
69888 Electroglas 2001X Prober75888 Electroglas 2001X Prober 200 mm75889 Electroglas 2001X Prober 200 mm67101 Electroglas 2001X Wafer Prober Probe Station w / Waf 150mm60335 Electroglas 2010CX Wafer Prober w / Optem Scope 150 mm71737 Electroglas 2080X Prober60334 Electroglas 3001X Wafer Prober Probe Station 200 mm63652 Electroglas 4085 Auto Wafer Probe 200mm63653 Electroglas 4085 Auto Wafer Probe 200mm
71911 Electroglas 4085 Prober71888 Electroglas 4085 Wafer prober 6" 15071887 Electroglas 4085X 4085X Wafer Prober, hot chuck 20021875 ELECTROGLAS 4085X PROBER 150-200 MM21341 Electroglas 4085X Prober62678 Electroglas 4085X Automatic Prober 200 mm75772 Electroglas 4090 PROBER 200 mm75773 Electroglas 4090 PROBER 200 mm75774 Electroglas 4090u PROBER 200 mm75890 Electroglas EG2001X Prober 200 mm75891 Electroglas EG2001X Prober 200 mm75184 Electroglas EG4085X Automated Wafer Prober 200
75185 Electroglas EG4085X Automated Wafer Prober 20075186 Electroglas EG4085X Automated Wafer Prober 200
75187 Electroglas EG4085X Automated Wafer Prober 20069887 Electroglas EG5-300 Prober
68122 Electroglas EG5/300 Defect Inspection 200mm70678 ELECTROGLAS EG5/300 DEFECT INSPECTION 38467 Electroglas EG5/300 Wafer Prober 300mm14418 Electroglas PSM Horizen 4085X67410 Electroglas 1034X ELECTROGLAS 2001X PROBER MANUAL LOAD WITH 6" CHUCK FOR UP TO 6" WAFERS. (IEEE OPITON AVAILABLE) 62549 Electroglas 1034X Wafer Prober72700 Electroglas 2001 Automatic Wafer Prober 150 mm
72701 Electroglas 2001 Automatic Wafer Prober 150 mm72702 Electroglas 2001 Automatic Wafer Prober 150 mm72703 Electroglas 2001 Automatic Wafer Prober 150 mm68920 Electroglas 2001CX MANUAL LOAD PROBER 67411 Electroglas 2001X MANUAL LOAD PROBER 72704 Electroglas 2010 Automatic Wafer Prober 150 mm72705 Electroglas 2010 Automatic Wafer Prober 150 mm72706 Electroglas 2010 Automatic Wafer Prober 150 mm72707 Electroglas 2010 Automatic Wafer Prober 150 mm72708 Electroglas 2010 Automatic Wafer Prober 150 mm72709 Electroglas 2010 Automatic Wafer Prober 150 mm72710 Electroglas 2010 Automatic Wafer Prober 150 mm72711 Electroglas 2010 Automatic Wafer Prober 150 mm72712 Electroglas 2010 Automatic Wafer Prober 150 mm72713 Electroglas 2010 Automatic Wafer Prober 150 mm72714 Electroglas 2010 Automatic Wafer Prober 150 mm74899 ELECTROGLAS EG2001 Prober 674900 ELECTROGLAS EG2001CX Prober 674901 ELECTROGLAS EG2001X Prober 674902 ELECTROGLAS EG2001X Prober 674903 ELECTROGLAS EG2001X Prober 674904 ELECTROGLAS EG2001X Prober 674905 ELECTROGLAS EG4090u Prober 438468 Electroglas High Load Hinged Testhead Manipulator60765 Electroglas Spares68039 Electrolas 4080 Wafer Prober21383 Electromask TRE CC251, CC250, PG220 Photomask systems N/A21876 ELECTROTECH TRIKON MS 6210 MAGNETRON SPUTTERING SYSTE 100-150 MM59253 Electrovert Econopak Gold Wave Solder
59254 Electrovert Econopak Plus Wave Solder21100 Electrovert Europak 1 / 400F Flow Soldering Machine34538 ELECTROVISION - EC30200 AT11 ELECTRON CURE 30X 200mm35406 ELES ART 200 System 12+12 Universal Test Platform Burn In Stre TEST74063 ELES ART200 Burn-in Tester n/a71906 ELES DEV Development Station71905 ELES Tebis FTC System68185 Eles Semi Equipment TEBIS Flash Tester60844 Elgar AT8000 Power Supply67901 Eliog-Kelvitherm KU 200/10-10-10 PMC Oven69752 Eltec Metsorb Gas scrubber Facilities63768 EMC Cyberclean-3000 EMC Stencil Cleaner22942 EMI TCR 35T150-1-1502 TCR Power Supply16486 EMS Double Hot plate with controllers61139 EMTEC LD-AM-C000B65951 ENGIS EJW4601FNR LAPPING MACHINE70638 ENI DCG-200Z ENI 20KW POWER SUPPLY model DCG-200Z70640 ENI GHW12-12DF2N0-002 MKS ENI 12.56 MHz Power Supply70626 ENI GHW25A RF Generator70641 ENI GHW50Z-09029 ENI GHW-50 Genesis RF Generator GHW50Z-0902935563 ENI MW5-21021 Rackmount RF Matchwork Control Unit34542 ENI OEM 12A RF GENERATOR 200mm71886 ENI OEM 25XL RF Generator, 2/5KW, 15.56 Mhz22950 ENI OEM 650A XL RF Generator22949 ENI OEM-12A-21041-51 RF Generator22944 ENI OEM-12B RF Generator55873 ENI OEM-12B-03 RF Generator23156 ENI OEM-25A-XL RF GENERATOR71746 ENI OEM-28B-02 RF Generator55874 ENI OEM-6 XL RF Generator22947 ENI OEM-6AM-1B RF Generator22945 ENI OEM-6AM-1B-21251 RF Generator61528 ENI OEM-28B61529 ENI Plasmatech 2-HF62660 ENI OEM 12B RF Generator69754 Entegris Aeronex EGPS12H H2 Purifier 1000 slm Facilities
75908 EO technics SFL 263 laser marking system assembly62591 EPRO 142AX EPROM Tester66366 Epson 6040 High Speed Handler 18651 Epson SRC-320ABS Robot Controller60704 Ergomation Aero-Motive Lista Motorized Adjustable Height Workstation, Model BULA56779 ERSA Hotflow 5 HOTFLOW 5, Forced Convection Lead Free Reflow Oven59255 ERSA Versa Flow 40/50 Selective Solder26588 Esec 2005 Die Bonder57780 ESEC 2005 Eutectic Die Bonder66467 ESEC 2005 A-PLF Die Bonder21465 Esec 2006 Die bonder assembly51873 ESEC 2006HRX Die Bonder 66104 Esec 2007 CPU Board21464 Esec 2007 Die Attach assembly29801 ESEC 2007 TYPE 138 DIE BONDER ASSEMBLY66145 ESEC 2007HS DIE BONDER74143 ESEC 2007HS PLUS Die Bonder 6, 874144 ESEC 2007HS PLUS Die Bonder 6, 874145 ESEC 2007HS PLUS Die Bonder 6, 827778 ESEC 2007IC8 Die Attach27831 ESEC 2007IC8 Die Attach D-13752163 ESEC 2007IC8 D130 Die Bonder assembly36646 ESEC 2007IC8 TYPE 136 DIE BONDER ASSEMBLY27757 ESEC 2007LOC Die Attach72917 ESEC 2008 Die Bonder64455 ESEC 2008 Die Bonder complete and working condition 66011 Esec 2008 Die Bonders
62098 ESEC 2008 wire bonder assembly54583 ESEC 2008 HL Die Bonder66701 ESEC 2008 XP3 Die Bonder Assembly71764 ESEC 2008HS Die Bonder for LED assembly66168 ESEC 2008XP Die Bonder54188 Esec 2008XP Die Bonder56149 Esec 2008xP refurbished Die Bonder
69460 ESEC 2008XP54238 Esec 2008xP Die Bonder 66445 ESEC 3006 Wire Bonder66446 ESEC 3006 Wire Bonder66447 ESEC 3006 Wire Bonder66448 ESEC 3006 Wire Bonder66449 ESEC 3006 Wire Bonder66450 ESEC 3006 Wire Bonder66451 ESEC 3006 Wire Bonder68903 ESEC 3006 F/X Wirebonder , fullyautom.61140 ESEC 308868904 ESEC 3088 IP Wirebonder69738 ESEC 3088iP Bonder69737 ESEC 3088iP Bonder69461 ESEC 3088ip67444 Esec 8003 The Esec 8003 Dicing Saw (wafersaw) is a precis ion machine used to cut semiconductor wafers into indiv idual chips or dice. Wafers are held to the chuck table by means of vacuum and the chuck can accommodate circular and rectangular wafers in a range from33645 ESEC BL-050-N Parts Loader for Micron 2 Automatic Die Attacher33646 ESEC BU-050-N Parts Unloader for Micron 2 Automatic Die Attacher33647 ESEC Cat 2000 Die Attacher, 3ea Available71143 ESEC Tsunami 3100 Wire Bonder73036 ESEC 2004APLF Die Bonder soft solder53098 ESEC 2005APLF D311 Refurbished to OEM Specs 6"33541 ESEC 2005HR APLF Soft Solder Die Attach32471 ESEC 2006HR/X Smart Card Die Bonder Reel to Reel50569 ESEC 2008 Die Bonder34733 ESEC 2008 D168 Die Bonder refurbished52390 ESEC 2008 HL Die Bonder52389 ESEC 2008xP Die Bonder 71765 ESEC 3200 Wire Bonder for LED
34322 ESEC SD2 SYRINGE DISPENSER60749 ESEC / Zevatech CT-2000 Pick & Place Die Attach System71578 ESI 2050 LP laser trimmer34314 ESI 4300 Laser Trimmer67439 ESI 44 Laser Trimmer75940 ESI 9350 LASER FUSER 200 MM75941 ESI 9350 LASER FUSER 200 MM62619 ESI 9350 Laser Repair Station 200 mm69919 ESI 9350 Laser repair Systen 200mm62620 ESI 9800 Laser Fuser75113 ESI 9820 Laser Repair Station 1275114 ESI 9820 Laser Repair Station 1261112 ESI 983035252 ESI 9835 UV Laser ESI9835 70 kHz 300 MM35255 ESI 9835 UV Laser ESI9835 70 kHz; 300 MM70027 ESI 9835 HDE UV Laser fuser 100 khz 300 MM27110 ESI 9850 ESI Laser Cutter 300 mm61142 ESI AS2000FX61143 ESI AS211234355 ESI ESI 9275 Laser Cutter64387 ESI M9250B Laser Repair System (ST)64388 ESI M9250B Laser Repair System (ST)64385 ESI M9250B Laser Repair System FA (ST)64386 ESI M9250B Laser Repair System FA (ST)71218 ESI M9275 LASER MEMORY FUSER71219 ESI M9275 LASER MEMORY FUSER71220 ESI M9275 LASER MEMORY FUSER71221 ESI M9275 LASER MEMORY FUSER36507 ESI M9275 LASER REPAIR SYSTEM64390 ESI M9275 Laser Repair System (ST)64389 ESI M9275B Laser Repair System (ST)61144 ESI SS4059192 ESI System 44 Laser Trimmer61145 ESI Titan59943 ESMO ORIAN Manipulator Manipulator 150 mm66611 ESMO ORION Manipulator
34010 Espec PHH 200 Post Mold Cure Oven61220 Espec TSA-201D-W Thermal Shock Chamber with humidity61219 ESPEC TSA-201S-W Thermal Shock Chamber69327 Espec TSA-71L-A Thermal Shock Chamber 50205 Espec Convection oven, precis ion, medium size, like new50206 Espec Curing oven, like new. Large walkin s ize (curing solar panels)69777 Etamax Plato Photo illuminescence mapping syst 2-5 inch71740 ETEC /Applied Materials MEBES 4500S electron beam lithography mask writ retic le62701 Euclid Tech60496 EUGENETEC BLUEJAY M100 BL SP NIT 200 mm74969 Evatec Balzers BAK760 PVD 468718 Evertech FLR200 Straightner for FW FMII71967 EVG 101 SPRAY COATER 8"71657 EVG 420 bond aligner69830 EVG 501 Wafer Level Anodic Bonder 71656 EVG 520 bond aligner74104 EVG 520IS Semi-Automated Wafer Bonding Sys 100 mm and 150 mm75723 EVG 620 Mask Aligner75165 EVG 620 Mask aligner 150 mm73197 EVG EVG120 Coat and Develop Track73201 EVG EVG6200 INFINITY Mask Aligner 8"73113 EVG EVG850DB Automated Debonding System 6"73114 EVG EVG850LT SOI and Direct Wafer Bonding Syste 6"73115 EVG EVG850TB Temporary Bonding System 6"34347 EVG 520 Semi Automated Wafer Level Bonder67131 Ewald Instruments 778A EWALD INSTRUMENTS 778A BONDER72174 EWB Solutions FB319623.03 ESC Bellows28001 Exatron 902-2 Test Handler TEST60775 Exatron 902-2 Handler with Model 19LV-R10 Laser Marker60774 Exatron 902-1 Pick & Place Handler62731 EXFO Optical Test Equipment optical test equipment60497 Express Test ET.302.P Autoclave22696 Express Test ET.302.P Autoclave N/A51766 Express Test Hast1000 Highly Accelerated Stress Testing System
38470 Express Test? 245 Autoclave58824 F&K Delvotec 6230 Wire Bonder
58825 F&K Delvotec 6230 Wire Bonder58826 F&K Delvotec 6230 Wire Bonder72922 Fabsurplus Air Cooler Automatic Solar Module Laminator solar72923 Fabsurplus Conveyers Automatic Solar Module conveyers solar72921 Fabsurplus Laminator Automatic Solar Module Laminator solar72924 Fabsurplus Top Roller/Brush MechanismTop Roller/Brush Mechanism Plus Liftsolar72905 Fabsurplus 4 tube horizontal furnace 200 mm and 150 mm72906 Fabsurplus PECVD system 200 mm / 156 mm square75796 Facilities TEOS AS Refill system Bulk TEOS Delivery System 200 mm36508 FAITH RAP/TRAN-II MASS-TRANSFER36509 FAITH RAP/TRAN-II MASS-TRANSFER36510 FAITH RAP/TRAN-II MASS-TRANSFER55875 FAITH TECHNOLOGY RapiTran Wafer Transfer Station9954 FAITH TECHNOLOGY RapiTran 2 Wafer Transfer Station 150 mm 27874 FAS 907051-100 Excalibur ISR Operation and Maintenance Manual69263 FAS MicroE-200 Extrusion Coating System22624 Fast Technology Custom Custom Wet Bench WET36511 FBA solution FS-2000 E-BEAM INSPECTION54177 FCX PFC 250V-05-FN Frequency Converter71708 FEI 820 Dual beam Fib64161 FEI Certus Dual Beam FIB-SEM 200 mm75942 FEI CLM FIB SEM 200 MM72965 FEI DB1265 DUAL BEAM FIB SEM 12"70679 FEI DB1285 FIB SEM DUAL BEAM 12 inch56885 FEI Defect Analyzer 300 HP Dual Beam FIB-SEM 300 mm / 200 mm66710 FEI COMPANY 835 FIB W/ OMNIPROBE ENHANCED ET 200mm59163 Fein Focus FXS-100.25 Microfocus X-ray Inspection System
23744 FEIN FOCUS Tiger (160.40) X-Ray System 59215 Fein Focus Tiger 160.40 X-ray23688 FEINFOCUS FXS 160.40 X-Ray Inspection system69893 FETtest 3400E Parametric tester34715 Fico AMS-M Automolding System68719 FICO AMS-M31268720 FICO AMS-M312
34716 Fico TFM UF Trim and Form System69463 Fico TFM-UF
37753 Fico AMS 24M Molding System 54584 FICO MOLD Spare Parts
71104 Fico TFM 1A Trim & Form Machine54202 Fico TFM3A Trim & Form Machine67235 Filtrine POC-500W Chiller/Heat Exchanger67236 Filtrine POC-500W Chiller/Heat Exchanger67237 Filtrine POC-500W Chiller/Heat Exchanger71024 FINE TECHNO FT-5500 OVEN66507 FINETECH FINE PLACER A2 (183) Ceramic Plate Tester69745 Finnsonic W11-120/170 FINNSONIC STENCIL CLEANER STEANLESS STEEL69314 Fisher Scientific ISOTEMP 281 Vacuum Oven12564 Fisher Scientific 9100 Fluid Control System 51041 Fisher Scientific Micromaste 12-561-METL Scientific Micromaster Microscope60304 FK Delvotec 6320 Bonder System63769 FKN Depanalizer66592 FLOROD CORPORATION LFA Laser Cutter68907 Fluke 8505A Digital Multimeter68906 Fluke 8506A Digital Multimeter60732 Fluke 2175A Digital Thermometer60733 Fluke 2400A-102 Thermocouple Input Connector60734 Fluke 2400A-108 Voltage Input Connector60735 Fluke 8505A Digital Multimeter72823 Fluoroware HTC Boat Washer 150 mm75159 Fluoroware HTC 8030 Box washer62450 FLUOROWARE HTC8010 CASSETTE BOX WASHER 150mm60982 FOI Rydeen 7000 STELLA NONA413360718 FORMA Thermo Scientific 925 ULT Ultra Low Temperature Freezer
1557 FORTREND F6000QS 6 INCH WAFER TRANSFER 6 INCH54108 Fortrend Engineering F-8025 Wafer Metrology Sorter36694 Four Dimensions 280 C Automatic Sheet Resistiv ity Prober 150mm67403 Four Dimensions 4 point probe DIMENSIONS 4 POINT PROBE FOR UP TO 8" WAFERS PROBES MULTIPLE POINTS AUTOMATICALLY INCLUDES NEW 4 POINT PROBE HEAD 34534 FPS 6000 PRESS WITH OFFLOAD 200mm55974 FRONTIER FSM900TC-VAC FILM STRESS AND WAFER BOW M 200 mm18853 FSI 500539-001 Saturn MP comprehensive technical package27877 FSI 500539-004 Parts & Structures Manual18857 FSI 501442-058 Booster pump operations and maintenance
18852 FSI 501666-000 Operations and maintenance Excalibur ISR 18858 FSI 504099-001 Motorola mos 16 PLC expansion project
18863 FSI 903893-200 Helios 52 di water meter operation and maintenance manual18860 FSI 903943-200 Heated recirculations operations and maintenance manual 27829 FSI 904602-200 Manual18850 FSI 905403-001 Print and product structures (poli) mercury MP18856 FSI 905521 Model 1000 CDM operations and maintenance manual18855 FSI 906487 Chemblend 350 operation and maintenance manual18861 FSI 906488-100 Model 1000 CDM operations and maintenance manual18854 FSI 906496-100 Bit bus node installation system requirement and guidelines27830 FSI 906496-100 Manual18849 FSI 906498-100 FSI CHEM FILL Dec scotland system manual and print 18851 FSI 907575-100 Mercury MP spray processing syst manual27828 FSI 912629 Manual18859 FSI 912631 Excalibur ISR and EOS systems operations and maintenance manual18862 FSI 916197-100 Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual9679 FSI Aries Surface Conditioning System27840 FSI Booster Pump Manual27822 FSI Chemfill systems Manual27823 FSI Excalibur Manual27835 FSI Excalibur Manual 905364-20027876 FSI Excalibur 200mm Supplier Manual27827 FSI Excalibur ISR Manual27836 FSI Excalibur ISR Manual Prints & Product Structures27820 FSI Excalibur ISR & EOS Manual
27875 FSI HELIOS 52 Prints & Products structures Manual27871 FSI ISR Maual In Situ Rinse Prints & Parts Structures Manual72824 FSI Mercury Acid wafer c leaner 150 mm72825 FSI Mercury Acid wafer c leaner 150 mm72826 FSI Mercury Acid wafer c leaner 150 mm27825 FSI Mercury Manual27819 FSI Mercury Manual62259 FSI Mercury MP 8" turn table 200mm62260 FSI Mercury MP 8" turn table 200mm62261 FSI Mercury MP 8" turn table 200mm62262 FSI Mercury MP H2SO4 heater 200mm
27834 FSI Mercury MP Manual 90540327879 FSI Mercury MP Spray Processing system Operation & Maintenance Manual
75040 FSI Mercury MP WET 871807 FSI Mercury MP Wet c leaning system 200 mm27826 FSI Mercury MP Manual27873 FSI PLC Expansion PLC expansion Project Manual57560 FSI Polaris Coater and developer track 150 MM72666 FSI Polaris 1000 In-line Cluster Coat(1)/Develop(2) 150 mm72667 FSI Polaris 1000 In-line Cluster Coat(1)/Develop(2) 150 mm72668 FSI Polaris 1000 In-line Cluster Coat(1)/Develop(2) 150 mm72669 FSI Polaris 1000 In-line Cluster Coat(1)/Develop(2) 150 mm72670 FSI Polaris 1000 In-line Cluster Coat(1)/Develop(2) 150 mm72671 FSI Polaris 1000 In-line Cluster Coat(1)/Develop(2) 150 mm72672 FSI Polaris 1000 In-line Cluster Coat(1)/Develop(2) 150 mm72673 FSI Polaris 2000 In-line Cluster Coat(1)/Develop(2) 150 mm72674 FSI Polaris 2000 Stand-alone Cluster Coat(2) 150 mm72675 FSI Polaris 2000 Stand-alone Cluster Coat(2) 150 mm72676 FSI Polaris 2000 Stand-alone Cluster Coat(2)/Develo 150 mm
72677 FSI Polaris 2000 Stand-alone Cluster Coat(2)/Develo 150 mm72678 FSI Polaris 2000 Stand-alone Cluster Coat(2)/Develo 150 mm27841 FSI Proteus 1 Manual27821 FSI Proteus 11 Manual27878 FSI Saturn Manual General27838 FSI Saturn MP Manual 500539-00117776 FSI SPARE PARTS SPARE PARTS FOR ACID Cleaning t 200 mm
70112 FSI Zeta 200 semi automatic batch spray system 200 mm75041 FSI ZETA G3 Wet 1211793 FSI Antares Cryo Cleaning equipment27837 FSI Booster Pump Manual 27880 FSI Chemfill systems Model 1000 CDM/ PPS Manual Rev D27881 FSI Chemfill systems Model 1000-4 PLC CDM Supplementary Training Package 27872 FSI Excalibur Vapour Phase Processing system Supplementary training manual27824 FSI SATURN & TITAN Manuals27839 FSI Saturn MP Manual 500539-00427842 FSI Saturn OC Manuals 71839 FSI ZETA-300 Spray Cleaning System 12 inch
34493 FSI EXCALIBUR ISR ANHYDROUS HF VAPOR CLEANER200 mm75042 FSI International Mercury Wet Processing 8
62426 FSM 900-TC-VAC Integrated Metrology Annealing Ch 200mm60479 FSM 900-TC-VAC Integrated Metrology Annealing Ch 200 mm22699 FSM 900-TC-VAC Integrated Metrology Annealing Ch 200mm/300mm
72563 FSM FSM8800 Film Stress measurement 150 mm66508 FSM Laminar II Chip Adhesion Tester
22698 FSM Laminar II Chip Adhesion Tester N/A60358 FSM Laminar II Chip Adhesion Tester68222 FSM STRESSGAUGE RS Measurement 200mm69455 FTS System RC100/Trio Tech RCL100Chiller19188 FUJI CP32V/5 ND CIRCUIT PROTECTOR56935 Fuji CP642 SMT Line 71145 Fuji CP643E Pick & Place Machine72888 Fuji CP643E Pick and Place machine56246 Fuji NP-153E Chip Shooter56247 Fuji NP-153E Motor Feeders59257 Fuji NXT Modular Mounter
59258 Fuji NXT II Modular Placement Machine19169 FUJI SA32B AUTO BREAKER58796 Fuji CP842E Pick & Place60806 Fuji Impulse FG-400E-SG Wafer Cassette Vacuum Bag Sealer66571 Furukawa Electric CompanyUCTM-201-FH Tape Mounter75909 Fusion 150PC UV bake 150 mm35564 FUSION M150 UV Photoresist Stabilization Tool, Parts Tool Only62485 FUSION M150-PC DEEP UV 150mm62486 FUSION M150-PC DEEP UV 150mm62487 FUSION M150-PC DEEP UV 150mm69969 FUSION M150-PC Photostabilization System 150mm72341 FUSION M150PC Resist cure 150 mm72342 FUSION M150PC Resist cure 150 mm75910 Fusion M150PC UV bake 150 mm75911 Fusion M150PC UV bake 150 mm72343 FUSION M150PC UV CURE 150 mm72344 FUSION M150PC UV CURE 150 mm55877 FUSION M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers55878 FUSION M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers55879 FUSION M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers
55880 FUSION M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers67239 Fusion Semiconductor Syst 202MCU Stripper/Asher 200mm38335 Fusion/Axcelis G03 Fusion G03 Ozone Asher, 2-Chambe200mm
38334 Fusion/Axcelis G03 Fusion G03 Ozone Asher, 2-Cassett200mm50192 Fusion/Axcelis M200 PCU Photostabilizer 200mm
37689 FUTEK PF3103 Magnetic Annealing Furnace54604 Future Display Technology FDT17CO5FP Flat Panel display18592 Gallenkamo IEF050.XX2.5 Fan oven, 3 shelf, 470x330x33018640 GALLENKAMP OHG050.xx.1.5 HOT BOX OVEN SIZE 170138 Gasonics 2000 II asher 200 mm70139 Gasonics 2000 II ASHER 200 mm70140 Gasonics 2000 II ASHER 200 mm71687 GASONICS 2000LL ASHER70114 Gasonics 3510 Stripper / Asher 200 mm
70115 Gasonics 3510 Stripper / Asher 200 mm69945 Gasonics AE 2001 Single wafer etch system
20016 Gasonics Aura Single Slice Asher71686 GASONICS AURA 1000 ASHER71077 Gasonics Aura 1000 Plasma Asher 15068240 Gasonics Aura 1000 Plasma Asher 150mm68241 Gasonics Aura 1000 Plasma Asher 150mm68242 Gasonics Aura 1000 Plasma Asher 150mm68243 Gasonics Aura 1000 Plasma Asher 150mm68244 Gasonics Aura 1000 Plasma Asher 150mm72164 Gasonics Aura 1000 Stripper38813 Gasonics Aura 1000 Asher Secs-Gem & Barcode recipe and Temp Control upgrades71685 GASONICS AURA 2000 ASHER75115 Gasonics Aura 2000 LL Asher 850187 Gasonics Aura 3010 Novellus/Gasonics Plasma Strip 200mm71684 GASONICS IRIDIA ASHER70688 GASONICS L3200 ASHER70689 GASONICS L3200 ASHER70690 GASONICS L3200 ASHER60985 GASONICS PEP 3510A71683 GASONICS PEP 4800 IRIDIA ASHER69278 GaSonics PEP 4800DL Iridia64339 Gasonics PEP Iridia (2 ch)70113 Gasonics Stripper / Asher 200 mm73070 GASONICS PEP 3510 Plus Stripper/Asher 8"
73071 GASONICS PEP 3510 Plus Stripper/Asher 8"73072 GASONICS PEP 3510 Plus Stripper/Asher 8"
73073 GASONICS PEP 3510A Stripper/Asher 8"73074 GASONICS PEP 3510A Stripper/Asher 8"
67212 GASONICS HI-POX 5025 5025 id 15-16", 3 zone/4 zone possible bruce 7351 controls 70322 GaSonics International PEP 3510 Plus Stripper/Asher 200 mm29835 GASONICS INTERNATIONA PEP 3510A ASHER29825 GASONICS INTERNATIONA PEP 3510A ASHER29832 GASONICS INTERNATIONA PEP 3510A ASHER29846 GASONICS INTERNATIONA PEP 3510A ASHER29847 GASONICS INTERNATIONA PEP 3510A ASHER
57098 GaSonics International PEP 3510A Stripper/Asher 200 mm70323 GaSonics International PEP 3510A Stripper/Asher 200 mm
56360 GaSonics International PEP 3510A Stripper/Asher 200mm29809 GASONICS INTERNATIONA PEP 3510C 1 ASHER CHAMBER + 1 CLEANING CHAMBER
16294 GAST 0523 Rotary Vacuum Pump76023 Gatan 600 Dual Ion Milling76024 Gatan 600 Dual Ion Milling76025 Gatan 600 Dual Ion Milling76026 Gatan 600 Dual Ion Milling56272 Gatan 600 A plasma cleaner56271 Gatan 600 CTMP plasma cleaner56270 Gatan 600 CTMP plasma cleaner52149 Gatan 691 PIPS tm Precis ion ion polishing system for TEM Specimens63642 GCA 8600 Stepper 100mm9961 GCA TROPEL 9000 Surface Flatness Analyzer69265 GCA XLS 7800 DUV Stepper61200 GE Fanuc Series 90-30 Programmable Logic Controller w/Programmable Controller61201 GE Fanuc Series 90-30 Programmable Logic Controller w/Standard Power Supply Controller19162 GEFRAN RD88 TEMPERATURE REGULATOR70456 GeMeTec Elymat III Lifetime Measurement 300 mm70116 Gemini ARO EPI DEPOSITION36512 GEMINI GEMINI 3 EPI Reactor Batch22700 General Electric SGA040-1-02-N Digital Energy SG Series UPS N/A60498 General Electric SGA040-1-02-N Digital Energy SG Series UPS32229 General Scanning View 890 LASER SCANNER34541 GENESIS 2020B VAPOR PRIME OVEN 200mm69279 Genmark GENCOBOT 4/3L CLASSIC Robot
33750 GENMARK S08R Robot Controllers, PN 990010622, 2ea Available69936 Genmark Automation GB9 Robot GB9, Controller and cables
59178 GenRad 227x Spares In Circuit Test System63770 GenRad 2280s ICT System59216 GenRad 2281i60782 Genrad 2282 Board Tester59179 GenRad 2283e In-Circuit Test System60783 Genrad 2283I Board Tester59180 GenRad 2283i In Circuit Test System60784 Genrad 2284E Board Tester59239 GenRad 2284e
63649 Genrad 2284i Board Tester59181 GenRad 2284i In Circuit Test System
59169 GenRad 2286/7i ICT59240 GenRad 2286e59170 GenRad 2286e/ICA In-Circuit Test Machine63650 Genrad 2286i Board Tester59171 GenRad 2286i In-circuit Test System67093 Genrad 2287A Board Tester59241 GenRad 2287e63651 Genrad 2287i Board Tester59172 GenRad 2287i In-circuit Test System63771 GenRad 228x Combo 1 and 1a Cards63772 GenRad 228x Combo II Cards59183 GenRad 228x Spares In Circuit Test System59189 GenRad 87i Test System59217 GenRad TS 121 True Pin Un-Muxed System59173 GenRad TS124 In-Circuit Tester59182 GenRad TS86i In Circuit Test System38201 GenRad Viper MDA test system67095 Genrad/Seica S20 Flying Prober70600 GENUS G7000 CVD70680 GENUS G7000 CVD70567 GENUS GENCORE A3020 ETCH70681 GENUS GENUS_6010 CVD 200mm68432 Genus GENUS_6010 CVD 200mm
70682 GENUS GENUS_7000 CVD70683 GENUS GENUS_7000 CVD70684 GENUS GENUS_7000 CVD68433 Genus GENUS_7000 CVD 200mm68434 Genus GENUS_7000 CVD 200mm70685 GENUS GENUS-7000 CVD70686 GENUS GENUS-7000 CVD68435 Genus GENUS-7000 CVD 200mm68436 Genus GENUS-7000 CVD 200mm70568 GENUS LYNX 3 CVD 300 MM72966 GENUS LYNX 3 CVD 12"70687 GENUS LYNX3 CVD 12"66412 Genus Stratagem 30060333 GigaTest Labs GTL 4040 Prober Probe Station 18"x18" w / 2 Micropositioners60843 Gigatronics 8652A Power Meter57823 GINTECHNOLOGE MTA-08 TAPE CUT ATTACH68258 GIPC L3200 Asher 200mm68259 GIPC L3200 Asher 200mm68260 GIPC L3200 Asher 200mm59218 Glenbrook 70T Jewell Box 59219 Glenbrook RTX-113 X-ray71451 GMN MPS-R400 Vertical Rotary Surface Grinder 4 Inch63819 GN Elmi EPM05 PCM Meter 63820 GN Elmi LITE3000 1 STUKS60771 Gould Biomation K100-D Digital Logic Analyzer63773 GPD 2220003 Global Max Series59259 GPD Global CF-10, CF-9, CF-8 Component, Radial Lead Formers62702 GPM KS-12062703 GPM KS-340
62704 GPM KS-35066468 GPSYNERGY Loader for Die Bonder (TO3 AUTOLINE)68261 GPT GAMMA Asher 200mm68772 Grand 600 Gdeflash Water68773 Grand 600 Gdeflash Water68774 Grand 600 Gdeflash Water58842 Group industrial co.,ltd Vacuum Oven
70020 GS Tempress Omega Junior system Doping Furnace 3.5inch 71577 GSI M310 laser trimmer71576 GSI M310KVDSP laser trimmer71575 GSI M310LP laser trimmer71574 GSI M310SP laser trimmer71573 GSI M350 laser trimmer70692 GSI M430 LASER REPAIR SYSTEM70693 GSI M430 LASER REPAIR SYSTEM70694 GSI M430 LASER REPAIR SYSTEM70695 GSI M430 LASER REPAIR SYSTEM70691 GSI M435 LASER REPAIR SYSTEM 12 INCH63647 GSI Mark II Scriber 150mm75196 GSI Lumonics M310 Laser Repair System N/A75197 GSI Lumonics M310 Laser Repair System N/A75198 GSI Lumonics M310 Laser Repair System N/A53382 GSI Lumonics SVS 8300 P/N 655634-80071585 GSI LUMONICS WH4100 LASER MARKING SYSTEM67125 GSI LUMONICS SigmaClean Diode Pumped Laser Marking Syst 100mm-200mm67124 GSI LUMONICS SuperClean YAG Laser Marking System 100mm-200mm68538 GSI. M430 Laser Repair System68539 GSI. M430 Laser Repair System68540 GSI. M430 Laser Repair System68541 GSI. M430 Laser Repair System32219 GSI/Lumonics WH4100 Wafer Marker62263 GTX PCMP Wet Storage Post CMP Wet Storage 200mm60382 GTX PCMP Wet Storage Post CMP Wet Storage 200 mm27813 Guarnizone 6320032-0378 Seal
71907 HamApplied Materialssu C7103 Lapping System25474 HamApplied Materialssu PhoC-3100 Night Vis ion Camera
67870 HamApplied Materialssu?Ph C3230-05,MP-10 Hot-electron analyzer 71051 Handler world SS2600 Test Handler SS260026575 Hardwall Cleanroom cleanroom class 10 cleanroom 20 mq facilities64384 Harmotec WPO-67-B Wafer Packing Machine PACK501 (ST)54503 Harwin System 718 MK 4 Autosplice Insertion Machiine for backplanes 750mm x 750mm 22701 Haskris R-250 Chiller N/A50994 Haskris R075S Refrigerated Chiller51097 Haskris R075S Refrigerated Chiller50451 HASKRIS RO75 Chiller
67396 Headway EC101 HEADWAY EC101 PHOTORESIST COATER 71848 Headway LS 510 PC Photoresist Spin Coater67389 Heller 112 axial lead bender (90 deg), cascade fed 53383 Heller 1700EXL Model 1705EXL Wafer Oven to inclu 200 mm71842 Heller 1700SX Reflow Oven59288 Heller 1809 EXL Reflow Oven60499 Hepa Corporation Various New Hepa Pleat II Hepa Filters54525 Heraeus T6200 Bake Oven72870 Heraeus UT 5060 EK Cleanroom Oven assembly69702 Heraeus UT6200 Curing Oven69703 Heraeus UT6200 Curing Oven69704 Heraeus UT6200 Curing Oven
69705 Heraeus UT6200 Curing Oven37783 Heraeus VK5050 Cure oven
57548 Heraeus K750/2G High Temperature Oven 34388 Hesse & Knipps Bondjet 710 Wedge/Wedge Wire Bonder
72872 Hettich EBA 3S Centrifuge assembly33662 HEWLETT PACKARD 16500B Logic Analyzer with 2ea 54002A Modules, 1ea 16555A IMSA Analyzer, 1ea 63201 Terminator Adapter, 1ea 63203 Terminator Adapter, 1ea Pod 1 Data/J Clock Cable Assy., 1ea 10433A 10:1 Probe, Rolling Cart, Users Manual and Software69321 Hewlett Packard 4194A Impedance/Gain-Phase Analyzer69280 Hewlett Packard 4274A Multi-Frequency LCR Meter56862 HEWLETT PACKARD 54601A 4 Channel 100MHz Bandwidth Oscilloscope56863 HEWLETT PACKARD 54602B 4(2+2) Channel 150MHz Bandwidth Oscilloscope69281 Hewlett Packard E8402A VXI Mainframe
50165 Hewlett Packard HP-Agilent spectrophotometer 200mm64449 HHT AS500064357 HHT I-3010 Electron Beam Wafer Inspection System64448 HHT Server type Wafer Partic le & Defect Analysis System AS-500062606 HILEVEL ETS300 Digital Test System62605 HILEVEL ETS300 Digital Test System69861 HIRAYAMA PC 364RII Pressure HAST Chamber 34544 Hirayama, MDL PC-242S STEAM PRESSURE ENVIRONMENT 200mm69862 Hiriyama PC 364R HAST Chamber 62689 Hitach 250067589 Hitach S9220 SEM - Critical Dimension (CD) Mea 200 mm16473 Hitachi SEM S-6000 Critical Dimension Scanning Electron Microscopes 18926 HITACHI 131-9007-1 Model U3210 / U3410 Recording spectrophotometer operating procedure18925 HITACHI 131-9008-1 Model U-3210 / U 3410recording spectrophotometer available commands62494 Hitachi 2500 SEM 150mm52343 Hitachi 377-7592 Power Supply Module52166 Hitachi 545-5515 DC power supply module52167 Hitachi 6280H Power Supply Module 4channels 52168 Hitachi 6280H Power Supply unit71635 HITACHI 8820 CD SEM71634 HITACHI 8840 CD SEM50166 Hitachi 8840 Scanning electron microscope 200mm56081 HITACHI 8840 SEM - Critical Dimension (CD) Mea 200 mm70271 HITACHI 9300 CD SEM 200 mm36515 HITACHI C-708 ASYMTEK GLUE DISPENSER69282 Hitachi CD-60 Super Catalytic Decomposition System74742 Hitachi CG4000 CD Sem 300 mm64258 Hitachi CM300 Back End 200mm74146 HITACHI CM700 Die Bonder 8, 12
74148 HITACHI CM700 DIE BONDER 8, 1274149 HITACHI CM700 DIE BONDER 8, 1274150 HITACHI CM700 DIE BONDER 8, 1274154 HITACHI CM700 DIE BONDER 8, 1274147 Hitachi CM700 Die Bonder 8,1274151 Hitachi CM700 Die Bonder 8,1274152 Hitachi CM700 Die Bonder 8,1274153 Hitachi CM700 Die Bonder 8,1261439 HITACHI FB-2000#1 FIB (focused ion beam)68536 Hitachi FB-2000#1 FIB (Focused Ion Beam) 200mm68537 Hitachi FB-2000#1 FIB (Focused Ion Beam) 200mm71968 HITACHI FB-2000A FIB SEM 8"75634 Hitachi FB-2100 FIB SEM 20070696 HITACHI FB2000 FIB (focused ion beam)59260 Hitachi GXH-1S Direct Drive Modular Mounter70697 HITACHI HD-2000 ANALYSIS70569 HITACHI HD-2000 Scanning Transmission electron microscope70698 HITACHI HD230036516 HITACHI I-5230 E-BEAM INSPECTION SYSTEM60940 HITACHI IS270061363 HITACHI IS2700SE DEFECT INSPECTION70699 HITACHI IS2700SE DEFECT INSPECTION68123 Hitachi IS2700SE Defect Inspection 200mm68124 Hitachi IS2700SE Defect Inspection 200mm68489 Hitachi M-308NFXW Dry Etching 200mm34845 Hitachi M-511AE Microwave Plasma Poly Etch System w200mm34846 Hitachi M-511AE Microwave Plasma Poly Etch System w200mm62371 Hitachi M-511AE Poly Etch 200mm62372 Hitachi M-511AE Poly Etch 200mm60445 Hitachi M-511AE Poly Etch 200 mm60446 Hitachi M-511AE Poly Etch 200 mm68467 Hitachi M308 Metal Etcher 150mm68468 Hitachi M308 Metal Etcher 150mm68469 Hitachi M308 Metal Etcher 150mm68470 Hitachi M308 Metal Etcher 150mm68471 Hitachi M308 Metal Etcher 150mm
68472 Hitachi M308 Metal Etcher 150mm60926 HITACHI M501AWE Metal Etch60937 HITACHI M501AWE60938 HITACHI M501AWE70225 HITACHI M612 POLY ETCHER 200 mm69238 Hitachi M712E Poly Dry Etch 200 mm 70284 Hitachi ND-1S211E Drilling station61488 HITACHI RS3000 CD SEM70700 HITACHI RS3000 CD SEM68793 Hitachi RS3000 CD-SEM????????????????????? 200mm68794 Hitachi RS3000 CD-SEM????????????????????? 200mm74743 HITACHI RS3000 Review SEM 1274744 HITACHI RS3000T Metrology 1274745 Hitachi RS4000 Review SEM 1236517 HITACHI S-270075544 Hitachi S-4000 CD SEM 20062719 Hitachi S-4700 SEM with EDX and type 11 Chamber 75545 Hitachi S-5000 CD SEM 20074746 Hitachi S-5000 FE SEM 856797 Hitachi S-5000 SEM 36518 HITACHI S-500053365 Hitachi S-500062498 Hitachi S-5000H FE SEM 200mm60534 Hitachi S-5000H FE SEM 200 mm74747 Hitachi S-5000H FE SEM 834848 Hitachi S-5000H Field Emission Scanning Electron M N/A34335 Hitachi S-6000 CD SEM 6 Inch
68775 Hitachi S-6100 CD-SEM????????????????????? 125mm68776 Hitachi S-7080T CD-CEM 125mm75546 Hitachi S-7800 CD SEM 20062495 Hitachi S-8620 CD SEM 150mm75547 Hitachi S-8820 CD SEM 20075548 Hitachi S-8820 CD SEM 20075549 Hitachi S-8820 CD SEM 200
75550 Hitachi S-8820 CD SEM 20075552 Hitachi S-8820 CD SEM 20075553 Hitachi S-8820 CD SEM 20075554 Hitachi S-8820 CD SEM 20067854 Hitachi S-8820 CD SEM74748 Hitachi S-8820 CD SEM 574751 Hitachi S-8820 CD SEM 674749 Hitachi S-8820 CD SEM 874750 Hitachi S-8820 CD SEM 874752 Hitachi S-8820 CD SEM 874753 Hitachi S-8820 CD SEM 875945 Hitachi S-8820 CD SEM 200 MM68795 Hitachi S-8820 Metrology CD-SEM 200mm55308 HITACHI S-8820 Metrology Equipment, CD SEM 200mm67855 Hitachi S-8820 SEM34332 Hitachi S-8820S CD SEM 8 inch38370 Hitachi S-8840 CD-SEM 200mm70701 HITACHI S-9200 CD MEASUREMENT68223 Hitachi S-9200 CD Measurement 200mm68224 Hitachi S-9200 CD Measurement 200mm74754 Hitachi S-9200 CD SEM 874755 Hitachi S-9200 CD SEM 874756 Hitachi S-9200 CD SEM(part) 875555 Hitachi S-9220 CD SEM 20074757 Hitachi S-9220 CD SEM 874758 Hitachi S-9220 CD SEM 871867 Hitachi S-9220 SEM - Critical Dimension (CD) Mea 200mm65359 HITACHI S-9220 SIP baord for CD-SEM S9220,P/N 568-559175556 Hitachi S-9300 CD SEM 300
61008 HITACHI S-9300 CD SEM 300 mm36259 HITACHI S-9300 SEM - CD (CRITICAL DIMENSION) 200-30062210 HITACHI S-9300 200 mm74759 Hitachi S-9300T CD-SEM 1274760 Hitachi S-9380II CD SEM 1274761 Hitachi S-9380II CD SEM 1274762 Hitachi S-9380II CD SEM 1221457 Hitachi S2300 SEM26518 Hitachi S3000N Scanning Electron Microscope with 6 Inch71969 HITACHI S4160 FE SEM 8"71970 HITACHI S4160 FE SEM 8"60939 HITACHI S416076046 Hitachi S4700 FE SEM Inspection60880 HITACHI S470071849 Hitachi S4800 SEM71971 HITACHI S5000 FE SEM 8"71972 HITACHI S5000 FE SEM 8"71973 HITACHI S5000 FE SEM 8"71974 HITACHI S5000 FE SEM 8"75776 HITACHI S5000 SEM - inspection 200 mm76045 Hitachi S5200 FE SEM with EDX Inspection67904 Hitachi S5200 Scanning Electron Microscope Laboratory Equipment67905 Hitachi S5200 Scanning Electron Microscope Laboratory Equipment67906 Hitachi S5200 Scanning Electron Microscope SEM Laboratory Equipment71435 Hitachi S5200 Sem with EDX 16419 Hitachi S570 Inspection sem with EDX72564 HITACHI S6100 CD SEM 150 mm72565 HITACHI S6180 CD SEM 150 mm72566 HITACHI S6200 CD SEM 150 mm72567 HITACHI S6280 CD SEM 150 mm9967 HITACHI S7000 CD SEM 150 mm75944 Hitachi S8240H CD SEM 200 MM74763 Hitachi S8640 Metrology 654142 Hitachi S8800 CD-SEM14856 Hitachi S8820 CD SEM 200 mm64400 Hitachi S8820 Critical Dimension Measurement Tool
74764 Hitachi S8820 Metrology 474765 Hitachi S8820 Metrology 454141 Hitachi S8820HSA CD-SEM36681 Hitachi S8840 CD SEM 200mm66351 HITACHI S8840 CD SEM 200mm74766 Hitachi S8840 Metrology 636682 Hitachi S8840 SEM 200mm72948 HITACHI S9200 CD SEM 8"71829 Hitachi S9200 SEM Critical Dimension (CD) Meas 200 mm76062 HITACHI S9200S CD SEM 8 inch71975 HITACHI S9220 CD SEM 8"34078 Hitachi S9220 CD SEM 200 mm71461 Hitachi S9220 CD SEM 200 mm74767 HITACHI S9220 Metrology 874768 HITACHI S9220 Metrology 836254 Hitachi S9300 CD-Sem 200 mm71450 Hitachi S9300 SEM Critical Dimension (CD) Meas 300 MM71222 HITACHI S9300 SEM Critical Dimension (CD) Measurement68079 Hitachi S930070570 HITACHI S9360 CD SEM 300 mm70702 HITACHI S9360 METRO71258 HITACHI S9380 II CD SEM 300 mm71259 HITACHI S9380 II CD SEM 300 mm71268 HITACHI S9380 II CD SEM 300 mm71269 HITACHI S9380 II CD SEM 300 mm71270 HITACHI S9380 II CD SEM 300 mm71271 HITACHI S9380 II CD SEM 300 mm71272 HITACHI S9380 II CD SEM 300 mm71274 HITACHI S9380 II CD SEM 300 mm71273 HITACHI S9380 II CD SEM 300 mm71275 HITACHI S9380 II CD SEM 300 mm71276 HITACHI S9380 II CD SEM 300 mm71277 HITACHI S9380 II CD SEM 300 mm65940 Hitachi SEM S-2300 Scanning electron microscope
75149 Hitachi TM-1000 Table Top SEM 60902 HITACHI UA5200 Asher
60903 HITACHI UA5200 Asher68262 Hitachi UA5200A Asher 200mm72337 HITACHI UTC-100A MECS UTC-100A controller72860 HITACHI UTC820Z MECS UTC820Z WAFER HANDLING ROBOT/CONTROLLER/CERAMIC HAND75943 Hitachi WA1350 AFM 200 MM36530 HITACHI WAFER INSPECTION SYSTMETROLOGY36531 HITACHI WAFER INSPECTION SYSTMETROLOGY62211 HITACHI BOND HOT PLATE71730 Hitachi MFC MFC_AERA_400CCM_CF4_D71729 Hitachi MFC MFC_AERA_50CCM_C5F8_D71430 HITACHI RS5000 Defect review SEM 300 mm71539 Hitachi S-2300 SEM with EDX71558 Hitachi S-2400 SEM with new EDX56838 Hitachi S-4500 FE Inspection SEM Type 1 chamber 70551 Hitachi S-9220 CD SEM 60959 HITACHI S618060957 HITACHI S628060958 HITACHI S628060962 HITACHI S700060964 HITACHI S7800HSA60960 HITACHI S880060963 HITACHI S880060961 HITACHI S882038472 Hitachi S9300 CD SEM 200mm, 300mm73116 HITACHI CM200 Die Bonder ASSEMBLY73117 HITACHI CM200 Die Bonder ASSEMBLY73118 HITACHI CM270 Die Bonder ASSEMBLY
73119 HITACHI CM270 Die Bonder ASSEMBLY73120 HITACHI CM270 Die Bonder ASSEMBLY73121 HITACHI CM270 Die Bonder ASSEMBLY73122 HITACHI CM270 Die Bonder ASSEMBLY73123 HITACHI CM270 Die Bonder ASSEMBLY73124 HITACHI CM270 Die Bonder ASSEMBLY73125 HITACHI CM270 Die Bonder ASSEMBLY
38308 HITACHI - L-8800 Amino Acid Analyzer67591 Hitachi (Semiconductor) S-9220 SEM - Critical Dimension (CD) Mea 200mm
67592 Hitachi (Semiconductor) S-9220 SEM - Critical Dimension (CD) Mea 200mm67593 Hitachi (Semiconductor) S-9220 SEM - Critical Dimension (CD) Mea 200mm
67594 Hitachi (Semiconductor) S-9220 SEM - Critical Dimension (CD) Mea 200mm68913 Hitachi DECO HA5060S Memory Tester68914 Hitachi DECO HA5060S Memory Tester68915 Hitachi DECO HA5060S Memory Tester64360 Hitachi DECO HA5060R Memory IC Test System (without F.M.D.) for HA5060R (ST)64361 Hitachi DECO HA5060R Memory IC Test System (without F.M.D.) for HA5060R (ST)64359 Hitachi DECO HA5060S Memory Tester64362 Hitachi DECO HA5060S Memory IC Test System (ST)64364 Hitachi DECO HA5060S Memory IC Test System (ST)75557 Hitachi Finetech WA1350 Surface Profiler 30068099 Hitachi HighTech LS-5000 Partic le Inspection 125mm68459 Hitachi HighTech M-308AT Plasma dry Etching 125mm61265 HITACHI HTA 308 METAL ETCHER 200mm61344 HITACHI HTA 8820 CD SEM 8820 200mm61251 HITACHI HTA M511E POLY ETCHER 200mm61258 HITACHI HTA M712E POLY SI ETCHER 300mm61252 HITACHI HTA M712E SHALLOW TRENCH ETCHER 200mm61345 HITACHI HTA S8840 CD SEM S8840 200mm61348 HITACHI HTA S9300 CD SEM 300mm61347 HITACHI HTA S9300 CD SEM S9300 200mm61349 HITACHI HTA S9380 CD SEM 300mm68279 Hitachi Kokusai DD-802-B Vertical Furnace 125mm68280 Hitachi Kokusai DD-802V-5B Vertical Furnace 125mm68281 Hitachi Kokusai DD-802V-5B Vertical Furnace 125mm68282 Hitachi Kokusai DD-802V-B Vertical Furnace 125mm
68283 Hitachi Kokusai DD-802V-B Vertical Furnace 125mm68410 Hitachi Kokusai DJ-802V-5B LP-CVD 125mm68421 Hitachi Kokusai DJ802V LP-CVD 150mm68656 Hitachi Kokusai VR-120S Resistiv ity 125mm68657 Hitachi Kokusai VR-120S Resistiv ity 125mm70365 Hitachi Kokusai Electric Inc. Aldinna ALD (Atomic Layer Deposition) 300 mm70364 Hitachi Kokusai Electric Inc. Aldinna ALD (Atomic Layer Deposition) 300 mm64411 Hitachi Ltd (Tokyo Japan) U4001 Spectrophotometer19341 HOKUYO AC-NKBA4 AUTO COUNTER
68796 Holon EMU 300 CD-SEM 200mm60715 Honeywell DR4200 Truline Circular Chart Recorder
69466 Hong Tech HT-100069467 Hong Tech HT-9040S71718 Hontech 8080 Handler22974 Horiba CS-100 Chemical Solution Monitor72568 Horiba PD-2000 Retic le Defect Measurement 150 mm72569 Horiba PD-3000 Retic le Defect Measurement 150 mm75946 Horiba PD-3000A RETICLE INSPECTION 200 MM50287 Horiba PLCA-520 Partic le Counter68613 Horiba PR-PD3 Retic le/Mask partic le 125mm68658 Horiba UVISEL/M200-VIS-FGMS Spectroscopic Elipsometer 125mm10546 HP 10833A HPIB Interface cable TEST10547 HP 10833D HPIB Interface cable TEST18561 HP 16058A Test socket for Transistors34474 HP 16500C 200mm60786 HP 3070 Board Tester71742 HP 3070 11 Tester18550 HP 3438A Digital Multimeter22980 HP 3455A Digital Volt Meter22982 HP 3456A Digital Volt Meter67804 HP 4062F71110 HP 41420-61601 QUADRAX CABLE (3M)60823 HP 41425A Analog Feedback Unit22973 HP 4145A Semiconductor Parameter Analyzer
22707 HP 4145A/B Parametric Analyzer N/A34473 HP 4155B SEMICONDUCTOR PARAMETER AN200mm34472 HP 4195A NETWORK/SPECTRUM ANALYZER 200mm10544 HP 4261A LCR METER TEST14425 HP 54520A 500 MHz 500MSu/a62635 HP 6023A Power Supply14424 HP 6236B TRIPLE OUTPUT POWER SUPPLY22976 HP 6269B DC Power Supply 0-40V, 0-50A18554 HP 6284A DC POWER SUPPLY62640 HP 6552A DC Power Supply62641 HP 6572A DC Power Supply
62639 HP 6624A DC Power Supply14427 HP 6624A SYSTEM DC POWER SUPPLY
62636 HP 6625A DC Power Supply62637 HP 6626A DC Power Supply62638 HP 6627A Power Supply22978 HP 81200 Data Generator / Analyzer Platform18555 HP 8182A Data Analyzer38474 HP 82000 Mini Tester38473 HP 82000 TESTER15656 HP 8591E Portable Spectrum Analyzer refurbished56320 HP 8644A Signal Generator68089 HP 94000-IP60802 HP E1085a HP 3070 Communication Tester VXI Chassis60813 HP E1095-66501 LCU -Line Conditioning Unit60814 HP E1095-66510 PCA Terminal Block60812 HP E1095a LCU -Line Conditioning Unit60817 HP E1401B High Power Mainframe60818 HP E1419A Multifunctional Card
60819 HP E1433A Digitizer60820 HP E1433B Digitizer60821 HP E1438A Digitizer60822 HP E1482B VXI Bus Extender60815 HP E1485A Signal Processor and FIFO60816 HP E1490B Breadboard W/O Interface62716 HP EPM-441A Single CH Power Meter
62695 HP HSM360068721 HP LCR Meter 4284A LCR Meter38484 HP Sequencer60681 HP 16058A Test Fixture56830 HP 34401A 6.6 Digital Multi Meter 60737 HP 37204A HP-IB Extender With Option 1360736 HP 4271B 1Mhz Digital LCR60752 HP 54100D Digitiz ing Oscilloscope60753 HP 54110D Digitiz ing Oscilloscope60754 HP 54121T Digitiz ing Oscilloscope60755 HP 54502A Digitiz ing Oscilloscope
60756 HP 54503A Digitiz ing O'Scope51022 HP 6433B DC Power Supply
51023 HP 64700 Series In-Circuit Emulators51024 HP 6552A Power Supply51025 HP 6632A System DC Power Supply
51026 HP 8091A Rate Generator51027 HP 8152A Optical Average Pwr Mtr
38476 HP 83000 Spare Boards, Power Supplies, Etc.38477 HP 83000 Spare Boards, Power Supplies, Etc.38475 HP 83000-F50T TESTER60739 HP 8350A Sweep Oscillator?51029 HP 8709A Synchronizer38478 HP E1209A I/O Board51006 HP E2760D Liquid Cooling Unit63802 HP Various Varoius test equipment51005 HP / Agilent 82000 and 83000 Calibration Service18553 HP ACCESORIES 16058A Test socket for Transistors63810 HP Agilent 37717B
63812 HP Agilent 3772463811 HP Agilent 37724A SDA PDH Test Set34410 HP Agilent 83000 F660i Tester34411 HP Agilent 83000 F660i Tester63808 HP Agilent OMNIBER71763809 HP Agilent OMNIBER718-00160738 HP Agilent 75000 Modules50999 HP? 82000 Test Systems38480 HP? 82K SEQUENCER BRD. 38483 HP? DC Control Board for 83000 Tester38482 HP? DC/DC? Converter Board for 83000 Tester (Mainframe)38481 HP? Test Head Pin Electronics Board Assembly67364 HTG MASK ALIGNER 36-3 INCLUDES B&L STEREO ZOOM 7 CONFIGURED FOR 4X4" SUBSTRATES 350 W LAMP 500W POWER SUPPLY 32224 HTI Screenprinter SCREEN PRINTER67487 Huges MCW 50067486 Hughes 247066372 Hughes 2470-III Automatic Wedge Wire Bonder Assembly67155 Hughes HDB 985 The Hughes HDB 985 is a semiautomatic epoxy die bonder. (Email us for photo) 67156 Hughes HPB-360 HUGHES HPB360 PULSE HEAT WIRE BONDER (INCLUDES STEREO ZOOM OPTICS) 60769 Hughes 2460 Spares
51067 Hughes Palomar 2460-V Automatic Wire Bonder50964 Hughes Palomar 2460-V Automatic Wire Bonder50965 Hughes Palomar 2470-V Automatic Wedge Wire Bonder
51068 Hughes Palomar 2470-V Automatic Wedge Wire Bonder71924 Hughes Palomar 8000 High Speed Wire & Ball Bonding System
53984 Hugle CRD4000N Cassette Cleaner71500 HUVITZ Trinocular Microscope67488 Hybond 522A67489 Hybond 55267135 Hybond 552A HYBOND 552 THERMOCOMPRESSION WEDGE BONDER INCLUDES HEATED WORKHOLDER INCLUDES CALIBRATED STEREO ZOOM OPTICS 45 degree feed 60299 Hybond 572 Thermosonic Wedge Wire Bonder67490 Hybond 57267132 Hybond 572-40 Vertical Feed Wedge Bonder with Extended Transducer (for bonding on large substrates or pcb's) Includes calibrated stereo zoom 467134 Hybond 572A Wedge bonder with soft touch Vertical (deep access) 45 degree feed systems in stock
67491 Hybond 61667133 Hybond 626 Vertical Feed Wedge Bonder with Extended Transducer (for bonding on large substrates or pcb's) Includes calibrated stereo zoom 4
73211 Hypervis ion Visionary 2000 Light Emission Microscope 8"67789 I-Pulse M2 Pick and Placer67788 I-Pulse M2 Pick and Placer67787 I-Pulse M4e Pick and Placer22986 IA / Intelligent Actuator Super SEL Controller, Type A13079 IAI is-s-z-m-4-60-200 intelligent actuators67151 IBR B460-4 Liquid partic le counter for v iscous, corrosive liquids and strong solvents 66491 ICE OPT-651 3rd Optical Vis ion Insp M/C MOD66492 ICE OPT-651 3rd Optical Vis ion Insp M/C MOD66493 ICE OPT-651 3rd Optical Vis ion Insp M/C MOD66494 ICE OPT-651 3rd Optical Vis ion Insp M/C MOD66495 ICE OPT-651 3rd Optical Vis ion Insp M/C MOD66496 ICE OPT-651 3rd Optical Vis ion Insp M/C MOD66497 ICE OPT-651 3rd Optical Vis ion Insp M/C MOD66498 ICE OPT-652 3rd Optical Vis ion Insp M/C MOD66499 ICE OPT-652 3rd Optical Vis ion Insp M/C MOD66500 ICE OPT-652 3rd Optical Vis ion Insp M/C MOD66501 ICE OPT-652 3rd Optical Vis ion Insp M/C MOD61150 ICOS 9450 Component Inspection61149 ICOS 9450 Component Inspector73078 ICOS CI-9450 Lead Inspection Equipment73079 ICOS CI-9450 Lead Inspection Equipment73080 ICOS CI-9450 Lead Inspection Equipment
73081 ICOS CI-9450 Lead Inspection Equipment73082 ICOS CI-9450 Lead Inspection Equipment
73083 ICOS CI-9450 Lead Inspection Equipment73084 ICOS CI-9450 Lead Inspection Equipment
71834 ICOS T-120 lead Inspection 69805 ICOS Vision System 22708 IDE/Cybeq OCL 300 Wafer Transfer/Sorter Multiple19198 IDEC ABN311-R CONTROL UNIT13010 IKO LW Linear Slide and Bearings 13025 IKO LWES 15 C1 R460 S2 Linear way with s ingle bearing block,set of 458840 IMAJE S.A. Jaime 1000S8 Inker
53413 IMS ATS Blazer Design Verification Tester TEST68722 IMS ATS-125 IMS ATS-125 Design verification tester
33751 IMTEC QRT/S-A2002-51 Acculine/Accubath Quartz Tank, La 200mm35566 IMTEC QZ-A1252-14 Acculine/Accubath Quartz Tank, La 125mm
67457 IMTRAN Screen Printer71863 INFICON IG3 vacuum display guage67907 Infineon Spareparts Inventory74119 Innolas IL2000 Wafer Laser Marker 456141 Innolas ILS 700P Laser Edge 156mm67092 Inovus Ocelot SOC Tester56884 Insidix TDM Topographic deformation measure assembly64354 Inspex Co. Ltd Eagle Eagle INSPEX EAGLE SYSTEM19199 INSTANT HST-1230-1 TRANSFORMER21074 Integris wafer carrier Wafer Carrier 8 inch Process use 200 mm38486 IntelliQuest FA1800 Failure Analysis Tester55918 Intergen Superscribe 212 Wafer Marking Centre 12"67390 INTEX SMX 123-1.5 3 zone, 2" c learance, 18" belt, 9' long 16286 Ion Systems Z-Stat Ioniser57055 Ion Systems Z-Stat 6441 Ionizer55883 ION TECH 5cm Ion Source55882 ION TECH MPS-3000 FC Ion Source Controller68886 ION TOF TOF SIMS SYSTEM : 308F Analyzer62241 IPC Asher 150mm38293 IPEC 472 CMP71804 IPEC 776 Tungsten CMP Polisher 200 mm34441 IPEC 676 CMP PLANARIZER 200mm35655 IPEC / Avantgaard 676 Tungsten CMP
37764 IPEC / Speed Fam Avanti 472 CMP Polisher 200 mm75795 IPEC / WESTECH 372M CMP POLISHER 200 mm
22988 IPEC Speedfam Avantgaard 676 CMP System37763 IPEC/Speed Fam Avanti 472 CMP Polisher 200 mm70131 IPEC/SpeedFam Avanti 472 Polisher71068 IPG YLR 200SM Laser 22709 Irv ine Optical APS-2000 **GUARANTEED** Wafer Sorter 200mm62473 Irvine Optical APS-2000 Wafer Sorter 200mm60530 Irvine Optical APS-2000 Wafer Sorter 200 mm68767 Irvine Optical UltraSort Wafer Sorter 150mm60531 Irvine Optical UltraSort Wafer Sorter
11069 IRVINE OPTICAL UltraSpec III Wafer Inspection microscope 3 to 6 inch72570 Irv ine Optical Ultrastation Wafer Inspection Microscope 150 mm
72571 Irvine Optical Ultrastation Wafer Inspection Microscope 150 mm72572 Irvine Optical Ultrastation Wafer Inspection Microscope 150 mm72573 Irvine Optical Ultrastation Wafer Inspection Microscope 150 mm68112 Irvine Optical Ultrastation 3 Wafer Inspection Station 150mm60348 Irvine Optical Ultrastation 3 Wafer Inspection Station22711 Irv ine Optical Ultrastation 3B Model 2 Wafer Inspection Station 200mm56841 IRVINE OPTICAL Ultrastation 3 Macro Inspection Station60314 Isel Robotik 10-21-0015_7200-M001 Wafer Handling Robot Newly Upgraded66572 ISMECA MBM5000 Tape & Reel for CSP Devices w/Manuals71615 ISMECA NT116 TEST SYSTEM71614 ISMECA NT216 TEST SYSTEM71613 ISMECA TMBUGA TESTER75947 IVS 8000-05 CD MEASUREMENT 200 MM35569 IWASHITA Shotmatic 3 Epoxy Dispenser, 2ea Available60826 IWATSU TS8123 Storage Scope63656 JAS CHILLER CHILLER71041 JEIO TECH AC-11(47L) Autoclave Temperature71043 JEIO TECH OF-22GW Cycling Oven71040 JEIO TECH SL-TSC05-05 Temperature Cycle Test Chamber71042 JEIO TECH TH-G190-L Constant Temperature and Humidity Chamber71044 JEIO TECH Thermal Shock Tester71047 JEL MOCVD SYSTEM MOCVDGen. 5 MOCVD SYSTEM (1 TC, 3 PC & 2 L/L)71048 JEL PECVD SYSTEM PECVD SYSTEM Gen 5. ( 1 TC, 5 PC & 2 L/L with Single Slot )
9544 JENOPTICS INFAB Gmbh ERGOSPEED TM3800 SMIF LOADER 200 mm54185 Jenoptik infab Ergospeed 11 ERGOSPEED II SMIF loader71171 JEOL 2000 FXII TEM71170 JEOL 3000F FEG TEM WITH EDS35620 JEOL 7515 SEM75953 JEOL 7555S INSPECTION SEM 200 MM36564 JEOL CD-SEM, JSM-6340F JEOL66363 jEOL JAMP30 SCANNING AUGER MICROPROBE75951 JEOL JM2010-F TEM 200 MM34064 JEOL JSM 5300LV SEM75558 JEOL JSM-6320 FE SEM N/A62496 JEOL JSM-6600 Scanning microscope with EDX opti 150mm53336 JEOL JSM-6600F 8" Wafer CapabilityEDS Detector 200 mm75952 JEOL JSM6600FXV INSPECTION SEM 200 MM50288 JEOL JWS 7700 CD Sem 200mm71172 JEOL JWS 8755S SEM75559 JEOL JWS-3000 CD SEM 30075560 JEOL JWS-3000 CD SEM 30075948 JEOL JWS-3000 INSPECTION SEM 200 MM75949 JEOL JWS-3000 INSPECTION SEM 200 MM75950 JEOL JWS-3000 INSPECTION SEM 200 MM50167 Jeol JWS-7500E Full wafer inspection SEM 200mm38309 JEOL JWS-7500E In-Line Wafer Inspection SEM for up 200mm53562 JEOL JWS-7505 SEM - Defect Review (DR) 200mm22992 JEOL JWS-7505 Wafer Inspection System61195 JEOL JWS-7505ZH CD-SEM22714 JEOL JWS-7505ZH CD-SEM 200mm75561 JEOL JWS-7515 DR SEM 20071633 JEOL JWS-7700 INSPECTION SEM
69929 Jeol JXA-8600 Electron Probe Microanalyser with EDX56132 Jeol JWS-7505 Scanning Electron Microscope 200mm15055 Jeol JWS-7505ZH CD Scanning Electron Microscope 100-200 mm22994 Jeol / Holon EMU-220 CD SEM / Mask Measurement64792 JET PROCESS CORPORAT GW-01 Metal Deposition Tool 150mm, 200mm62630 Jetlight 9290 EEPROM Chip Eraser68286 Jobin Yvon JX38S Furnace 150mm
64423 Jobin Yvon Emission HA-5000RF Plasma Solid Emission Spectrophotometer67843 JOEL JSM-6340F Complete system62499 JOEL JWS-7505ZH CD-SEM 200mm60535 JOEL JWS-7505ZH CD-SEM 200 mm60716 Johnson/Precisa 800M Scale56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furn 156 mm74769 Jordan Valley BedeMetrix-L X-ray metrology 1261306 JORDAN VALLEY FAB 300 EPI - XRD THICKNESS/STRAIN 300mm75562 Jordan Valley JVX 6200 Thin Film Measurement System 30070118 Jordan Valley JVX 6200 X-ray reflectometer including small 200 mm34893 JORDAN VALLEY JVX5200 XRR X-ray reflectometer including small 300 MM69771 JP Sercel IX 6100 ChromaDice singulation system 4 inch and 2 inch69772 JP Sercel IX 6600 CHROMALIFT LASER LIFTOFF SYSTEM 2 AND 4 INCH73194 JPSA Laser Chromadice LX-300 DPSSLaser Scribe74064 JPSA Laser IX-1100 Micromachining System51851 JST (UK) Ltd AP-K2N Versatile Crimping Machine Semi Automatic59261 Juki 710 Placement Machine59262 Juki 74067790 Juki FX-1 Pick and Placer69925 Juki KE-750L Placer61168 Juki KE-750L Placer67887 Juki KE750 Pick and placeý 34440 JULABO 922242 ULTRATEMP BATH 200mm54104 Justin BD&L Maxipeed Series Wafer Metrology Sorter62236 JUSUNG Cyclone ALD 200mm60366 JUSUNG Cyclone ALD 200 mm60367 JUSUNG Cyclone ALD 200 mm
34989 JUSUNG CYCLONE PLUS ALD CLUSTER TOOL 300 MM27199 JUSUNG CYCLONE PLUS Cyclone + Transfer Module ald tool 300 mm
27200 JUSUNG CYCLONE PLUS Main frame (RTP/Al2O3/TiN) 300 mm62427 JUSUNG EUREKA-2000 MPS 200mm60500 JUSUNG EUREKA-2000 MPS 200 mm60501 JUSUNG EUREKA-2000 MPS 200 mm60502 JUSUNG EUREKA-2000 MPS 200 mm60503 JUSUNG EUREKA-2000 MPS 200 mm22996 Jusung / JEL Eureka 2000 Atomic Layer Deposition (ALD) Ch 200 mm15111 K & S 1488 turbo Wire bonder21283 K & S ADE 7100 Dicing Saw
50967 K & S 1470-4? Automatic Wedge Bonder 51070 K & S 1470-4? Automatic Wedge Bonder 38866 K & S 1488 Plus Wire Bonders63602 K & S 366-1 Semi-Automatic Wafer Mounter 150mm38489 K & S 366-1 Wafer Mounter 75mm, 100mm, 125mm, 150mm51074 K & S 4123 Manual Wedge Bonder For Gold (0.5 to 3.0 mils) or Aluminum (1.0 - 4.0 mils)? Wire50972 K & S 4123 Manual Wedge Bonder For Gold (0.5 to 3.0 mils) or Aluminum (1.0 - 4.0 mils)? Wire50971 K & S 4123 Manual Wedge Bonder For Gold (0.5 to 3.0 mils) or Aluminum (1.0 - 4.0 mils)? Wire60686 K & S 4123 Universal Wedge Bonder for Au and Al60687 K & S 4124? Gold Ball Bonder60688 K & S 4124? Gold Ball Bonder51069 K & S 648-2 Die Bonder
50966 K & S 648-2? Die Bonder38058 K & S 8060 Wedge Bonder
50969 K & S Feb-02 Wire Bonder 51072 K & S Feb-02 Wire Bonder
50970 K & S 4122 Semi-Automatic and Manual Gold Single Point Tab and Standard Ball Bonder51073 K & S 4122 Semi-Automatic and Manual Gold Single Point Tab and Standard Ball Bonder71751 K and S 4123 Manual Wedge Bonder assembly21466 K and S 797 Dicing saw assembly18866 K AND S 98060-0000-001-01 Manual for Model 8060 automatic wedge bonder74155 K and S Maxum Wire Bonder 6, 874156 K and S Maxum Wire Bonder 6, 8
74157 K and S Maxum Wire Bonder 6, 874158 K and S Maxum Wire Bonder 6, 874159 K and S Maxum Wire Bonder 6, 874160 K and S Maxum Wire Bonder 6, 874161 K and S Maxum Wire Bonder 6, 874162 K and S Maxum Wire Bonder 6, 874163 K and S Maxum Wire Bonder 6, 874164 K and S Maxum Wire Bonder 6, 874165 K and S Maxum Wire Bonder 6, 874166 K and S Maxum Wire Bonder 6, 874167 K and S Maxum Wire Bonder 6, 870611 K and S Maxum Wire Bonders17747 K and S 1484LXQ Turbo wire bonder assembly67492 K&S 1419 Parts tool67493 K&S 1419-3 The K&S 1419-3 Dawn Rev G is an automatic ball bonder with hybrid travel, PRS and solid state v ideo camera. Spare parts are available. (Email us for photo) 67494 K&S 1470 Parts tool available33638 K&S 1470-4 Automatic Hybrid Wedge Bonder, 100mm x 100mm Travel33630 K&S 1471 Wire Bonder, 2ea Available33631 K&S 1472 Automatic Wedge Bonder67495 K&S 148433639 K&S 1488 Turbo+ Automatic Gold Wire Bonder67496 K&S 4123 Wedge Bonder53134 K&S 4124 ball bonder67497 K&S 412467498 K&S 412935570 K&S 4523 Manual Wedge Bonder67136 K&S 4526 4526 Wedge Bonder Vertical Feed Includes Calibrated Leica MZ6 Microscope 67499 K&S 484 The K&S 484 is an ultrasonic wedge bonder. It uses wire up to 1.5 mil. 67157 K&S 642 The K&S 642 is an euctectic die bonder with heated workholder.
67158 K&S 643 The K&S 643 makes it possible to bond chips to hybrid packages without the risk of causing previously bonded adjacent chips to float loose or cooking film resistors until they change value. The technique is to heat only a chip-size spot of the substrate..67159 K&S 648 The K&S 648 bonder is an eutectic die attacher. 33633 K&S 6495 Semi-Automatic Epoxy Die Bonder with PRS35571 K&S 6496 Semi-Automatic Die Attacher56840 K&S 6497 Semi-Automatic Flip Chip Die Bonder with PRS50443 K&S 7100 AD Dicing Saw 200 mm 67445 K&S 775-4 6" COMPUTER CONTROLLED HIGH POWER DICING SAW, W/VIDEO MANGETIC CHUCK, CAN BE CONVERTED TO VAC CHUCK 50448 K&S 8028 Wire Bonder66470 K&S 8028 Wire Bonder66471 K&S 8028 Wire Bonder66472 K&S 8028 Wire Bonder66473 K&S 8028 Wire Bonder66474 K&S 8028 Wire Bonder66475 K&S 8028 Wire Bonder66476 K&S 8028 Wire Bonder66477 K&S 8028 Wire Bonder66478 K&S 8028 Wire Bonder66479 K&S 8028 Wire Bonder66480 K&S 8028 Wire Bonder66481 K&S 8028 Wire Bonder66482 K&S 8028 Wire Bonder66483 K&S 8028 Wire Bonder71105 K&S 8028PPS Fine Pitch Bonder61186 K&S 9388 Laser Pro Automatic Ball Attach System27833 K&S 972 Wafer Washer 200 mm33824 K&S 982-10 Dicing Saw with 10" XY Travel58798 K&S K&S1488 Plus Wire Bonder67137 K&S 4524 K&S 4524 BALL BONDER 70294 K&S 8020 Ball Bonder17474 K&S 9388 BGA/CSP Ball Attach Tool26576 Kaeser SX6 4KW Screw compressor and dessicator facilities56832 Kaijo FB-700 Wire Bonder71446 Kaijo FB-700 Wire Bonder17095 KAIJO FB118A SOLID STATE CAMERA AND CONTROL BOARD21463 Kaijo FB118C Wire bonder assembly57781 KAIJO FB700 WIRE BONDER
38388 Kaijo FB 118 and 128 Wire Bonders56822 Kaijo FB 131 Wire Bonders SMT LED50975 Kaijo FB-118AF?? Gold Ball Thermosonic Bonder51078 Kaijo FB-118AF?? Gold Ball Thermosonic Bonder68649 Kanken Techno KD101 E625 Scriber 125mm68650 Kanken Techno KSi100A 480 Scriber 125mm68664 Kanken Techno KT-1000 WJ Scriber 125mm68665 Kanken Techno KT-500 P-5000 Scriber-1 125mm68666 Kanken Techno KT-500 P-5000 Scriber-2 125mm65915 KANTO SEIKI DTU1531 Chiller18792 Karl Suss 108285 Preligner PCB ,XR5 Prealigner74105 Karl Suss ACS 200 Spin Coater 150 mm70315 Karl Suss ACS8 Resist Coater70285 Karl Suss ACS8 Resist Coater 18811 Karl Suss Aligner spares59155 Karl Suss MA 150 Mask Aligner69681 Karl SUSS MA 150 CC Mask Aligner67366 KARL SUSS MA 45 MASK ALIGNER 53180 Karl Suss MA150 Mask Aligner69266 Karl Suss MA150 Mask Aligner74663 Karl Suss MA150 MASK ALIGNER 674664 Karl Suss MA150 MASK ALIGNER 653027 Karl Suss MA150 mask aligner 150 mm61286 KARL SUSS MA200 MASK ALIGNER 200 mm69267 Karl Suss MA24 Mask Aligner71872 Karl Suss MA25 Double Sided Mask Aligner69268 Karl Suss MA25 Mask Aligner
71079 Karl Suss MA45 Mask Aligner69269 Karl Suss MA56 Mask Aligner69335 Karl Suss MA56 MASK ALIGNER56806 KARL SUSS MA6 MASK ALIGNER71766 Karl Suss MA6/BA6 Mask Aligner18807 Karl Suss Mask Aligner Vacuum gauge 0/-1 Bar69915 Karl Suss MJB-21 Double Sided Mask Aligner
70018 Karl Suss MJB-21 Mask Aligner 3.5 Inch67365 Karl Suss MJB3 Front and backside alignment capability 350 watt lamp High resolution alignment for up to 3" wafers Soft contact option 2.5x, 5x, 20x and 32x microscope objectives32157 Karl Suss MJB3 Mask Aligner72901 Karl Suss MJB3 Mask Aligner (350W)60831 Karl Suss PM8 Probe Station67446 Karl Suss RA120M SCRIBE RANGE WITH SKIP IS 3X3" 9982 KARL SUSS RA120M Wafer Scriber70031 Karl Suss SB8e Substrate Bonder 200mm67367 Karl Suss MA1006 KARL SUSS MA1006 MASK ALIGNER 1000 WATT POWER SUPPLY 1 MICRON RESOLUTION ON 6" WAFERS SPLIT FIELD MICROSCOPE WITH 6 OBJECTIVES 62407 Karl-Suss MA150 Mask Aligner 150mm60478 Karl-Suss MA150 Mask Aligner 150 mm36539 KARLSUSS RC 16 PIN COATER67368 Kasper 2001 KASPER 2001 MASK ALIGNER ZEISS SPLIT FIELD OPTICS, 200 WATT LIGHT SOURCE CURRENTLY CONFIGURED FOR 3" WAFERS 55981 Kawasaki 50607-1222 Robots75137 Kawasaki Robots Robots75182 Kawasaki Robots70314 Kayex CG 6000 Crystal Growers60933 KE DD-803V PYRO 150 mm60932 KE DD-803V PYRO 150 mm64194 KE DD-823V-8BL PYRO, SMIF64191 KE DD-823V-8PL H2 Anneal, SMIF 200 MM60918 KE DJ813V CVD 60919 KE DJ813V Vertical low-pressure CVD64193 KE DJ853V_8BL2_RAD_OX64192 KE DJ853V_8LD_RAD_OX69762 KED 2000 Pneumatic Stencil Cleaner32247 Keithley 236 CMU TEST53100 Keithley 2420 60W High-Current Sourcemeter53101 keithley 2420 60W High-Current Sourcemeter
71901 Keithley S400 Parametric tester 200mm34050 Keithley 2400 SOURCE METER test55975 KEITHLEY S400 Parametric Tester69283 Keithley Quantox 64000 Silicon and Oxide Monitoring System68887 Keithly ANALYZER : 4200 Analyzer68888 Keithly ANALYZER : 4200 Analyzer68186 Keithly SWITCHING MATRIX Tester67529 Keller BT 3067177 Keller ST 80 The Keller ST 80 is a die shear Digital readout with 80 transducer. 56844 KELLER TECHNOLOGY BondTest 30 Wire Bond Pull Tester - Parts Tool Only60375 KEM Lamda 3000 II Resist Ashing 200 mm22998 Kensington CSMT-2 Wafer Sorter62631 Kensington Scope18769 Kensington Labs 25-3700-1125-02 Dual Paddle Robot Equinox18773 Kensington Labs 25-3700-1125-02 Dual Paddle Robot Equinox18762 Kensington Labs 25-3700-1425-06 25-3700-1425-06 Applied Materials CMP18764 Kensington Labs 25-3700-1425-08 25-3700-1425-08 Applied Materials STD18774 Kensington Labs 25-3700-1725-05 Dual Paddle Robot Equinox35681 Kensington Labs CSMT-4 Wafer Sorter35682 Kensington Labs CSMT-4 Wafer Sorter75813 Kensington Labs CSMT-4 Wafer Sorter 200 mm75816 Kensington Labs CSMT-4 Wafer Sorter 200 mm18770 Kensington Labs Dual Multi-link Dual Multi-link Robot Gasonics18771 Kensington Labs Dual Multi-link Dual Multi-link Robot Gasonics18772 Kensington Labs Dual Multi-link Dual Multi-link Robot Gasonics18766 Kensington Labs WFH3 Wafer Handler IR/PA18767 Kensington Labs WFH3C Wafer Handler IR/PA18765 Kensington Labs WFH3C Wafer Handler IR/PA18768 Kensington Labs WFH4D Wafer Handler ESI18761 Kensington Labs WFH4D TRI-CCD Wafer Handler w/ PA ADE50194 Kevex 952 Omicron XRF, X-ray fluorescense s 200mm16420 KEVEX QUADRA With EDX System14149 keyence fu-4f mounting fibre unit17008 KEYENCE HC-55 MOTOR CONTROLLER19166 KEYENCE PS-26 AMP UNIT19342 KEYENCE PS-26 AMP UNIT
25478 Keyence VH-6100 Portable Monitor Microscope68777 Keyence VH-6300 Digital Microscope 125mm65389 Keyence VH-7000 Digital Microscope 19192 KEYENCE VL-50 CCCD CAMERA UNIT60971 KIHEVNG KLM-2000 ROUTER M/C 54237 Kikusui PLZ1004W DC Electronic Load69456 Kinetic FTS RC-211 Ultra Low Temperature Recirculating Chiller60804 Kinetic Systems 400145-3-1 Vibraplane Table55884 KINETIC SYSTEMS Vibraplane 1201-01-11 Vibration Isolation Table, 30" x 35" x 29"70407 Kinetic Systems Vibraplane 1201-11-11 Vibration Control Workstation n/a60705 Kinetic Systems Vibraplane Model 1201-01Anti-Vibration Table 35573 KINETICS Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36"22715 Kinetics Systems -252698 Pneumatic Air Table with Base N/A61226 KLA 1007 Wafer Prober 6"34356 KLA 1007 Wafer Prober 6"67476 KLA 2029 WAFER INSPECT/DEFECT DETECTOR. 63630 KLA 2132 Defect Inspection 150mm23001 KLA 2132 Defect Review / Wafer Inspection70703 KLA 2552 INSPECTION COMPUTER70704 KLA 2552 INSPECTION COMPUTER70705 KLA 2552 INSPECTION COMPUTER70706 KLA 2552 INSPECTION COMPUTER31645 KLA 259 Set of 4 Objectives27804 KLA 486-33E Model 5V AST Computer for KLA 25927801 KLA 720-05721000 Column Control Chasis for KLA 25927808 KLA 720-07335-002 Advantech Computer ICP-675134115 KLA 740-401-320 P-N 073-401-320 AIRLOCK34164 KLA 7700 Misc Bracket34120 KLA 7700 Table with PCB34136 KLA 7700m 099660 Handler back plane PCB34128 KLA 7700m 156256 Rev C PCB Handler CPU34129 KLA 7700m 172502-F PCB Universal Handler34135 KLA 7700m 174203 Rev D PCB Flat finder pwd Driver 34132 KLA 7700m 181137 Drive Assy PCB
34143 KLA 7700m 181830 Rev D, National Instruments AT-GPIB/TNT34142 KLA 7700m 184527 Rev H Robot parts Assy
34130 KLA 7700m 18458 Rev B $ CH Motor Control34127 KLA 7700m 186392A PCB Controller Handler34154 KLA 7700m 201989 Concave Mirror34148 KLA 7700m 210595 Rev B Optical Filter PCB34134 KLA 7700m 210617 rev B PCB Filter Optical34152 KLA 7700m 213780 Rev C PCB MASK SFS 34150 KLA 7700m 242163 Rev B PCB ADC-PFE Interface S7634149 KLA 7700m 242163 Rev B PCB ADC-PFE Interface S7634138 KLA 7700m 252948 Rev B Motor Lamp Drive SFS 7634123 KLA 7700m 253537 Rev A PCB Microscope Dist34140 KLA 7700m Computer Hard Disc34162 KLA 7700m Convex Glass Plate 34160 KLA 7700m Detector Assy34144 KLA 7700m EMO Switch34153 KLA 7700m Fresnel Lens / Mirror34139 KLA 7700m Front Panel PCB34122 KLA 7700m Keyboard Assy 34145 KLA 7700m Leadscrew and Servo Motor CMC MT2115-014DF34125 KLA 7700m Mirror Assy Flat34161 KLA 7700m Mouse & PCB 240C34165 KLA 7700m Optical Assy 34167 KLA 7700m Photomultiplier34131 KLA 7700m Pittmann Motor 94337528 Microscope driver34124 KLA 7700m Quartz chuck34121 KLA 7700m robot Dist. PCB34163 KLA 7700m two cables 7 brackets31618 KLA 8100 Block, Pivot ,Keybd P/N 740-03389-000
31613 KLA 8100 Bracked Lift Keyboard Part 740-03393-00031626 KLA 8100 Bracket P/N 740-07893-00031619 KLA 8100 Bracket retainer keybd P/N 740-03390-00069307 KLA 8100 CD-SEM31632 KLA 8100 Festo PU-3 Duo air Pipe31629 KLA 8100 Flex Pipe31625 KLA 8100 Ground Strap P/N 810-04308-004
31624 KLA 8100 Ground Strap P/N 810-04308-00531620 KLA 8100 Interface cable set P/N 810-09072-002 REV A
31621 KLA 8100 MCA Module P/N 720-02847-00031615 KLA 8100 P/N 731-09404-047 Rev 2
31622 KLA 8100 P/N 740-05635-000 REV A31627 KLA 8100 P/N 740-05728-00031628 KLA 8100 P/N 740-07892-000 Rev A31612 KLA 8100 Part 740 05584 000 C31614 KLA 8100 PICOAMP 11 P/N 720-02964-00031616 KLA 8100 Plate Wafer P/N 731-08507-00431617 KLA 8100 Solenoid Valve Manifold P/N 740-05681-00031623 KLA 8100 T Piece P/N 471-07945-00034116 KLA AIT 1 Network Card55922 KLA AIT XP Patterned Wafer Inspection69822 KLA Alpha Step 200 profiler 67482 KLA Alphastep 100 STYLUS PROFILOMETER FOR METAL THICKNESS MEASURMENT. 67481 KLA Alphastep 200 Profiler34118 KLA Ceramic table 8" Ceramic Table & Misc Parts11374 KLA CRS 3000 Confocal Review Station71798 KLA FIM Handler FOUP/FIM Handler for 200mm & 300mm Loaders54874 KLA P2 Long Scan Surface Profilometer69803 KLA RS55TC RESISTIVITY MEASUREMENT71072 KLA SP1 TBI Wafer Inspection System 20056946 KLA SP1TBI34119 KLA Misc Lens PCB34504 KLA 1630 HIGH RESOLUTION EMISSION MI 200mm34505 KLA 2131 DEFECT REVIEW INSPECTION TOO 200mm27809 KLA 259 Microscope Head & stage Accessories27802 KLA 720-05721000 Column Control Chassis for KLA 8100
27807 KLA 720-05887-000 MCP Detector Control Chassis 34117 KLA 7700 Cassette Plate + PCB34137 KLA 7700m 199958 Rev F PCB PSF Driver SFS75 34147 KLA 7700m Mirror Assy with Fiber Optic34126 KLA 7700m Mirror Curved71785 KLA 7700M Photomultiplier 34166 KLA 7700m Positioning Laser31631 KLA 8100 Bracket P/N 740-05415-000 Rev A27803 KLA 8100 KLA 8100 SEM Part 740-03565-000
31630 KLA 8100 PCB 830-10172-000 Rev 331633 KLA 8100 Plastic Disc
70977 KLA AS 500 Profilometer50159 KLA HRP-320 Profiler 300mm72196 KLA P16+ Surface Profiler27806 KLA TFE Gun Controller Gun Controller Chassis Part No 720-05888-00036264 KLA / Keithley Quantox 64000 Measurement System - PARTS TOOL w/ SMIF74770 KLA / Leica INS3000 Optical Review 874771 KLA / Leica INS3000 Optical Review 874772 KLA / Leica INS3300G1 Optical Review 1274773 KLA / Leica LDS3300M Macro Inspection 1262401 KLA TENCOR 2111 / 2130 WAFER DEFECT SCANNER 150mm1680 KLA TENCOR 2132 bright-field WAFER INSPECTION 200 mm62400 KLA TENCOR 2132 WAFER DEFECT SCANNER 150mm60953 KLA Tencor 2135 brightfield wafer defect inspection 200 mm62662 KLA Tencor 213560947 KLA Tencor 2138-IS55329 KLA TENCOR 2139 Metrology Equipment, Wafer Inspect 200mm62227 KLA TENCOR 2401 Viper Wafer Defect Inspection 200mm61445 KLA TENCOR 2608 Metrology 200mm70707 KLA TENCOR 2608 MICROSCOPE 200mm 56879 KLA Tencor 2800 Patterned Wafer Inspection System 300 mm21878 KLA TENCOR 5015 OVERLAY MEASUREMENT 6 INCH60951 KLA Tencor 5100?70601 KLA TENCOR 5200 OVERLAY MEASUREMENT
70708 KLA TENCOR 5200 OVERLAY MEASUREMENT55331 KLA TENCOR 5300 Metrology Equipment, Overlay Mea 200 mm71147 KLA Tencor 5FX Overlay Measurement21335 KLA Tencor 6200 Surfscan Partic le detection74774 KLA Tencor AIT DF Wafer Inspection 860952 KLA Tencor AIT74775 KLA Tencor AIT I DF Wafer Inspection 874776 KLA Tencor AIT I DF Wafer Inspection 850168 KLA Tencor AIT-8000 Wafer surface analsys 200mm61444 KLA TENCOR AIT-I Metrology 200mm74777 KLA Tencor AIT-UV Metrology 8
60976 KLA Tencor ALPHA-STEP 20060935 KLA Tencor Archer 10XT Overlay measurement 200 mm
74778 KLA Tencor ARCHER AIM Overlay 1226597 KLA TENCOR CRS 1010S Wafer Confocal Review Station62228 KLA TENCOR EAGLE Pattern INSPECTION 200mm62229 KLA TENCOR EAGLE Pattern INSPECTION 200mm21334 KLA Tencor ES31 Voltage Contrast SEM35992 KLA Tencor EV300 Defect Review SEM 200 mm60950 KLA Tencor FLX-290874779 KLA Tencor FLX2320 Stress Measurement 662218 KLA TENCOR KLA 2122 Defect Inspector System including S 150mm74780 KLA Tencor KLA2135 Wafer Inspection 862230 KLA TENCOR KLA2135/2552 Pattern INSPECTION 200mm74781 KLA Tencor KLA2138 Defect Inspection 874782 KLA Tencor KLA2138 Wafer Inspection 874783 KLA Tencor KLA2138 Wafer Inspection(part) 874784 KLA Tencor KLA5200XP Overlay 874785 KLA Tencor KLA5200XP Overlay 8
33935 KLA TENCOR QUANTOX 64100 Metrology 200mm72873 KLA Tencor RS-75 Sheet Resistiv ity measurement sys 200mm72874 KLA Tencor RS-75 Sheet Resistiv ity measurement sys 200mm74786 KLA Tencor RS100 Resistiv ity Measurement 1274787 KLA Tencor RS35 Resistiv ity measurement system 850290 KLA TENCOR SFS 6100 Surfscan 200mm62474 KLA TENCOR SFS 6220 Partic le count 200mm
62475 KLA TENCOR SFS 6220 Partic le count 200mm74788 KLA Tencor SFS 6220 Partic le Inspection 832230 KLA TENCOR SFS6400 MECHANICAL CAWAFERSURFACE ANALYSIS SYST MANUAL32233 KLA TENCOR SFS6x00 MECHANICAL CAWAFER SURFACE ANALYSIS SYST MANUAL67483 KLA Tencor Sigmascan STYLES PROFILOMETER 70556 KLA Tencor SP1 TBI Unpatterned Surface Inspection 30074789 KLA Tencor SP2 Partic le Counter 1252870 KLA Tencor SP2 Partic le DETECTION SYSTEM 300 mm / 200 MM62413 KLA TENCOR Spectra FX 1000 HT Thin Film Metrology System 200mm32231 KLA TENCOR Surfscan 64X0 Calibratio Surfscan 64X0 Calibration Procedur MANUAL32232 KLA TENCOR surfscan 64XX optical al WAFER SURFACE ANALYSIS SYST MANUAL
62231 KLA TENCOR Surfscan 7700 Patterned Wafer Inspection System 200mm62232 KLA TENCOR Surfscan AIT-1 Patterned Wafer Inspection System 200mm
62233 KLA TENCOR Surfscan AIT-1 Patterned Wafer Inspection System 200mm61443 KLA TENCOR UV-1050 Metrology 200mm56294 KLA TENCOR UV-1250se Metrology Equipment, Thin Film Me 200mm
54212 KLA Tencor UV1050 Film thickness measurement 200mm59860 KLA Tencor UV1050-3 Wafer Scanner 200 mm
74790 KLA Tencor UV1280SE Film thickness measurement 860942 KLA Tencor UV1280SE64401 KLA Tencor 8100XP METROLOGY64402 KLA Tencor FABVARS519 VARS IMAGE STORAGE64412 KLA Tencor P2H AUTO LONG SCAN PROFILE 38420 KLA TENCOR - AIT II Patterned Wafer Inspection 200mm38312 KLA TENCOR - SURFSCAN 6200 Wafer Surface Analysis System For Non-Patterned Wafers53094 KLA TENCOR - UV128061235 KLA TENCOR CORPORATI 2135 DEFECT INSPECTION 200mm61292 KLA TENCOR CORPORATI 2139 BRIGHT FIELD PATTERN SYSTEM 200mm61353 KLA TENCOR CORPORATI 2600B OPTIPROBE CU 200mm
61352 KLA TENCOR CORPORATI 5240 PARC OPTIPROBE 200mm61307 KLA TENCOR CORPORATI 5341 CD OPTICAL MEASURE 300mm61354 KLA TENCOR CORPORATI 5341E OPTIPROBE WITH WAFER BOW AN 200mm61293 KLA TENCOR CORPORATI 6200 SURFSCAN BARE 200mm61294 KLA TENCOR CORPORATI 6220 SURFSCAN BARE, CU 200mm61236 KLA TENCOR CORPORATI AIT DEFECT INSPECTION 200mm61238 KLA TENCOR CORPORATI AIT UV++ DARKFIELD PATTERN INSPECTION 300mm66719 KLA TENCOR CORPORATI ARCHER 10 OVERLAY/REGISTRATION 300mm66720 KLA TENCOR CORPORATI ARCHER-10XT OVERLAYREGISTRATION TOOL 300mm61240 KLA TENCOR CORPORATI HRP340-E HIGH RESOLUTION PROFILOMETE 300mm61295 KLA TENCOR CORPORATI RS55 OMNIMAP 200mm61308 KLA TENCOR CORPORATI SCD-XT SCATTEROMETRY METROLOGY TO300mm66704 KLA TENCOR CORPORATI SP1 w/ TBI BARE WAFER INSPECTION 300mm70709 KLA_TENCOR ES32 SEM "1218612 KLA-Tencor 073-401-320 AIRLOK18632 KLA-Tencor 073-401-320 AIRLOK71632 KLA-TENCOR 2122 WAFER DEFECT INSPECTION64168 KLA-Tencor 2131 Wafer Defect Inspection 200mm72578 KLA-Tencor 2132 WAFER DEFECT DETECTION 150 mm71630 KLA-TENCOR 2132 WAFER DEFECT INSPECTION
71631 KLA-TENCOR 2132 WAFER DEFECT INSPECTION22725 KLA-Tencor 2132 Wafer Defect Inspection System (P 150mm53980 KLA-Tencor 2132 Wafer Inspection System
74793 KLA-Tencor 2133 Metrology 474792 KLA-Tencor 2133 Metrology 5
74791 KLA-Tencor 2133 Metrology 672579 KLA-Tencor 2133 WAFER DEFECT DETECTION 150 mm36532 KLA-TENCOR 2135 brightfield wafer defect inspection 200 mm26574 KLA-Tencor 2135 BRIGHTFIELD WAFER DEFECT INS 200 mm36533 KLA-TENCOR 2135 Brightfield Wafer Inspection 200 mm15682 KLA-TENCOR 2135 PATTERNED WAFER INSPECTION75563 KLA-Tencor 2135 Wafer Inspection Equipment 20075564 KLA-Tencor 2135 Wafer Inspection Equipment 20075565 KLA-Tencor 2135 Wafer Inspection Equipment 200
35723 KLA-Tencor 2135/2552 Pattern INSPECTION 200 mm15683 KLA-TENCOR 2135XP PATTERNED WAFER INSPECTION
63654 KLA-TENCOR 2139 DEFECT INSPECTION 200mm15680 KLA-TENCOR 2139 PATTERNED WAFER INSPECTION75727 KLA-Tencor 216E Mask Defect Inspection System 5 and 6 inch masks64776 KLA-Tencor 2351 WAFER INSPECTION 300 MM71713 KLA-Tencor 2360 Brightfield Wafer Defect Detection S 200 mm21134 KLA-TENCOR 2365 (PARTS) IMACS ASSEMBLY FOR WAFER INS 200 MM71249 KLA-Tencor 2367 Brightfield Inspection 300 mm75728 KLA-Tencor 236LE Mask Defect Inspection System 5 and 6 inch masks70715 KLA-TENCOR 2370 DEFECT INSPECTION71984 KLA-TENCOR 2370 Blazer WAFER DEFECT INSPECTION 8"70716 KLA-TENCOR 2371 DEFECT INSPECTION 72580 KLA-Tencor 239 Retic le Defect Measurement 150 mm75954 KLA-Tencor 2410 STRESS MEASUREMENT 200 MM72581 KLA-Tencor 2551 Defect database 150 mm72582 KLA-Tencor 2551 Defect database 150 mm36534 KLA-TENCOR 2552 DATA ANALYSIS STATION Model - 2 200mm36535 KLA-TENCOR 2552 DATA ANALYSIS STATION Model - 2 200mm72583 KLA-Tencor 2552 Defect database 150 mm71627 KLA-TENCOR 2552 WAFER DEFECT INSPECTION71629 KLA-TENCOR 2552 WAFER DEFECT INSPECTION53981 KLA-Tencor 2552X Wafer Inspection System27790 KLA-Tencor 259 (Spare parts) PCBs for retic le inspection system1691 KLA-TENCOR 259 (spare parts) Retic le Inspection - SPARE PARTS up to 7 inch
70717 KLA-TENCOR 2608 DEFECT INSPECTION72584 KLA-Tencor 2608 Defect review station 150 mm
36559 KLA-TENCOR 3800C70718 KLA-TENCOR 5010 OVERLAY MEASUREMENT
22724 KLA-Tencor 5011 Overlay Precis ion Measurement Sy 150mm18598 KLA-TENCOR 5015 Spare Parts 150 mm74794 KLA-Tencor 5100 Metrology 831242 KLA-Tencor 5100 XP registration tool / overlay measurem 200 mm74795 KLA-Tencor 5200 Metrology 874796 KLA-Tencor 5200 Metrology 874797 KLA-Tencor 5200 Overlay 8
70719 KLA-TENCOR 5200 OVERLAY MEASUREMENT71458 KLA-Tencor 5200 OVERLAY MEASUREMENT 200 MM
75777 KLA-Tencor 5200 XP overlay measurement 200 mm74801 KLA-Tencor 5200XP Metrology 6
15686 KLA-TENCOR 5200XP OVERLAY MEASUREMENT75576 KLA-Tencor 5200XP Wafer Inspection Equipment 20075577 KLA-Tencor 5200XP Wafer Inspection Equipment 20015687 KLA-TENCOR 5300 OVERLAY MEASUREMENT75567 KLA-Tencor 5300 Overlay Measurement System 20033962 KLA-TENCOR 5340PS OVERLAY MEASUREMENT74798 KLA-Tencor 6200 Metrology 474799 KLA-Tencor 6200 Metrology 475569 KLA-Tencor 6200 Surface Analyzer 20075570 KLA-Tencor 6200 Surface Analyzer 20071628 KLA-TENCOR 6200 WAFER DEFECT INSPECTION36557 KLA-TENCOR 620036558 KLA-TENCOR 620075571 KLA-Tencor 6220 Surface Analyzer 20015688 KLA-TENCOR 6220 SURFSCAN WAFER INSPECTION 150 OR 200 MM20480 KLA-TENCOR 6220 WAFER INSPECTION 2 to 8 inch36536 KLA-TENCOR 622072574 KLA-Tencor 6420 Partic le Detector 150 mm75572 KLA-Tencor 6420 Surface Analyzer 20075573 KLA-Tencor 6420 Surface Analyzer 20075574 KLA-Tencor 6420 Surface Analyzer 20072863 KLA-TENCOR 6420 Surfscan Wafer Partic le Detection 200 mm / 150 mm4959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 213 200 mm
18601 KLA-Tencor 710-40.. PIN DIODE PRE AMP Rev. C18609 KLA-Tencor 710-400412-00 ASSY BOARD Rev. K
18604 KLA-Tencor 710-401249-00 Driver Board Rev. E18599 KLA-Tencor 710-401249-01 DRIVE BOARD Rev. F18603 KLA-Tencor 710-401536-00 ASSY NO 401536 00 ENCODER INTERFACE18605 KLA-Tencor 710-404146-00 ASSY BOARD Rev. A18608 KLA-Tencor 712-404056-00 ASSY BOARD Rev. B18610 KLA-Tencor 712-404056-00 ASSY BOARD Rev. B18607 KLA-Tencor 730-400083-00 PZT CONTROLLER Rev. G18606 KLA-Tencor 750-400159-00 MATROX VIP 1024 Rev. A18611 KLA-Tencor 750-400339-00 ASSY BOARD Rev. H
18602 KLA-Tencor 750-40426.. BIT 3 COMPUTER COP18622 KLA-Tencor 750-404260 BIT 3 COMPUTER COP
74800 KLA-Tencor 7700 Metrology 575575 KLA-Tencor 7700 Surface Analyzer 20072585 KLA-Tencor 8100 CD SEM 150 mm31244 KLA-Tencor 8250 cd sem 200 mm18615 KLA-Tencor 851391-101 LH RESEARCH REV.B18635 KLA-Tencor 851391-101 LH RESEARCH REV.B61366 KLA-TENCOR AIT DEFECT INSPECTION75778 KLA-Tencor AIT PARTICLE INSPECTION 200 mm61364 KLA-TENCOR AIT PARTICLE INSPECTION61365 KLA-TENCOR AIT PARTICLE INSPECTION75578 KLA-Tencor AIT Wafer Inspection Equipment 20075579 KLA-Tencor AIT Wafer Inspection Equipment 20036560 KLA-TENCOR AIT36561 KLA-TENCOR AIT61367 KLA-TENCOR AIT 1 DEFECT INSPECTION74802 KLA-Tencor AIT 1 Metrology 635900 KLA-Tencor AIT Fusion XUV Wafer Inspection Equipment 300 mm75955 KLA-Tencor AIT II DARK FIELD PARTICLE DETECTION200 MM75580 KLA-Tencor AIT II Wafer Inspection Equipment 20015681 KLA-TENCOR AIT II XP SURFACE INSPECTION31239 KLA-Tencor AIT UV Darkfield Inspection System 200 mm31238 KLA-Tencor AIT UV Darkfield Wafer Inspection System 200 mm70710 KLA-TENCOR AIT UV DEFECT INSPECTION
33963 KLA-TENCOR AIT UV Defect Metrology31243 KLA-Tencor AIT UV Inspection System 200 mm70127 KLA-Tencor AIT UV PATTERNED WAFER INSPECTION 200mm33964 KLA-TENCOR AIT UV+ Defect Metrology76064 KLA-Tencor AIT XP Dark field inspection 874803 KLA-Tencor AIT XP Patterned Wafer Inspection 875581 KLA-Tencor AIT XP Wafer Inspection Equipment 20034904 KLA-TENCOR AIT XP Fusion Darkfield Defect Inspection 300 mm34905 KLA-TENCOR AIT XP Fusion Darkfield defect inspection system 300 mm76063 KLA-Tencor AIT XP+ Dark field inspection 12 INCH61368 KLA-TENCOR AIT-1 PARTICLE INSPECTION71976 KLA-TENCOR AIT-UV DARKFIELD PARTICLE DETECTION 8"33965 KLA-TENCOR AIT-XP PLUS Defect Metrology33966 KLA-TENCOR AIT2 Defect Metrology74804 KLA-Tencor AIT3 (XP+) Dark field inspection 1271856 KLA-TENCOR Aleris HX Film Thickness measurement 200 mm / 300 mm15103 KLA-TENCOR ALPHA STEP 300 Profilometer75582 KLA-Tencor Alpha-Step 250 Surface Profiler 15075583 KLA-Tencor Archer 10 Overlay Measurement System 20075584 KLA-Tencor Archer 10 Overlay Measurement System 20066414 KLA-Tencor Archer 10XT overlay measurement 200 mm64711 KLA-TENCOR ARCHER 10XT Overlay measurement 200 mm70723 KLA-TENCOR ARCHER10XT OVERLAY 56063 KLA-TENCOR ASET F5X OVERLAY MEASUREMENT 200 MM69833 KLA-Tencor ASET F5X Overlay measurement (Used on co 300 MM56909 KLA-TENCOR ASET F5X Thin films and multilayers MEASUR 200 MM74805 KLA-Tencor AWIS_FIT3110 METRO 874806 KLA-Tencor AWIS_FIT3110 METRO 872862 KLA-TENCOR Candela CS20R Dual Wafer Defect Detection 150-100 MM
35724 KLA-Tencor EAGLE Pattern INSPECTION35725 KLA-Tencor EAGLE Pattern INSPECTION35726 KLA-Tencor EAGLE Pattern INSPECTION60353 KLA-Tencor EAGLE Pattern INSPECTION 200 mm60354 KLA-Tencor EAGLE Pattern INSPECTION 200 mm75959 KLA-Tencor ES-20 SEM 200 MM63655 KLA-TENCOR ES20 EBEAM INSPECTION 200mm
70272 KLA-TENCOR ES20 INSPECTION SEM 200 mm71162 KLA-Tencor eS20 XP SEM defect inspection 200 mm67945 KLA-TENCOR ES30 E-BEAM DEFECT INSPECTION 200 MM70711 KLA-TENCOR ES30 SEM34293 KLA-Tencor eS31 defect inspector 70712 KLA-TENCOR ES31 SEM34894 KLA-TENCOR eS32 Defect review SEM 300 mm75956 KLA-Tencor eS32 SEM 200 MM75585 KLA-Tencor eS32 Wafer Inspection Equipment 30071626 KLA-TENCOR EV300 SEM74807 KLA-Tencor F5 Film Thickness Measurement 836565 KLA-TENCOR FILM THICK, LTS-M/SP75586 KLA-Tencor FLX 2320 Thin Film Measurement System 15075162 KLA-Tencor FLX 2908 WAFER STRESS MEASUREMENT S 150 mm75587 KLA-Tencor FLX 5400 Thin Film Measurement System 20072576 KLA-Tencor FLX2320A Film Stress 150 mm15689 KLA-TENCOR FLX5200 WAFER STRESS MEASUREMENT71622 KLA-TENCOR FLX5200H METROLOGY38291 KLA-Tencor FLX5400 Metrology Equipment, Thin Film Me 200mm72577 KLA-Tencor FT650 Film thickness measurement 150 mm71769 KLA-Tencor FX100 Film Thickness measurement 200 MM/ 300 MM71977 KLA-TENCOR INS3000 MICROSCOPE INSPECTION STATIO 8"71978 KLA-TENCOR INS3000 MICROSCOPE INSPECTION STATIO 8"71979 KLA-TENCOR INS3300 MICROSCOPE INSPECTION STATIO 8"/12"71980 KLA-TENCOR INS3300 MICROSCOPE INSPECTION STATIO 8"/12"71981 KLA-TENCOR INS3300 MICROSCOPE INSPECTION STATIO 8"/12"71982 KLA-TENCOR INS3300 MICROSCOPE INSPECTION STATIO 8"/12"
71983 KLA-TENCOR INS3300 MICROSCOPE INSPECTION STATIO 8"/12"54703 KLA-Tencor INS3300 REVIEW STATION 300 mm
54702 KLA-TENCOR INS3300 REVIEW STATION 300mm61468 KLA-TENCOR INS3300 REVIEW STATION61469 KLA-TENCOR INS3300 REVIEW STATION70713 KLA-TENCOR INS3300 REVIEW STATION70714 KLA-TENCOR INS3300 REVIEW STATION74808 KLA-Tencor KLA 2133 Metrology 567839 KLA-Tencor KLA 5200XP Overlay measurement67840 KLA-Tencor KLA 6420 Partic le Counter67841 KLA-Tencor KLA 6420 Partic le Counter
67798 KLA-Tencor KLA-2112 WAFER INSPECTION67799 KLA-Tencor KLA-2115 WAFER INSPECTION67800 KLA-Tencor KLA-2115 WAFER INSPECTION67802 KLA-Tencor KLA-2131 INSPECTION SYSTEM67803 KLA-Tencor KLA-2552 WAFER INSPECTION67838 KLA-Tencor KLA-5100 Overlay measurement74809 KLA-Tencor KLA2139 Bright field Inspection 864244 KLA-TENCOR KLA213961369 KLA-TENCOR kla2608 DEFECT INSPECTION67845 KLA-Tencor M-GAGE30036567 KLA-TENCOR M-GAUGE 30070720 KLA-TENCOR MRW200 TESTER
72586 KLA-Tencor Omnimap METROLOGY 150 mm74810 KLA-Tencor Omnimap RS55tc Metrology 5
70721 KLA-TENCOR OP2XXX THICKNESS MEASUREMENT70722 KLA-TENCOR OP2XXX THICKNESS MEASUREMENT
72599 KLA-Tencor P-20H Surface Profiler 150 mm74811 KLA-Tencor P11 Metrology 474812 KLA-Tencor P11 Metrology 467842 KLA-Tencor P2 FILM THICKNESS up to 254 mm x 254 mm74813 KLA-Tencor P22 Metrology 474814 KLA-Tencor P22 Metrology 675589 KLA-Tencor Prometrix RS35 Resistiv ity Test 200
71985 KLA-TENCOR QUANTOX/64100 ELECTRICAL DEFECT INSPECTION 8"4958 KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE FACILITIES38340 KLA-Tencor RS100 WAFER RESISTIVITY MEASUREMEN 200 MM67850 KLA-Tencor RS35C Resistiv ity mapping system74815 KLA-Tencor RS55/tc Metrology 436568 KLA-TENCOR RS55/TCA 36569 KLA-TENCOR RS55/TCA 36570 KLA-TENCOR RS55/TCA 36571 KLA-TENCOR RS55/TCA 35719 KLA-Tencor SFS 6220 Partic le count 200mm35717 KLA-Tencor SFS 6220 Partic le count35718 KLA-Tencor SFS 6220 Partic le count60504 KLA-Tencor SFS 6220 Partic le count 200 mm60505 KLA-Tencor SFS 6220 Partic le count 200 mm36575 KLA-TENCOR SFS-AIT PATTERNED WAFER INSPECTION70724 KLA-TENCOR SFS6220 DEFECT INSPECTION70725 KLA-TENCOR SFS6220 PARTICLE INSPECTION70726 KLA-TENCOR SFS6220 PARTICLE INSPECTION61375 KLA-TENCOR SFS7600 DEFECT INSPECTION61376 KLA-TENCOR SFS7600 DEFECT INSPECTION70727 KLA-TENCOR SFS7600 DEFECT INSPECTION70728 KLA-TENCOR SFS7600 DEFECT INSPECTION61370 KLA-TENCOR SFS7600 PARTICLE INSPECTION61371 KLA-TENCOR SFS7600 PARTICLE INSPECTION61372 KLA-TENCOR SFS7600 PARTICLE INSPECTION61373 KLA-TENCOR SFS7600 PARTICLE INSPECTION61374 KLA-TENCOR SFS7600 PARTICLE INSPECTION70729 KLA-TENCOR SFS7600 PARTICLE INSPECTION70730 KLA-TENCOR SFS7600 PARTICLE INSPECTION
70731 KLA-TENCOR SFS7600 PARTICLE INSPECTION70732 KLA-TENCOR SFS7600 PARTICLE INSPECTION70733 KLA-TENCOR SFS7600 PARTICLE INSPECTION70734 KLA-TENCOR SFS7600 PARTICLE INSPECTION70735 KLA-TENCOR SFS76XX PARTICLE INSPECTION61378 KLA-TENCOR SFS7700 DEFECT INSPECTION70736 KLA-TENCOR SFS7700 DEFECT INSPECTION61377 KLA-TENCOR SFS7700 PARTICLE INSPECTION70737 KLA-TENCOR SFS7700 PARTICLE INSPECTION75729 KLA-Tencor SLF 87 Mask Defect Inspection System Up to 7 inch masks71471 KLA-TENCOR SP1 DLS DARKFIELD INSPECTION 300 mm74816 KLA-Tencor SP1 DLS Partic le counter 8,1271250 KLA-Tencor SP1 DLS Wafer Inspection System 300 mm61161 KLA-Tencor SP1 TBI Wafer Surface Inspection System 2 cassette 200 mm56937 KLA-Tencor SP1 TBI Wafer Surface Inspection System 200 mm56944 KLA-Tencor SP1 TBI Wafer Surface Inspection System 200 mm27865 KLA-Tencor SP1-TBI WAFER INSPECTION SYSTEM 200 MM58797 KLA-Tencor SP2 Partic le counter 200/300 mm70604 KLA-Tencor SP2.5 Wafer Inspection System 300 mm60480 KLA-Tencor Spectra FX 1000 HT Thin Film Metrology System 300 mm74817 KLA-Tencor Sufscan 2.1 Metrology 522721 KLA-Tencor Surfscan 4500 **GUARANTEED** Partic le Measure Multiple60359 KLA-Tencor Surfscan 4500 Partic le Measurement System34709 KLA-Tencor Surfscan 6200 Wafer Surface Analysis System 200mm75957 KLA-Tencor Surfscan 6220 DARK FIELD PARTICLE DETECTION200 MM55856 KLA-TENCOR Surfscan 6220 Unpatterned Wafer Surface Inspection Tool53982 KLA-Tencor Surfscan 6220 Wafer Inspection System22722 KLA-Tencor Surfscan 7600 Wafer Inspection System 200mm60352 KLA-Tencor Surfscan 7700 Patterned Wafer Inspection System 200 mm22723 KLA-Tencor Surfscan 7700 Patterned Wafer Inspection System( 200mm33694 KLA-TENCOR Surfscan 7700 Patterned Wafer Surface Inspection Tool72587 KLA-Tencor Surfscan 7700 wafer partic le measurement 150 mm72588 KLA-Tencor Surfscan 7700 wafer partic le measurement 150 mm72589 KLA-Tencor Surfscan 7700 wafer partic le measurement 150 mm72590 KLA-Tencor Surfscan 7700 wafer partic le measurement 150 mm33695 KLA-TENCOR Surfscan AIT Patterned Wafer Surface Inspection Tool
33696 KLA-TENCOR Surfscan AIT 8020 Patterned Wafer Surface Inspection Tool with Autofocus Review60350 KLA-Tencor Surfscan AIT-1 Patterned Wafer Inspection System 200 mm60351 KLA-Tencor Surfscan AIT-1 Patterned Wafer Inspection System 200 mm22716 KLA-Tencor Surfscan AIT-1 Patterned Wafer Inspection System( 200mm67560 KLA-Tencor Surfscan SP1 DLS Partic le Measurement 300 mm58866 KLA-Tencor Ultrapointe CRS-1010 Mask Inspection System 200 mm55862 KLA-TENCOR UV 1080 UV Film Thickness Tool, for up to 200mm Wafers53284 KLA-Tencor UV 1080 200 mm75590 KLA-Tencor UV 1250SE Thin Film Measurement System 20035720 KLA-Tencor UV-1050 Thickness Measurement35721 KLA-Tencor UV-1050 Thickness Measurement35722 KLA-Tencor UV-1050 Thickness Measurement75958 KLA-Tencor UV-1280SE THICKNESS MEASUREMENT 200 MM64239 KLA-TENCOR UV-1280SE64240 KLA-TENCOR UV-1280SE64241 KLA-TENCOR UV-1280SE64242 KLA-TENCOR UV-1280SE72591 KLA-Tencor UV1050 Film Thickness 150 mm54512 KLA-Tencor UV1250SE ellipsometer and surface film measurement55855 KLA-TENCOR Viper 2401 After Defect Inspection Tool, for up to 200mm Wafers72935 KLA-TENCOR Viper 2401 After Defect Inspection Tool, for up to 200mm Wafers72592 KLA-Tencor VP10 Versaprobe 150 mm75591 KLA-Tencor WI-3000 Wafer Inspection Equipment 20018614 KLA-Tencor POWER SUPPLY LAMBDA Rev. A18634 KLA-Tencor POWER SUPPLY LAMBDA Rev. A16441 KLA-TENCOR 2132 Patterned wafer brightfield defect in 200 mm18871 KLA-TENCOR 546860-27 Operation manual
18876 KLA-TENCOR 546879-27 Software version 4.1 manual18875 KLA-TENCOR 563226-27 Software version 5.0 manual18872 KLA-TENCOR 563234-27 Reference manual18874 KLA-TENCOR 905-664046-000 25x2 analysis workstation18873 KLA-TENCOR 990-039410-00 210 e-series theory15057 KLA-Tencor FT-750 Film Thickness Inspection System 51008 KLA-Tencor SM300 Prometrix Spectramap Auto, Film T 100mm, 125mm, 150mm, 200mm
73212 KLA-Tencor AIT UV Darkfield Inspection 8"73213 KLA-Tencor AIT XP+ Darkfield Inspection 6"73214 KLA-Tencor AIT XP+ Darkfield Inspection 8"73215 KLA-Tencor Archer 10 Overlay Measurement System 12"73216 KLA-Tencor Archer AIM Overlay Measurement System 12"73217 KLA-Tencor Archer AIM Overlay Measurement System 12"73218 KLA-Tencor Archer AIM+ Overlay Measurement System 12"73219 KLA-Tencor Archer AIM+ Overlay Measurement System 12"73220 KLA-Tencor Archer AIM+ Overlay Measurement System 12"73221 KLA-Tencor Surfscan 5500 Partic le Measurement73222 KLA-Tencor Surfscan SP1 DLS Partic le Measurement 12"73223 KLA-Tencor Surfscan SP1 TBI Partic le Measurement 6"73224 KLA-Tencor Terascan SL536 Retic le Inspection 12"73225 KLA-Tencor UV-1280SE Film Thickness Measurement Syste 6"73003 KLA-Tencor / VISTEC INM100 MICROSCOPE INSPECTION STATIO 8"70270 KLA-TENCOR / Therma-wa OP5240 METROLOGY - Film thickness meas 200 mm75790 KLA-Tencor / Thermawave OP 7341 Film thickness measurement 200 mm66814 KLA-Tencor / Thermawave TP500XP Metrology Equipment, Implant Dosi 200 mm30769 KLA-TENCOR CORP. 2138 WAFER INSPECTION SYSTEM30770 KLA-TENCOR CORP. 2138XP WAFER INSPECTION SYSTEM57186 KLA-Tencor Corp. 2800 Parts/Options 300 mm70460 KLA-Tencor Corp. AIT II Darkfield Inspection 200 mm70459 KLA-Tencor Corp. AIT XP Darkfield Inspection 200 mm70463 KLA-Tencor Corp. AIT XP Darkfield Inspection 200 mm70464 KLA-Tencor Corp. AIT XP Darkfield Inspection 200 mm53395 KLA-Tencor Corp. AIT XP Darkfield Inspection 300mm70458 KLA-Tencor Corp. AIT XP+ Darkfield Inspection 200 mm70465 KLA-Tencor Corp. AIT XP+ Darkfield Inspection 200 mm70466 KLA-Tencor Corp. AIT XP+ Darkfield Inspection 200 mm
70467 KLA-Tencor Corp. AIT XP+ Darkfield Inspection 200 mm70469 KLA-Tencor Corp. AIT XP+ Darkfield Inspection 200 mm70470 KLA-Tencor Corp. AIT XP+ Darkfield Inspection 200 mm70471 KLA-Tencor Corp. AIT XP+ Darkfield Inspection 200 mm67556 KLA-Tencor Corp. Archer XT Overlay Measurement System 300mm70473 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement Syste 200mm - 300 mm70480 KLA-Tencor Corp. FLX-5400 Stress Measurement 6"70481 KLA-Tencor Corp. FLX-5400 Stress Measurement 6"67533 KLA-Tencor Corp. Prometrix UV-1280SE Film Thickness Measurement Syste 200mm70476 KLA-Tencor Corp. Surfscan 6420 Partic le Measurement 6"30735 KLA-TENCOR CORP. SURFSCAN 6420 UNPATTERNED WAFER INSPECTIO20030736 KLA-TENCOR CORP. SURFSCAN 6420 UNPATTERNED WAFER INSPECTION SYSTEM70474 KLA-Tencor Corp. Surfscan SP1 Partic le Measurement 300 mm70475 KLA-Tencor Corp. Surfscan SP1 Partic le Measurement 300 mm70479 KLA-Tencor Corp. Terascan 586 Retic le Inspection n/a53998 KLA-Tencor-Prometrix FT-750 Film Thickness Measurement System53999 KLA-Tencor-Prometrix UV-1050 Film Thickness Measurement System34850 KLA/Tencor 2401 Viper Macro Defect Inspection System 200mm54906 KLA/Tencor AIT UV Metrologi 200mm54907 KLA/Tencor AIT UV Metrologi 200mm56905 KLA/Tencor SFX 1000HT Thin Film Metrology System 300mm38313 KLA/TENCOR - SURFSCAN 6420 Unpatterned Surface Inspection Sys 200mm68113 KLATencor 248 Automated Wafer Inspection Station 150mm68681 KLATencor 2608 200mm68126 KLATencor AIT Defect Inspection 200mm68127 KLATencor AIT Defect Inspection 200mm68128 KLATencor AIT Partic le Inspection 200mm68129 KLATencor AIT Partic le Inspection 200mm68130 KLATencor AIT Partic le Inspection 200mm68131 KLATencor AIT Partic le Inspection 200mm68132 KLATencor AIT Partic le Inspection 200mm68133 KLATencor AIT-1 Defect Inspection 200mm68134 KLATencor AIT-1 Defect Inspection 200mm68136 KLATencor AIT-1 Partic le Inspection 200mm68137 KLATencor AIT-1 Partic le Inspection 200mm68682 KLATencor AIT-I 200mm
68135 KLATencor AIT-UV Defect Inspection 200mm68684 KLATencor ARCHER10XT OVERLAY 200mm68623 KLATencor ASET F-6 Thickness Measurement 200mm68138 KLATencor ES30 Defect Inspection 200mm68139 KLATencor ES31 Defect Inspection 200mm68218 KLATencor FleXus F2400 Stress Measurement 150mm68616 KLATencor FT750?6" Film thickness measurement 150mm68617 KLATencor FT750?6" Film thickness measurement 150mm68618 KLATencor FT750?6" Film thickness measurement 150mm68685 KLATencor INS3300 REVIEW STATION 200mm68686 KLATencor INS3300 REVIEW STATION 200mm68687 KLATencor INS3300 REVIEW STATION 200mm68688 KLATencor INS3300 REVIEW STATION 200mm68140 KLATencor KLA2370 Defect Inspection 200mm68141 KLATencor KLA2371 Defect Inspection 200mm68142 KLATencor KLA2608 Defect Inspection 200mm68143 KLATencor KLA2608 Defect Inspection 200mm68144 KLATencor KLA5010 Defect Inspection 200mm68219 KLATencor KLA5100 Overlay Measurement 150mm68689 KLATencor KLA5200 OVERLAY 200mm68187 KLATencor MRW200 Tester68624 KLATencor OP2XXX Thickness Measurement 200mm68625 KLATencor OP2XXX Thickness Measurement 200mm68626 KLATencor OP2XXX Thickness Measurement 200mm68622 KLATencor Prometrix UV-1050 Metrology Thickness 200mm68114 KLATencor SFS6200 Non-pattern surface inspection 150mm68115 KLATencor SFS6200 Non-pattern surface inspection 150mm68116 KLATencor SFS6200 Non-pattern surface inspection 150mm68117 KLATencor SFS6200 Non-pattern surface inspection 150mm68118 KLATencor SFS6200 Non-pattern surface inspection 150mm68145 KLATencor SFS6220 Defect Inspection 200mm68146 KLATencor SFS6220 Partic le Inspection 200mm68147 KLATencor SFS6220 Partic le Inspection 200mm68148 KLATencor SFS7600 Defect Inspection 200mm
68149 KLATencor SFS7600 Defect Inspection 200mm68150 KLATencor SFS7600 Defect Inspection 200mm
68151 KLATencor SFS7600 Defect Inspection 200mm68152 KLATencor SFS7600 Partic le Inspection 200mm68153 KLATencor SFS7600 Partic le Inspection 200mm68154 KLATencor SFS7600 Partic le Inspection 200mm68155 KLATencor SFS7600 Partic le Inspection 200mm68156 KLATencor SFS7600 Partic le Inspection 200mm68157 KLATencor SFS7600 Partic le Inspection 200mm68158 KLATencor SFS7600 Partic le Inspection 200mm68159 KLATencor SFS7600 Partic le Inspection 200mm68160 KLATencor SFS7600 Partic le Inspection 200mm68161 KLATencor SFS7600 Partic le Inspection 200mm68162 KLATencor SFS7600 Partic le Inspection 200mm68125 KLATencor SFS7600S Pattern Surface Inspection 200mm68163 KLATencor SFS76XX Partic le Inspection 200mm68164 KLATencor SFS7700 Defect Inspection 200mm68165 KLATencor SFS7700 Defect Inspection 200mm68166 KLATencor SFS7700 Partic le Inspection 200mm68167 KLATencor SFS7700 Partic le Inspection 200mm68220 KLATencor Surfscan 4500 Partic le Measurement System 150mm68627 KLATencor TP500XP Thickness Measurement 200mm68683 KLATencor UV-1050 200mm67791 KME CM202-D Pick and Placer57785 KNS 1488 Plus WIRE BONDER71449 KNS 4124 Ball Bonder 69227 KNS 4124 Manual Gold Ball Bonder71103 KNS 8028PPS Wedge Bonder62721 KNS 8060 Wedge Bonder
71102 KNS 8068 Triton RDA Automatic Wedge Bonder72875 KNS 8090 AL Wedge Bonder58424 KNS 8098 Hybrid Wire Bonder71832 KNS Bond Head Complete Standard type Bond Head. 66140 KNS CONNX WIRE BONDER54239 KNS Maxum Plus Wire Bonders72193 KNS 1474fp Wire Bonder
56241 KNS 4124 Ball Bonder Manual 56732 KNS 8068 Triton RDA Ribbon Bonder
14517 KOGANEI 050LE1-SR SOLENOID AIR VALVE14501 KOGANEI CDAD40x50-B AIR CYLINDER JIG
14503 KOGANEI CDAS12x5 AIR CYLINDER JIG14500 KOGANEI CDAS25x15 AIR CYLINDER JIG14504 KOGANEI CDAS25x50 AIR CYLINDER JIG14499 KOGANEI CDATS32x10x0-B-R AIR CYLINDER JIG13127 koganei f300-02 air filter13130 koganei mf 300 mist filter13156 koganei see comments rodless air cylinder14502 KOGANEI TBDA16x10 AIR CYLINDER67786 Kohyoung KY8030-2XL Inline 3D SPI68288 Kokusai DD_823V_8BL FURNACE (Diffusion) 200mm68676 Kokusai DD_823V_8BL PYRO 200mm74275 Kokusai DD-802V-B CU Bake 674276 Kokusai DD-802V-H Wet Oxide 662459 Kokusai DD-802VH Vertical Low Pressure Oxide 200mm67952 Kokusai DD-802VH Vertical Low Pressure Oxide 200mm60506 Kokusai DD-802VH Vertical Low Pressure Oxide 200 mm68677 Kokusai DD-823V Flow/Reflow 200mm68678 Kokusai DD-823V Flow/Reflow 200mm61407 KOKUSAI DD-823V Furnace61408 KOKUSAI DD-823V Furnace70738 KOKUSAI DD-823V Furnace70739 KOKUSAI DD-823V Furnace61430 KOKUSAi DD-823V-8BL DIFFUSION FURNACE70740 KOKUSAI DD-853V Furnace 200mm61403 KOKUSAI DD-853V Furnace
61404 KOKUSAI DD-853V Furnace70741 KOKUSAI DD-853V Furnace68679 Kokusai DD-853V HALPOx 200mm68680 Kokusai DD-853V HALPOx 200mm38820 Kokusai DD-853V-8BL 1 Lot of Vertical Furnace, Dry Ox, W 200mm66165 Kokusai DD-853V-8BL Wet Oxide Furnace 20055933 Kokusai DD-853V-J1 Vertical Diffusion Furnace H2 ANNE 200 mm55934 Kokusai DD-853V-J1 Vertical Diffusion Furnace H2 ANNE 200 mm55935 Kokusai DD-853V-J1 Vertical Diffusion Furnace H2 ANNE 200 mm
72967 KOKUSAI DD823V_8BL VERTICAL FURNACE 8"72968 KOKUSAI DD823V_8BL VERTICAL FURNACE 8"
72969 KOKUSAI DD823V_8BL VERTICAL FURNACE 8"72970 KOKUSAI DD823V_8BL VERTICAL FURNACE 8"72982 KOKUSAI DD835V-8BL VERTICAL FURNACE 8"72971 KOKUSAI DD853V_8BL VERTICAL FURNACE 8"72972 KOKUSAI DD853V_8BL2 VERTICAL FURNACE 8"72973 KOKUSAI DD853V_8BL2 VERTICAL FURNACE 8"72974 KOKUSAI DD853V_8BL2 VERTICAL FURNACE 8"72975 KOKUSAI DD853V_8BL2 VERTICAL FURNACE 8"72976 KOKUSAI DD853V_8BL2 VERTICAL FURNACE 8"72944 KOKUSAI DD853V-8BL VERTICAL FURNACE 8"73011 KOKUSAI DD853V-8BL VERTICAL FURNACE 8"73012 KOKUSAI DD853V-8BL VERTICAL FURNACE 8"73013 KOKUSAI DD853V-8BL VERTICAL FURNACE 8"73014 KOKUSAI DD853V-8BL VERTICAL FURNACE 8"72945 KOKUSAI DD853V-8BL2 VERTICAL FURNACE 8"72949 KOKUSAI DD853V-8BL2 VERTICAL FURNACE 8"72950 KOKUSAI DD853V-8BL2 VERTICAL FURNACE 8"72946 KOKUSAI DD853V-8DL VERTICAL FURNACE 8"70208 KOKUSAI DJ 825V-8L DOPED POLY 200 mm70209 KOKUSAI DJ 825V-8L DOPED POLY 200 mm70210 KOKUSAI DJ 825V-8L DOPED POLY 200 mm70117 Kokusai DJ 835V Low Pressure CVD Furnace 200 mm70742 KOKUSAI DJ_823V_8F DIFFUSION FURNACE
68289 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68290 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68291 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68292 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68293 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68294 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68295 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68296 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68297 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68298 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm68299 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm
68300 Kokusai DJ_823V_8F FURNACE (Diffusion) 200mm70743 KOKUSAI DJ_823V_8F FURNACE(DIFFUSION)
70744 KOKUSAI DJ_823V_8F FURNACE(DIFFUSION)70745 KOKUSAI DJ_823V_8F FURNACE(DIFFUSION)70746 KOKUSAI DJ_823V_8F FURNACE(DIFFUSION)70747 KOKUSAI DJ_823V_8F FURNACE(DIFFUSION)70748 KOKUSAI DJ_823V_8F FURNACE(DIFFUSION)74277 Kokusai DJ-1205V D-Poly 1274278 Kokusai DJ-1205V D-Poly 1274279 Kokusai DJ-1223V D-poly 1274280 Kokusai DJ-1223V Nit 1274281 Kokusai DJ-1223V Nit 1274282 Kokusai DJ-1223V Nit 1274283 Kokusai DJ-1223V Nit 1274284 Kokusai DJ-1223V Nit 1270195 KOKUSAI DJ-803V-8T LPCVD NITRIDE 200 mm70193 KOKUSAI DJ-804V-8BL LPCVD NITRIDE 200 mm69252 Kokusai DJ-815V-8L LPCVD Reactor
68301 Kokusai DJ-823 FURNACE (Diffusion) 200mm70749 KOKUSAI DJ-823 FURNACE(DIFFUSION)61400 KOKUSAI DJ-823V-8BL Furnace61409 KOKUSAI DJ-823V-8BL Furnace61410 KOKUSAI DJ-823V-8BL Furnace61414 KOKUSAI DJ-823V-8BL Furnace61415 KOKUSAI DJ-823V-8BL Furnace
70750 KOKUSAI DJ-823V-8BL Furnace70751 KOKUSAI DJ-823V-8BL Furnace70752 KOKUSAI DJ-823V-8BL Furnace70753 KOKUSAI DJ-823V-8BL Furnace70754 KOKUSAI DJ-823V-8BL Furnace68302 Kokusai DJ-823V-8BL Furnace HTO 200mm68303 Kokusai DJ-823V-8BL HTO 200mm68304 Kokusai DJ-823V-8BL Nitride Dep 200mm68305 Kokusai DJ-823V-8BL TEOS Dep 200mm68306 Kokusai DJ-823V-8BL TEOS Dep 200mm61431 KOKUSAI DJ-823V-8F DIFFUSION FURNACE
62294 Kokusai DJ-825V Vertical Low Pressure CVD 200mm60395 Kokusai DJ-825V Vertical Low Pressure CVD 200 mm
67953 Kokusai DJ-825V Vertical Low Pressure CVD/D-Poly 200mm32129 Kokusai DJ-825V-8L Vertical furnace, load lock low pres 200 mm31231 Kokusai DJ-825V-E Furnace 200 mm
54189 Kokusai DJ-835V-8BL Vertical furnace for Silicon Nitride 200 mm54190 Kokusai DJ-835V-8BL Vertical furnace for Silicon Nitride 200 mm
74285 Kokusai DJ-835V-8BL(J2) D-Poly 874286 Kokusai DJ-835V-8BL(J2) D-Poly 874287 Kokusai DJ-835V-8BL(J2) D-Poly 861405 KOKUSAI DJ-853V-8BL Furnace61413 KOKUSAI DJ-853V-8BL Furnace70755 KOKUSAI DJ-853V-8BL Furnace70756 KOKUSAI DJ-853V-8BL Furnace68307 Kokusai DJ-853V-8BL Nitride Dep 200mm68308 Kokusai DJ-853V-8BL Nitride Dep 200mm74288 Kokusai DJ-853V-8BL(J1) HAL POx 874289 Kokusai DJ-853V-8BL(J2) PN 8
74290 Kokusai DJ-853V-8BL(J2) PN 874291 Kokusai DJ-853V-8BL(J2) PN 874292 Kokusai DJ-853V-8BL(J2) ZRO 874293 Kokusai DJ-853V-8BL(J2) ZRO 874294 Kokusai DJ-853V-8BL(J2) ZRO 861411 KOKUSAI DJ-853V-8BL3 Furnace61412 KOKUSAI DJ-853V-8BL3 Furnace70757 KOKUSAI DJ-853V-8BL3 Furnace70758 KOKUSAI DJ-853V-8BL3 Furnace68309 Kokusai DJ-853V-8BL3 Nitride Dep 200mm68310 Kokusai DJ-853V-8BL3 Nitride Dep 200mm74295 Kokusai DJ-853V-8DL Nit 874296 Kokusai DJ-853V-8DL Nit 874297 Kokusai DJ-853V-8DL Nit 874298 Kokusai DJ-853V-8DL Nitride 874299 Kokusai DJ-853V-8DL Nitride 855936 Kokusai DJ-853V-J2 Vertical Diffusion Furnace HALPOX 200 mm55937 Kokusai DJ-853V-J2 Vertical Diffusion Furnace HALPOX 200 mm55938 Kokusai DJ-853V-J2 Vertical Diffusion Furnace HALPOX 200 mm55939 Kokusai DJ-853V-J2 Vertical Diffusion Furnace NITRIDE 200 mm
55940 Kokusai DJ-853V-J2 Vertical Diffusion Furnace NITRIDE 200 mm55941 Kokusai DJ-853V-J2 Vertical Diffusion Furnace NITRIDE 200 mm55942 Kokusai DJ-853V-J2 Vertical Diffusion Furnace NITRIDE 200 mm
55943 Kokusai DJ-853V-J2 Vertical Diffusion Furnace NITRIDE 200 mm55944 Kokusai DJ-853V-J2 Vertical Diffusion Furnace NITRIDE 200 mm
55945 Kokusai DJ-853V-J2 Vertical Diffusion Furnace NITRIDE 200 mm55946 Kokusai DJ-853V-J2 Vertical Diffusion Furnace NITRIDE 200 mm55947 Kokusai DJ-853V-J2 Vertical Diffusion Furnace NITRIDE 200 mm55950 Kokusai DJ-853V-J2 Vertical Diffusion Furnace PH3,NH 200 mm55948 Kokusai DJ-853V-J2 Vertical Diffusion Furnace PH3,NH 200 mm55949 Kokusai DJ-853V-J2 Vertical Diffusion Furnace PH3,NH 200 mm55951 Kokusai DJ-853V-J2 Vertical Diffusion Furnace PH3,NH 200 mm55932 Kokusai DJ-853V-J2 Vertical Diffusion Furnace PIX BAKE 200 mm74300 Kokusai DJ-855V TMA Depositon 8
55952 Kokusai DJ-855V-J2 Vertical Diffusion Furnace D-POLY(S200 mm55953 Kokusai DJ-855V-J2 Vertical Diffusion Furnace D-POLY(S200 mm
55954 Kokusai DJ-855V-J2 Vertical Diffusion Furnace D-POLY(S200 mm55955 Kokusai DJ-855V-J2 Vertical Diffusion Furnace D-POLY(S200 mm55956 Kokusai DJ-855V-J2 Vertical Diffusion Furnace D-POLY(S200 mm55957 Kokusai DJ-855V-J2 Vertical Diffusion Furnace D-POLY(S200 mm55958 Kokusai DJ-855V-J2 Vertical Diffusion Furnace D-POLY(S200 mm73009 KOKUSAI DJ1223C VERTICAL FURNACE / PLASMA ALD 12"72977 KOKUSAI DJ1223C_CX3 VERTICAL FURNACE 12"72947 KOKUSAI DJ1223C-CX3 VERTICAL FURNACE 12"73010 KOKUSAI DJ1223V VERTICAL FURNACE 12"72978 KOKUSAI DJ1223V_CX3 VERTICAL FURNACE 12"70187 KOKUSAI DJ803V-8T ANNEAL 200 mm70201 KOKUSAI DJ803V-8T LPCVD DOPED POLY 200 mm70191 KOKUSAI DJ803V-8T LPCVD NITRIDE 200 mm70192 KOKUSAI DJ803V-8T LPCVD NITRIDE 200 mm70194 KOKUSAI DJ803V-8T LPCVD NITRIDE 200 mm70188 KOKUSAI DJ804V-8BL LPCVD NITRIDE 200 mm70202 KOKUSAI DJ815V-8L LPCVD DOPED POLY 200 mm70189 KOKUSAI DJ815V-8L LPCVD NITRIDE 200 mm72979 KOKUSAI DJ823V VERTICAL FURNACE 8"70190 KOKUSAI DJ825V-8L LPCVD DOPED POLY 200 mm71523 KOKUSAI DJ835V (V-5S) NITRIDE CVD 200 mm72980 KOKUSAI DJ835V-8F VERTICAL FURNACE 8"67684 Kokusai DJ853V 200mm
67685 Kokusai DJ853V 200mm72981 KOKUSAI DJ853V-8 DL VERTICAL FURNACE 8"
68311 Kokusai KE_DJ-1205V (12" Load LFurnace (Diffusion) 200mm68312 Kokusai KE_DJ1205V_CX3 Furnace (Diffusion) 200mm
68313 Kokusai KE_DJ1205V_CX3 Furnace (LPCVD) 200mm68314 Kokusai KE_DJ823V_8F Furnace (Diffusion) 200mm68315 Kokusai KE_DJ823V-8F Furnace (Diffusion) 200mm68316 Kokusai KE_DJ823V-8F Furnace (Diffusion) 200mm68317 Kokusai KE_DJ823V-8F Furnace (Diffusion) 200mm68318 Kokusai KE_DJ823V-8F Furnace (Diffusion) 200mm68319 Kokusai KE_DJ823V-8F Furnace (Diffusion) 200mm
68320 Kokusai KE_DJ823V-8F Furnace (Diffusion) 200mm68321 Kokusai KE_DJ823V-8F Furnace (Diffusion) 200mm
68322 Kokusai KE_DJ823V-8F Furnace (Diffusion) 200mm70759 KOKUSAI KE_DJ823V-8F FURNACE(DIFFUSION)
70760 KOKUSAI KE_DJ823V-8F FURNACE(DIFFUSION)70761 KOKUSAI KE_DJ823V-8F FURNACE(DIFFUSION)70762 KOKUSAI KE_DJ823V-8F FURNACE(DIFFUSION)70763 KOKUSAI KE_DJ823V-8F FURNACE(DIFFUSION)68323 Kokusai KE_DJ835V-8F Furnace (Diffusion) 200mm70764 KOKUSAI KE_DJ835V-8F FURNACE(DIFFUSION)68324 Kokusai KE_DJ853_V8BL Furnace (Diffusion) 200mm68325 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68326 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68327 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68328 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68329 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68330 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68331 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68332 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68333 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68334 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68335 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68336 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68337 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68338 Kokusai KE_DJ853V_8BL Furnace (Diffusion) 200mm68339 Kokusai KE_DJ853V_8BL Furnace (LPCVD) 200mm70765 KOKUSAI KE_DJ853V_8BL FURNACE(DIFFUSION)
70766 KOKUSAI KE_DJ853V_8BL FURNACE(DIFFUSION)70767 KOKUSAI KE_DJ853V_8BL FURNACE(DIFFUSION)
70768 KOKUSAI KE_DJ853V_8BL FURNACE(DIFFUSION)70769 KOKUSAI KE_DJ853V_8BL FURNACE(DIFFUSION)70770 KOKUSAI KE_DJ853V_8BL FURNACE(DIFFUSION)70771 KOKUSAI KE_DJ853V_8BL FURNACE(DIFFUSION)70772 KOKUSAI KE_DJ853V_8BL FURNACE(DIFFUSION)70773 KOKUSAI KE_DJ853V_8BL FURNACE(DIFFUSION)70774 KOKUSAI KE_DJ853V_8BL FURNACE(LPCVD)68340 Kokusai KE_DJ853V_8BL2 Furnace (Diffusion) 200mm68341 Kokusai KE_DJ853V_8BL2 Furnace (Diffusion) 200mm
68342 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68343 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm
68344 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68345 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68346 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68347 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68348 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68349 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68350 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68351 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68352 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68353 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68354 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68355 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68356 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm68357 Kokusai KE_DJ853V_8DL Furnace (Diffusion) 200mm70775 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70776 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70777 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70778 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70779 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70780 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70781 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70782 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70783 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)
70784 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70785 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70786 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)70787 KOKUSAI KE_DJ853V_8DL FURNACE(DIFFUSION)68358 Kokusai KE_DJ853V-8BL Furnace (Diffusion) 200mm68359 Kokusai KE_DJ853V-8BL Furnace (Diffusion) 200mm68360 Kokusai KE_DJ853V-8BL Furnace (Diffusion) 200mm68361 Kokusai KE_DJ853V-8BL Furnace (Diffusion) 200mm70788 KOKUSAI KE_DJ853V-8BL FURNACE(DIFFUSION)70789 KOKUSAI KE_DJ853V-8BL FURNACE(DIFFUSION)70790 KOKUSAI KE_DJ853V-8BL FURNACE(DIFFUSION)70791 KOKUSAI KE_DJ853V-8BL FURNACE(DIFFUSION)68362 Kokusai KE_DJ853V-8BL2 Furnace (LPCVD) 200mm68363 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68364 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68365 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68366 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68367 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68368 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68369 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68370 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68371 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68372 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68373 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm68374 Kokusai KE_DJ853V-8DL Furnace (Diffusion) 200mm70792 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)70793 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)70794 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)70795 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)
70796 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)70797 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)70798 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)70799 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)70800 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)70801 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)70802 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)
70803 KOKUSAI KE_DJ853V-8DL FURNACE(DIFFUSION)68375 Kokusai KE_DJ855V_8BL2 Furnace (Diffusion) 200mm71467 KOKUSAI QUIXACE L Q/L Batch Anneal Furnace 300 MM75311 Kokusai Quixace LPCVD Vertical LPCVD Furnace 30075312 Kokusai Quixace LPCVD Vertical LPCVD Furnace 30075313 Kokusai Quixace LPCVD Vertical LPCVD Furnace 30075314 Kokusai Quixace LPCVD Vertical LPCVD Furnace 30075315 Kokusai Quixace LPCVD Vertical LPCVD Furnace 30075316 Kokusai Quixace LPCVD Vertical LPCVD Furnace 30075317 Kokusai Quixace TEOS Vertical TEOS Furnace 30061390 KOKUSAI Ramco 8500 II Asher61391 KOKUSAI Ramco 8500 II Asher61392 KOKUSAI Ramco 8500 II Asher70804 KOKUSAI Ramco 8500 II Asher70805 KOKUSAI Ramco 8500 II Asher70806 KOKUSAI Ramco 8500 II Asher68263 Kokusai Ramco 8500 II Asher 200mm68264 Kokusai Ramco 8500 II Asher 200mm68265 Kokusai Ramco 8500 II Asher 200mm75318 Kokusai Vertron II (C/D)/DD802V/ Vertical Furnace - Other 15075319 Kokusai Vertron II (C/D)/DD802V/ Vertical Furnace - Other 15075320 Kokusai Vertron II (C/D)/DD802V/ Vertical Furnace - Other 15075592 Kokusai VR-120 Resistiv ity Test 30075593 Kokusai VR-120 Resistiv ity Test 30075594 Kokusai VR-120 Resistiv ity Test 30074818 Kokusai VR-70 resistiv ity measurement75808 kokusai VR120 RESISTIVITY MEASUREMENT 300 MM
74819 Kokusai VR30A resistiv ity measurement 4,574820 Kokusai VR30A resistiv ity measurement 4,5
53297 Kokusai Zestone III - Poly Furnace Zestone III(CN)DJ-1223V 1-T300 mm66707 KOKUSAI ZESTONE III(B) VDF BTBAS, BTOX 300mm66706 KOKUSAI ZESTONE III(B) VDF BTBAS, SPCR 300mm73158 KOKUSAI Quixace Vertical Diffusion Furnace 12"73159 KOKUSAI Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 12"73160 KOKUSAI Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 12"73161 KOKUSAI Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 12"73162 KOKUSAI Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 12"73163 KOKUSAI Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 12"
13031 Kollmorgen b-202-c-23 Goldline Brushless P.M servomotor74665 Komatsu G20K2-1 KrF Laser74666 Komatsu G20K2-1 KrF Laser74667 Komatsu G20K4-1 KrF Laser74668 Komatsu G20K4-1 KrF Laser74669 Komatsu G20K4-1 KrF Laser75754 Komax Xcell 3400 fully automated single step solar cell 5 and 6 inch64233 KOSEM MARKING68725 KOSES KAM750PRS Solder Ball Attach58057 KOSES KIM509 Inkjet MARKING71028 KOSES KRW-200 MARKING M/C(Auto Inkjet)68376 Koyo M300 Furnace (Oven) 200mm
70807 KOYO M300 FURNACE(OVEN )71151 Koyo VF 1000 Vertical Furnace for R & D
70200 KOYO VF-5100LP ANNEAL 200 mm71524 KOYO VF5100B CURE 200 mm
21119 Koyo VF5100B (SPARES) vertical alloy furnace- ROBOT 200 mm68284 Koyo Thermo 206A-M300 Horizontal Furnace 125mm68285 Koyo Thermo 206A-M300 Horizontal Furnace 125mm68411 Koyo Thermo VF5100LP LP-CVD (SIPOS) 125mm61011 KRUSS DSA10-MK2? MICROSCOPE 64356 KT KLA2115 Wafer Defect Inspection System (KLA2115) ADS64355 KT KLA2115 Wafer Defect Inspection System (KLA2115+KLA2542)
58828 Kulicke & Soffa 484 Manual Wire bonder58831 Kulicke & Soffa 982-6 Dicing Saw58832 Kulicke & Soffa 982-6 Dicing Saw58833 Kulicke & Soffa 982-6 Dicing Saw58834 Kulicke & Soffa 982-6 Dicing Saw58835 Kulicke & Soffa 982-6 Dicing Saw58836 Kulicke & Soffa 982-6 Dicing Saw69387 Kulicke & Soffa K&S 982-6 Dicing Saw69247 Kulicke and Soffa (K&S) 4123 Wire Bonder60301 Kulicke Soffa 1484 Automatic Wire Bonder63782 Kulicke Soffa 4124 Thermosonic Gold Ball Wire Bonder62674 Kulicke-and-Soffa 4123 Manual Wedge Wire Bonder60303 Kulicke-and-Soffa 4123 Manual Wedge Wire Bonder (Refurbished)60291 Kulicke-and-Soffa 4126 Step-Back Wedge Bonder13008 Kuroda GE-12-4 Ball Screw Lead Screw19196 KURODA MT30 Lead Screw14414 Kuroda PTV-08T Uni-Wire System37618 Kuroda STV-04T UNI-WIRE System14415 Kuroda STV-08T power terminal14417 Kuroda STV-16T power terminal71854 Kurt J. Leske PVDSputtering system 60994 Kwang Myung Electronics73126 KWT Co. Ltd IZ-PS3100 LED Die Prober 6"61463 Kyeongsung S/M-05 XD CARD HOUSING65939 KYOWA AC110V Stereomicroscope22726 Lab-Line 3850 SC Electric Oven N/A57774 LAM 2080TCU Recirculating Chiller71719 Lam 2300 Poly Etcher (Loaders, Hub and cham200 mm72983 LAM 2300 FLEX DRY ETCHER 12 INCH
50283 Lam 4420 Polysilicon Etcher 150mm69923 Lam 4520i dry etcher 200 MM56248 Lam 4520i Etcher 5 Inch36581 LAM 4528 RAINBOW RECEIVE60923 LAM 472060309 LAM 490 B Single Wafer Etching Unit71679 LAM 490 DRY ETCHER71680 LAM 490 DRY ETCHER71677 LAM 590 DRY ETCHER71678 LAM 590 DRY ETCHER70608 LAM 716-330504-081 Ring, Focus, 8" ESC, Guard 8"70609 LAM 718-094523-281 ASSY. ELCTD,ESC 8" NOTCHED LT 200mm70610 LAM 718-094756-081 Chuck Electros50284 Lam 9600SE Metal Etcher50285 Lam 9600SE Metal Etcher59800 LAM Alliance 9100 Etcher 200 mm70602 LAM E4528 ETCH 200 MM70808 LAM E4528 ETCHER 8"70809 LAM E4528-OX ETCHER(DRY )23007 LAM EP200Mmd Monochromator71986 LAM EXELAN DRY ETCH 8"71987 LAM EXELAN DRY ETCH 8"71988 LAM EXELAN DRY ETCH 8"
71989 LAM DRY ETCH 8"
71990 LAM DRY ETCH 8"70571 LAM EXTRIMA 3100 DRY ETCH70572 LAM EXTRIMA 3100 DRY ETCH70810 LAM EXTRIMA3100 ETCHER70811 LAM EXTRIMA3100 ETCHER70240 LAM RAINBOW 4400 NITRIDE ETCH 200 mm71529 LAM Rainbow 4400 NITRIDE_ETCH 200 mm56685 LAM Rainbow 4520 Oxide Etcher
70812 LAM STAR - 2300 Versys (2C) ETCHER70813 LAM STAR - 2300 Versys (2C) ETCHER70814 LAM STAR - 2300 Versys (2C) ETCHER70815 LAM STAR - 2300 Versys (2C) ETCHER70229 LAM TCP 9408 DRY ETCHER 200 mm69722 LAM TCP9400 Etching71991 LAM TCP9400SE DRY ETCH 8"71992 LAM TCP9400SE DRY ETCH 8"71993 LAM TCP9400SE DRY ETCH 8"71994 LAM TCP9400SE DRY ETCH 8"70816 LAM TCP9408 ETCHER(DRY )70817 LAM TCP9408 ETCHER(DRY )70818 LAM TCP9408 ETCHER(DRY )70819 LAM TCP9408 ETCHER(DRY )70820 LAM TCP9408 ETCHER(DRY )70821 LAM TCP9408 ETCHER(DRY )58844 LAM 4520XL 70972 LAM 590 Etcher38355 LAM TCP 9400 SE dry etcher76065 LAM / SEZ DA VINCI 38F Wet etch 12 INCH70387 LAM Research 2300 Multi-Process Etch 200 mm73185 LAM Research 2300 Exelan Dielectric Etch 8"74448 Lam Research 2300 EXELAN Etcher 874449 Lam Research 2300 EXELAN Etcher 874450 Lam Research 2300 Exelan Oxide 1230235 LAM RESEARCH 2300 EXELAN FLEX ETCHER - DIELECTRIC69740 Lam Research 2300 Exelan Flex 45 Dry etcher 300 mm70389 LAM Research 2300 Exelan Flex 45 Parts/Chamber Modules 300 mm70383 LAM Research 2300 Exelan Flex LEAP Dielectric Etch 200 mm
74451 Lam Research 2300 Exelan Flex45 Oxide 1274452 Lam Research 2300 Exelan Flex45 Oxide 1274453 Lam Research 2300 Metal 45 Etcher 1230314 LAM RESEARCH 2300 VERSYS ETCHER - POLY 300mm67304 LAM Research 2300 Versys Polysilicon Etch70390 LAM Research 2300 Versys Star Polysilicon Etch 200 mm18906 LAM RESEARCH 406-240040-002 TCP Rainbow 4500 system descriptions
18915 LAM RESEARCH 406-240100-005 TCP 9400 maintenance procedure18908 LAM RESEARCH 406-240101-001 TCP 9400 SE installations and start up18918 LAM RESEARCH 406-240101-005 Drawings and schematics Vol118912 LAM RESEARCH 406-240101-005 TCP 9400 SE maintenance classic18917 LAM RESEARCH 406-240101-006 Drawings and schematics Vol318919 LAM RESEARCH 406-240101-007 Drawings and schematics Vol218916 LAM RESEARCH 406-240101-008 Drawings and schematics Vol318911 LAM RESEARCH 406-240121-002 TCP 9600 SE system description18910 LAM RESEARCH 406-240121-003 TCP 9600 SE system operation18899 LAM RESEARCH 406-240121-005 TCP 9600SE Maintenance procedure18902 LAM RESEARCH 406-240121-006 TCP 9600SE Drawing and schematics Vol 1 18903 LAM RESEARCH 406-240121-007 TCP 9600SE Drawing and schematics Vol 2 18898 LAM RESEARCH 406-240121-008 TCP 9600SE Drawings and schematics Vol 318904 LAM RESEARCH 406-240121-008 TCP 9600SE Manual set addendum18901 LAM RESEARCH 406-240122-006 TCP 9600SE Drawing and schematics Vol 1 18900 LAM RESEARCH 406-240122-007 TCP 9600SE Drawing and schematics Vol 2 18927 LAM RESEARCH 406-240122-008 Drawing and schematics Vol318920 LAM RESEARCH 406-240122-009 Drawings and schematics Vol418928 LAM RESEARCH 406-240122-010 Drawing and schematics Vol518913 LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide18907 LAM RESEARCH 406-240203-074 TPC 9400/9600 PTX alliance installation guide18909 LAM RESEARCH 407-240100-001 TCP 9400 illustrated part identifier18914 LAM RESEARCH 409-240163-001 Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface18905 LAM RESEARCH 409-240164-001 TCP rainbow e oxide 950071809 Lam Research 4420 GFXL DRY ETCHER 200 mm74454 Lam Research 4420i Poly etcher Dry Etch 562374 Lam Research 4428 Rainbow Poly/Nitride Etch 200mm
62375 Lam Research 4428 Rainbow Poly/Nitride Etch 200mm60450 Lam Research 4428 Rainbow Poly/Nitride Etch 200 mm
60451 Lam Research 4428 Rainbow Poly/Nitride Etch 200 mm62373 Lam Research 4500 Oxide Etcher 200mm60449 LAM Research 4500 Oxide Etcher 200 mm74447 Lam Research 4520 Dry Etch 870119 Lam Research 4520 Nitride etch 200 mm2873 LAM RESEARCH 4520 (spares) REMOTE CART FACILITIES74455 Lam Research 4520i Dry Etch 574456 Lam Research 4520i Dry Etch 871676 LAM RESEARCH 4520XL DRY ETCHER13189 Lam Research 4528 4520 oxide etchers 200mm 8"74444 Lam Research 490 Dry Etch 574445 Lam Research 490 Dry Etch 574446 Lam Research 490 Dry Etch 520267 Lam research 490 Dry Etcher 150 mm62347 Lam Research 490 Oxide Etcher 150mm60447 LAM Research 490 Oxide Etcher 150 mm74457 Lam Research 490/B Dry Etch 674458 Lam Research 490U Dry Etch 434552 Lam Research 790 Dry etcher 150 mm68473 Lam Research 910 Oxide Wafer Etcher 150mm68474 Lam Research 910 Oxide Wafer Etcher 150mm53391 Lam Research 9400 Alliance This system has been harvested for parts. 200 mm53181 Lam Research 9400 SE PolySilicon Etch System 200mm70120 Lam Research 9400SE POLYSILICON AND OXIDE ETCH 200 mm74459 Lam Research 9600 TCP Dry Etch 538320 LAM RESEARCH A6 EXELAN OXIDE ETCHER71831 LAM RESEARCH A6 EXELAN OXIDE ETCHER (refurbished)62336 Lam Research AE480 Nitride ETCHER 150mm62337 Lam Research AE480 NITRIDE ETCHER 150mm62338 Lam Research AE480 PLASMA ETCHER 150mm62339 Lam Research AE480 PLASMA ETCHER 150mm62333 Lam Research AE480 POLY ETCHER 150mm62334 Lam Research AE480 POLY ETCHER 150mm62335 Lam Research AE480 POLY ETCHER 150mm
62340 Lam Research AE484 POLY ETCHER 150mm62341 Lam Research AE485 PLASMA ETCHER 150mm
62342 Lam Research AE490B NITRIDE ETCHER 150mm62343 Lam Research AE590 PLASMA ETCHER 150mm62344 Lam Research AE590 PLASMA ETCHER 150mm62345 Lam Research AE590 PLASMA ETCHER 150mm62346 Lam Research AE590 PLASMA ETCHER 150mm74460 Lam Research Aliance4 4528 Oxide 874461 Lam Research Aliance4 4528 Oxide 871840 Lam Research Alliance (A6) Exelan Oxide Etch 200 mm62376 Lam Research Alliance (A6) Exelan Oxide Etch 200mm60452 Lam Research Alliance (A6) Exelan Oxide Etch 200 mm73186 LAM Research Alliance (A6) TCP 9400DF Polysilicon Etch 8"71818 LAM Research Alliance (A6) TCP 9400PT DRY ETCHER, 3 chamber 200 mm64227 Lam Research ALLIANCE 9400 DFM 2 ETCH + 1 ASH 200 mm71817 LAM RESEARCH Alliance 9400 DFM DRY ETCHER, Poly 4 chamber 200 mm71810 Lam Research Alliance 9400 PTX DRY ETCHER, 4 chamber 200 mm71891 Lam Research Alliance 9400 PTX A4 pro PTX A4 Process Module 200 mm72157 Lam Research Alliance 9400 PTX A4 pro PTX A4 Process Module 200 mm23677 LAM Research Alliance A4 Poly and Oxide Etcher 150 mm67817 Lam Research Applied Materials Super E Etcher72526 LAM Research Autoetch 490 Nitride Etch 150 mm72527 LAM Research Autoetch 490 Nitride Etch 150 mm72528 LAM Research Autoetch 490 Nitride Etch 150 mm72532 LAM Research Autoetch 490 Nitride Etch 150 mm72533 LAM Research Autoetch 490 Nitride Etch 150 mm72536 LAM Research Autoetch 490 Nitride Etch 150 mm72537 LAM Research Autoetch 490 Nitride Etch 150 mm72529 LAM Research Autoetch 490 Passivation 150 mm
72530 LAM Research Autoetch 490 Passivation 150 mm72531 LAM Research Autoetch 490 Passivation 150 mm72534 LAM Research Autoetch 490 Passivation 150 mm72535 LAM Research Autoetch 490 Passivation 150 mm72538 LAM Research Autoetch 490 Passivation 150 mm54028 Lam Research Autoetch 490 Poly Etch74462 Lam Research Autoetch 490B Dry Etch 4
75043 Lam Research Da Vinci 28F Single Wet Etch 875044 Lam Research Da Vinci 28F Single Wet Etch 8
75045 Lam Research Da Vinci 38F Single Wet Etch 1275046 Lam Research Da Vinci 38F Single Wet Etch 12
68490 Lam Research E4528-OX Dry Etching 200mm71223 Lam Research Exelan DRY ETCHER63711 LAM RESEARCH Exelan HPT TCP9400 DFM CHAMBER ONLY 200mm50188 Lam Research Lam 4600 Tungsten etcher (missing some part 200mm74463 Lam Research LAM490 Dry Etch 674464 Lam Research LAM490 Dry Etch 655885 LAM RESEARCH OnTrak DSS-200 Series II Wafer Scrubber for 200mm Wafers72539 LAM Research Rainbow 4400B Dry Etch Envis ion 150 mm72540 LAM Research Rainbow 4405 Poly etch -c lassic software 150 mm68502 Lam Research Rainbow 4420 Dry Etching-Poly 200mm68503 Lam Research Rainbow 4420 Dry Etching-Poly 200mm68504 Lam Research Rainbow 4420 Dry Etching-Poly 200mm72541 LAM Research Rainbow 4420 Poly etch -c lassic software 150 mm72542 LAM Research Rainbow 4420 Poly etch -c lassic software 150 mm70391 LAM Research Rainbow 4420 Polysilicon Etch 6"54024 Lam Research Rainbow 4428 Poly Etch70384 LAM Research Rainbow 4500 Dielectric Etch 6"74465 Lam Research Rainbow 4500 Dry Etch 472544 LAM Research Rainbow 4500 Etch Envis ion 150 mm68475 Lam Research RAINBOW 4500 Oxide Wafer Etcher 150mm68476 Lam Research RAINBOW 4500 Oxide Wafer Etcher 150mm72543 LAM Research Rainbow 4500 Spacer / Contact etch c lassic softw 150 mm72545 LAM Research Rainbow 4500i Spacer / Contact - LAM Envision 150 mm
74466 Lam Research Rainbow 4520 Dry Etch 472548 LAM Research Rainbow 4520 Envision dry etcher 150 mm72546 LAM Research Rainbow 4520 Envision dry etcher 150 mm72547 LAM Research Rainbow 4520 Envision dry etcher 150 mm54025 Lam Research Rainbow 4520 Oxide Etch54026 Lam Research Rainbow 4520 Oxide Etch72549 LAM Research Rainbow 4520 Spacer / Contact - LAM Classic 150 mm72550 LAM Research Rainbow 4520 VIA / SEB / REB - LAM Classic 150 mm54027 Lam Research Rainbow 4520ENV Oxide Etch72551 LAM Research Rainbow 4520i Spacer / Contact - LAM Envision 150 mm72553 LAM Research Rainbow 4600 Poly etcher- LAM. Classic 150 mm
72552 LAM Research Rainbow 4600 Poly etcher- LAM. Envis ion 150 mm72554 LAM Research Rainbow 4606B Poly etcher- LAM. Classic 150 mm
74467 Lam Research Rainbow 9600TCPSE Dry Etch 674468 Lam Research Rainbow-4420N Gate Etcher 674469 Lam Research Rainbow-4528 Oxide 874470 Lam Research Rainbow-4528 Oxide 874471 Lam Research Rainbow-4528 Exlan Oxide 874472 Lam Research Rainbow-4528 Exlan Oxide 868491 Lam Research STAR - 2300 Versys (2C) Etcher 200mm68492 Lam Research STAR - 2300 Versys (2C) Etcher 200mm68493 Lam Research STAR - 2300 Versys (2C) Etcher 200mm68494 Lam Research STAR - 2300 Versys (2C) Etcher 200mm68495 Lam Research STAR - 2300 Versys (2C) Etcher 200mm69947 Lam Research TCP 9400 SE Dry etcher 200 mm75779 Lam Research TCP 9400 SE Dry etcher 200 mm21472 LAM RESEARCH TCP 9400 SE Poly Nitride etcher 150 MM21475 LAM Research TCP 9400 SE ENVision Dry poly etching system 200 mm63563 LAM RESEARCH TCP 9400 SE ENVision Poly etcher 200 MM70392 LAM Research TCP 9400SE Polysilicon Etch 200 mm70393 LAM Research TCP 9400SE Polysilicon Etch 200 mm70394 LAM Research TCP 9400SE Polysilicon Etch 200 mm56441 LAM Research TCP 9600 Metal Etch 200mm73187 LAM Research TCP 9600 Metal Etch 8"56442 LAM Research TCP 9600CFE Metal Etch 200mm70385 LAM Research TCP 9600SE Metal Etch 200 mm
70386 LAM Research TCP 9600SE Metal Etch 200 mm63712 LAM RESEARCH TCP-9400 DFM TCP9400 DFM CHAMBER ONLY 200mm63713 LAM RESEARCH TCP-9400SE STANDALONE, ESC 200mm63714 LAM RESEARCH TCP-9400SE STANDALONE, ESC 200mm33661 LAM RESEARCH TCP-9600SE Plasma Metal Etcher, ESC, Envis ion Software, AE RF Generators, 200mm Wafers, Refurbished74473 Lam Research TCP9400 Poly Etcher 868505 Lam Research TCP9400SE Dry Etching ICP 200mm68496 Lam Research TCP9408 Dry Etching 200mm68497 Lam Research TCP9408 Dry Etching 200mm68498 Lam Research TCP9408 Dry Etching 200mm68499 Lam Research TCP9408 Dry Etching 200mm
68500 Lam Research TCP9408 Dry Etching 200mm68501 Lam Research TCP9408 Dry Etching 200mm
67820 Lam Research TCP9408SE Metal Etcher74481 Lam Research TCP9600 Al 874482 Lam Research TCP9600 Al 874483 Lam Research TCP9600 Al 874474 Lam Research TCP9600 Dry Etch 571675 LAM RESEARCH TCP9600 DRY ETCHER74484 Lam Research TCP9600 Etch 874485 Lam Research TCP9600 Etch 874486 Lam Research TCP9600 Etch 874487 Lam Research TCP9600 Etch 872555 LAM Research TCP9600 Metal etcher 150 mm72556 LAM Research TCP9600 Metal etcher 150 mm74475 Lam Research TCP9600SE Al Etcher 874476 Lam Research TCP9600SE Al Etcher 874477 Lam Research TCP9600SE Al Etcher 874478 Lam Research TCP9600SE Al Etcher 8
74479 Lam Research TCP9600SE Al Etcher 867813 Lam Research TCP9600SE Envision67814 Lam Research TCP9600SE Envision67851 Lam Research TCP9600SE II Envis ion74480 Lam Research TCP9604SE Dry Etch 453994 Lam Research TCU2080 Dual Channel Chiller64380 Lam Research 9600SE LAM_TCP 9608SE_METAL
64378 Lam Research LAM 9500 Oxide Etcher61317 LAM RESEARCH CORP 200 SERIES 0 DOUBLE SIDED SCRUBBER OXIDE/200mm61320 LAM RESEARCH CORP 200 SERIES 2 DOUBLE SIDED SCRUBBER W 200mm76000 LAM Research Corp. 2300 VERSYS KIYO METAL ETCH76001 LAM Research Corp. 4400 Metal etch 200 mm22730 LAM Research Corp. 4400 Poly Etcher 200mm76004 LAM Research Corp. 4420 Poly / Nitride etch 200 mm76005 LAM Research Corp. 4420 Poly / Nitride etch 200 mm76007 LAM Research Corp. 4420 Poly / Nitride etch 200 mm76008 LAM Research Corp. 4420 Poly / Nitride etch 200 mm22731 LAM Research Corp. 4500 Oxide Etcher 200mm
22732 LAM Research Corp. 4520 Oxide Etcher 200mm76006 LAM Research Corp. 4528XL Oxide etch 200 mm
22728 LAM Research Corp. 490 Nitride/Poly Etch System(s) 150mm76002 LAM Research Corp. 490 Oxide etch 200 mm76003 LAM Research Corp. 490 oxide eth 200 mm76009 LAM Research Corp. TCP9400 Poly etch 200 mm50954 LAM Research Corporation LAM RAINBOW 4420 Poly/Nitride Etch System (Classic) 200mm50955 LAM Research Corporation LAM RAINBOW 4420 Poly/Nitride Etch System (Classic) 200mm22727 LAM/Drytek 384T Plasma Metal Etcher(s) 150mm75050 LAM/SEZ Da Vinci 38DS Single Backside Wet 1275047 LAM/SEZ Da Vinci 38F Single Wet Etch 1275048 LAM/SEZ Da Vinci 38F Single Wet Etch 1275049 LAM/SEZ Da Vinci 38F Single Wet Etch 1213044 lambda h17027 alpha 100016546 LAMBDA HR-12-5 DC POWER SUPPLY, SWITCHING REGULATOR20010 LAMBDA LDSP120 REGULATED POWER SUPPLY
69262 LAMBDA PHYSIK COMPex 150 Laser System15066 LAMBDA PHYSIK Novaline K2005 EXCIMER LASER facilities67400 Lapmaster 12 Polisher13027 Lasefront Nd:YAG SL1175L Nd YAG 4 x 75 Laser Rod13048 Laser SOS Ltd SOS30wQSS/380 Optek Lamp Pumped 30 W Yag Laser13045 laserfront p2008e Kr arc Lamp68779 Lasertec 2LM21 Laser Microscope 125mm68168 Lasertec 9MD-73SR Metrology Photomask Inspection 200mm54884 Lasertec 9MD84 rertic le inspection system 200mm71995 LASERTEC MD2100 INSPECTION STATION 8"54885 Lasertec MD3000 retic le inspection system 200mm16159 Lauffer RZU-100-S Mould Press ASSEMBLY36582 LAURELL WS-400A-6TFM-LITE SPIN COATER67393 Laurier 275 auto pick and place ds 275 dual camera and monitor w/rs232 and keybd 67394 Laurier CP222 manual pick and place system 67161 Laurier CP222 The Laurier CP222 is a manual pick and place machine. 71750 Laurier CP222E Manual Die Bonder assembly71846 Laurier DS 7000 Die Sorter34392 Laurier DS 7000 Die Sorter66698 Laurier DS 9000 Die Sorter Assembly71847 Laurier DS 9000 Die Sorter32220 Laurier DS-7000T/R DIE SORTER67395 Laurier DS6000 high speed pick and place system, for up to 6'' wafers on film frames. Dual camera, loads waffle pack 70310 Laurier DS7000 Wafer Sorter67162 Laurier PS 275 The Laurier PS 275 is an auto pick and place dual camera and monitor with RS232 and keyboard. (Email us for photo)
67160 Laurier Auto Die HA245+ The Laurier Auto Die HA245+ is a bonder, it picks from up to 6" diced wafer and bonds to packages with epoxy. 67163 Laurier SA202 The Laurier SA202 is an epoxy die bonder.
67164 Laurier SA220 The Laurier SA220 is an epoxy die bonder (liquid transfer system). 50976 Laurier? CP222 Die Bonder51079 Laurier? CP222 Die Bonder34535 LAWTON 190 TON ENCAPSULATION PRESSES 200mm70088 Leapfrog Xeed 3D printer 72827 LEATHERWOOD HOOD Semi-automatic Batch Develop Hoo 150 mm72828 LEATHERWOOD Leatherwood Etch Hood ETCH HOOD 150 mm72829 LEATHERWOOD Leatherwood Plating Hoo HOOD CU PLATE 150 mm72830 LEATHERWOOD Leatherwood Plating Hoo HOOD CU PLATE 150 mm
72831 LEATHERWOOD Leatherwood Plating Hoo HOOD CU PLATE 150 mm72832 LEATHERWOOD Leatherwood Plating Hoo HOOD CU PLATE 150 mm
72833 LEATHERWOOD Ni Auto Hoods HOOD NICKEL PLATING 150 mm72834 LEATHERWOOD Ni Auto Hoods HOOD NICKEL PLATING 150 mm72835 LEATHERWOOD Pd Auto Hoods HOOD PD PLATE 150 mm72836 LEATHERWOOD Pd Auto Hoods HOOD PD PLATE 150 mm65776 Leatherwood Plastic 6ft HF Polypro Wet Bench71448 Leatherwood Plastics LPRC125.PP.D Poly Etch bench 1-8 inch or 2-6 inch 62451 LEATHERWOOD PLASTICS HORIZONTAL TUBE STOCKER 150mm67769 Leco Vari/Pol VP-150 3 Position Grinder/Polisher67755 Leco VC-50 Low Speed Saw68804 LeCroy Oscilloscope Wavepro 9 Oscilloscope68805 LeCroy Oscilloscope, (i3477) Oscilloscope68806 LeCroy Oscilloscope, (i3478) Oscilloscope68807 LeCroy Oscilloscope, (i3479) Oscilloscope64348 LED Line Complete LED Line64342 LED Manufacturing Line complete line LED59924 LEE laser GSI Laser T818/20M 150 mm71148 Leec LSS Drying Cabinet 21880 LEICA CD2 CD MEASUREMENT21881 LEICA CD2 CD MEASUREMENT65932 Leica DMLM Metaloscope Leica DMLM70822 LEICA ERGOLUX 200 EDS BUMP70573 LEICA ERGOLUX 200 EDS BUMP inspection microscope70577 LEICA ERGOLUX 200 microscope
70823 LEICA ERGOLUX AMC EDS BUMP70575 LEICA ERGOLUX AMC EDS BUMP inspection system
70824 LEICA ERGOLUX200 PHOTO74821 LEICA INM100 Cu Microscope 8
71996 LEICA INM200 INSPECTION STATION 8"62500 Leica INM20C Microscope/Inspection 200mm60538 Leica INM20C Microscope/Inspection 200 mm71997 LEICA INM300 INSPECTION STATION 8"33697 LEICA INS 2000 Defect Review System75595 Leica INS 3000 Microscope 20075596 Leica INS 3000 Microscope 200
61097 Leica INS-200071998 LEICA INS2000 Microscope inspection station 8"
71114 Leica INS3000 Inspection System 71999 LEICA INS3000 Microscope inspection station 8"
72000 LEICA INS3300 Microscope inspection station 8"/12"64259 Leica INS330031643 Leica Leitz Leitz Ergoplan Microscope Part36583 LEICA MIS 200 SCOPE70825 LEICA MIS200 MICROSCOPE72001 LEICA MIS200 Microscope inspection station 8"72002 LEICA MIS200 Microscope inspection station 8"68169 LEICA MIS200 Partic le Inspection 200mm74822 LEICA MZ125 Microscope 472003 LEICA MZ6 Microscope inspection station 8"67908 Leica MZ75 Stand Microscope assembly65929 Leica Polyvar SC Inspection Polyvar SC Microscope 200mm62501 Leica Polyvar SC Microscope/Inspection 200mm60536 Leica Polyvar SC Microscope/Inspection 200 mm70826 LEICA REICHERT POLYVAR SC EDS BUMP70574 LEICA REICHERT POLYVAR SC EDS BUMP inspection microscope21882 LEICA SP FILM THICKNESS MEASUREMENT 200 MM68780 Leica Stero Zoom 4 Microscope 150mm60537 Leica Stero Zoom 4 Microscope33699 LEICA SZ6 StereoZoom Microscope on Boom Stand with 10X WF EPs70827 LEICA WETZLAR GERMANY EDS BUMP70576 LEICA WETZLAR GERMANY EDS BUMP inspection system69811 Leica INS1000i Wafer Inspection Station Fully Refur 200mm
64156 Leica Wild M3Z Stereo Microscopes73226 Leica DM4000M Microscope23066 LEICA / REICHERT PolyLite 88 Inspection Microscope71442 LEICA / REICHERT PolyLite 88 Inspection Microscope34506 LEICA / REICHERT POLYLITE 88 MICROSCOPE 200 mm70484 Leica Inc. LMS IPRO2 Retic le Inspection n/a30548 LEICA INC. INM 200 MICROSCOPE- WAFER INSPECTION30547 LEICA INC. INM 200 MICROSCOPE- WAFER INSPECTION69389 Leica/Wild M 8 Stereomicroscope74823 Leitz Axiotron Metrology 474824 Leitz Axiotron Metrology 4
21879 LEITZ ERGOLUX AMC INSPECTION MICROSCOPE74825 Leitz ergolux Metrology 4
74826 Leitz ERGOLUX Metrology 675960 Leitz Ergolux MICROSCOPE 200 MM74827 Leitz INM100+INS10 Metrology 672593 LEITZ Leitz Retic le Comparitor 150 mm70008 Leitz M3Z Microscope 74828 Leitz MPV CD2 AMC Metrology 574829 Leitz MPV CD2 AMC Metrology 569860 Leitz MPV SP Automatic Film Thickness Measuring74830 Leitz MPV-CD Metrology 572004 LEITZ MPV-SP Microscope inspection station 8"67772 Leitz Similar ot SM-Lux67756 Leitz Miniload Micro Hardness Tester21456 LEO 435VP Inspection SEM70828 LEO LTA LIFE TIME MEASUREMENT68231 LEO LTA Life Time Measurement36584 LEO LTA700 LIFE TIME TESTER 200mm73042 LEO STEREOSCAN 440 Scanning Electron Microscope Laboratory73043 LEO STEREOSCAN 440 FIB Scanning Electron Microscope WITHLaboratory14861 Leo Giken LTA 330A Carrier Lifetime measurement 150 mm 5775 Leybold ARS 16-25 Oil mist filter PUMP72908 Leybold 361 Turbovac Pumps69712 Leybold 400035V0011 Mag Drive Digital 23024 Leybold D 16B Vacuum Pump
72910 Leybold D16B New never used Vacuum Pump23014 Leybold EcoDry L Dry Vacuum Pump69344 Leybold Mag 1200 CT rebulilt Turbomolecular Vacuum Pump69826 Leybold Mag 2000 Turbo Pump Analog69912 Leybold Mag1200 Refurbished Turbo Pump 71864 LEYBOLD NT 20 TURBO PUMP CONTROLLER PUMP33084 Leybold SV 25 vacuum pump pump17006 Leybold TMP 1000C Refurbished Turbo pump34404 Leybold TW-701 Turbo Molecular Vacuum Pumps20138 LEYBOLD TW300B Turbo moleculor Vacuum pump20139 LEYBOLD TW700 Turbo moleculor Vacuum pump
69730 Leybold UL 200 Helium Leak Detector11684 LEYBOLD UL 400 LEAK DETECTOR FACILITIES
71266 leybold Z 550 MS Sputtering System 71309 Leybold TW300H72909 Leybold Vacuum Pumps Dual and Single used Vacuum pumps53072 LEYBOLD HERAEUS A 1100 A 1100 High-vacuum coating system35577 LEYBOLD-HERAEUS D30AC Mechanical Vacuum Pump55917 LEYBOLD-HERAEUS Turbotronik NT 1000/1500Turbomolecular Vacuum Pump Controller56866 LEYBOLD-HERAEUS Turbotronik NT 150/360 Turbomolecular Vacuum Pump Controller55916 LEYBOLD-HERAEUS Turbovac 1500 Turbomolecular Vacuum Pump35578 LEYBOLD-HERAEUS Pump Rack Including Electrical Box67198 LFE 1002 STANDARD LFE 1002 DUAL BARREL ETCHER 10" DIAMETER CHAMBER X 16" LONG (3 GAS CAPABILITY) INCLUDES (2) FOMBLIN PREPPED DIRECT DRIVE VACUUM PUMPS DOES NOT INCLUDE FOMBLIN OIL PLANAR ELECTRODE VERSION FOR 0.5 MICRON RESOLUTION AVAILABLE ALSO 67199 LFE 301 LFE 3O1 PLASMA CLEANER APPROX 8" DIAMETER X 6" DEEP QUARTZ BARREL 67200 LFE 501 STANDARD LFE 501 BARREL ETCHER 10" DIAMETER CHAMBER X 16" LONG (3 GAS CAPABILITY) INCLUDES FOMBLIN PREPPED DIRECT DRIVE VACUUM PUMP DOES NOT INCLUDE FOMBLIN OIL PLANAR ELECTRODE VERSION FOR 0.5 MICRON RESOLUTION AVAILABLE ALSO 35579 LFE PDS-504 Plasma Cleaning Tool
67201 LFE 50123026 LG GP-505 DC Power Supply60884 LG Semicon CLS-9002 3rd OPTICAL INSPECTION UNIT51031 Liconix 40D Optical Detector, 3 Ea33542 Liebherr FKV 3610 Fridge for photoresist facilities65916 Lieca INS3000 Microscope Inspection Station60744 Lindberg / Blue M Thermo ScieRWB3220A Heated/Refrigerated Circulating Water Bath50598 Lintec Rad 2500 Wafer Mounter74065 Lintec RAD-2500m/8 Wafer Mounter 150 mm and 200 mm74066 Lintec RAD-2500m/8 Wafer Mounter 8"70897 LINTEC RAD-3000 Tape Mounter70128 LINTEC RAD-3000 F/8 TAPE MOUNTER 200 mm69332 Lintec RAD-3500 F/8 Back grinding Tape Laminator 200 mm66156 Lintec Rad2500 F/8 Wafer Mounter69342 Lintec Rad2500 F/8 Wafer Mounter 200 mm56025 Lintec RAD2500F8 + Rad 5000V Wafer Mounter +Rad 5000VS
63088 Lintec RAD 3500F8 fully automatic back grinding lamina 200 mm53985 Linteo RAD2000F8 UV Irradiator for Detaping System58830 Loadpoint Limited 3114-083 Dicing Saw58841 Loadpoint Limited W/P Wafer c lean (After dic ing)71443 Loctite TC06 Adhisive UV Belt oven70578 LOGI TECH CDP cmp polisher 300 MM70579 LOGI TECH CDP Polishing system 300 MM66163 Logitec PM4 Precis ion Lapping and Polishing Machine.71772 Logitec PM5 12 inch Polish and Lapping system 71841 Logitec PM5 Polisher 74203 Logitech 1CM91 Polisher 1262673 Logitech 1WBT2 3 Station Wafer Bonder68078 Logitech A69510/1WBT2 Wafer Substrate Bonder,67138 Logitech Wafer Bonder * Up to 4" wafers. Table Top system with upgraded controllers71144 Longhill LH8320 Wafer Mounter 72919 Loomis 38-LI Precis ion wafer Scriber67448 Loomis LCD 2P Scriber67449 Loomis MKT 33 SEMIAUTOMATIC SCRIBER 38490 Loomis Scriber61037 LOT VACUUM 1205 DryPUMP
61038 LOT VACUUM 1205 DryPUMP61039 LOT VACUUM 1205 DryPUMP61040 LOT VACUUM 1205 DryPUMP61041 LOT VACUUM 1205 DryPUMP61057 LOT VACUUM 1205 DryPUMP61063 LOT VACUUM 1205 DryPUMP70829 LRK STAR ETCHER 12 INCH70830 LRK STAR ETCHER 12 INCH68266 LTK ARCHN200 Asher 200mm61455 LTK ARCHN200 DESCUM56257 LTS 2020 Testerr56307 LTS 2020 Tester 56306 LTS 2020 Tester 66613 LTX 858-2731-02 Syncro Power Crate66576 LTX 875-0505-00 Syncro Chiller68188 LTX FUSION Tester
68189 LTX FUSION Tester66509 LTX FUSION - HF Integrated Circuit Tester62696 LTX Fusion-MX71606 LTX LTX FUSION Tester17007 LTX Micromaster uPROCESSOR TESTER66510 LTX RFPACK synchro tester66511 LTX Synchro Tester75171 LTX Credence D10 ATE Sapphire D1075170 LTX Credence D10 Sapphire D1070831 LTX_CREDENCE FUSION TESTER61117 LTX/Credence ITS9000CV66515 LTXC Synchro Tester Tester66516 LTXC Synchro Tester Tester59611 LTXC TS88/DX90/CP100/TH91 Tester 150 mm66517 LTXC TS88/DX90/CP100/TH91 Tester59603 LTXC Vista Quartet 1 Tester 150 mm59605 LTXC Vista Quartet 1 Tester 150 mm59606 LTXC Vista Quartet 1 Tester 150 mm59607 LTXC Vista Quartet 1 Tester 150 mm59608 LTXC Vista Quartet 1 Tester 150 mm
59609 LTXC Vista Quartet 1 Tester 150 mm59610 LTXC Vista Quartet 1 Tester 150 mm59601 LTXC Vista Vis ion 1001 Tester 150 mm64372 LUCAS LABS IB 420 FAB CVD50599 Lumonics Mark II Wafer Marker 6"72345 Lumonics Wafermark-II Lasrescribe 150 mm72346 Lumonics Wafermark-II Lasrescribe 150 mm71584 LUMONICS WMII SCRIBER68911 Lumonics Laser Marking, Super Clean
EXELAN_HPT_3CH_(DIGITAL 12LINE GAS BOX)
EXELAN_HPT_3CH_(DIGITAL 12LINE GAS BOX)
LFE 501 300mm BARREL ETCHER (3 GAS CAPABILITY)
69918 Lumonics / GSI M430 laser fuser tool 200 mm23032 Lytron MCS Air Cooled Chiller53995 M & W Dual Channel Chiller68811 M M MM8065 Prober68581 M-SETEC MS-163 Aligner 125mm68553 M-SETEC MS-320D Coater 125mm68554 M-SETEC MS-901 Mask Coater 125mm68477 M. C. Electronics RAM-250 Batch Etching 150mm61485 M. SETEK VR 8600 WET Etcher68506 M. SETEK VR 8600 WET Etcher 200mm66107 M.M MM8065 PROBER22736 M&W RPC2/28W-RNB FlowRite Recirculating Chiller N/A
15205 Mactronix UKA-825 Automatic Wafer Transfer System 8" Wafers68768 Mactronix AWI-400 Wafer Stage For Bright Light Set Up 150mm
22737 Mactronix AWI-600 Automatic Wafer Sorter 150mm1827 MACTRONIX EUREKA IV HORIZON LB2 HORIZON LB2 LONGBOAT TWO ST 200MM
4969 MACTRONIX EUREKA IV HORIZON UJ2WAFER TRANSFER TO LONG BOAT200MM35683 Mactronix HJ2825P5 Wafer Transfer35686 Mactronix HJ2825P5 Wafer Transfer35687 Mactronix HJ2825P5 Wafer Transfer35688 Mactronix HJ2825P5 Wafer Transfer35689 Mactronix HJ2825P5 Wafer Transfer35690 Mactronix HJ2825P5 Wafer Transfer
35691 Mactronix HJ2825P5 Wafer Transfer35692 Mactronix HJ2825P5 Wafer Transfer35915 Mactronix HJ2825P5 Wafer Transfer33826 MACTRONIX Horizon HZN825P5 Wafer Transfer Tool for 200mm Wafers, 2ea Available61192 MACTRONIX UKA-650 Wafer Transfer Tool - Eureka III Sr. 150 mm61193 MACTRONIX UKA-825 Wafer Transfer Tool for 200mm Waf 200 mm50200 Mactronix Mactronix wafer loader, with rotation 200mm15060 Mactronix UKA-650 Wafer Transfer Station 38491 Mactronix?Eureka Wafer Transfer Units67412 Magnetron 4-point probe WITH NEW ELECTRONICS (1" TO 6" WAFERS)67391 MANIX transistor lead trim and/or form options available w/syntron bowl feed. 70019 Mann products 3630 Mask Maker 3.5 Inch51059 Manual Prober Model 2008 Prober 200mm74067 Manufacturing Technology I MSS-816 Micro Slic ing System54172 Many Many Dry Pump71052 Marae M440 Test Handler M44059221 Marantz INSPECFV18 AOI59222 Marantz M22Xfx-450 Christopher NSpecF18 Benchtop AOI70614 March AP-1000 Plasma Cleaner66100 March Jupiter II RIE Etcher REBUILT62834 March PX-1000 Plasma Cleaner64158 March PX-1000 Plasma Cleaner63093 March PX-1000 Plasma Cleaner70298 March PX-1000 Refurbished Plasma Cleaner56790 Markem 147160807 Markem 452T/W Marking System56327 Markem 606 Plate Maker66615 MARKEM 612 Markem Plate Maker56314 Markem 612 Plate Maker
35580 MARKEM 962 Touch Dry Jet Printer with Print Head9810 Markem Q2000 Spare Parts56789 Markem Q200063098 Marpet Enterprises 1204B Wire Bonder - Vertical Feed64358 Marubeni LTA-700 Kobelco Wafer Lifetime Measuring System (ST)64424 Maruwa Spin Dryer (Stand Alone Type)69886 MAT 6497 Microassembly technologies74068 Materials Development Cor 986G hot chuck wafer measurement syst 8"74970 Materials Reserch Corporat Eclipse mark II PVD 618838 MATRIX 0995-99829 Oem user's manual18836 MATRIX 0995-99842 system one etcher model 303 installations and operations manual18837 MATRIX 0995-99844 Installation and operations manual18835 MATRIX 0995-99844 System one etcher model 403 installations and operations manual68245 Matrix 10 Asher 150mm68246 Matrix 10 Asher 150mm68247 Matrix MW RESIST 1188 Asher 150mm68478 Matrix SYSTEM ONE System One Etcher (w/SPARES) 150mm62349 MATRIX SYSTEM ONE ETCHER 302 150mm62350 MATRIX SYSTEM ONE ETCHER 302 150mm18845 MATTSON 17500675-00 Aspen OEM manual volume I18846 MATTSON 17500675-00 Aspen OEM manual volume II18847 MATTSON 17500675-00 Aspen OEM manual volume III62481 Mattson 2800 RTP 200mm62482 Mattson 2800 RTP 200mm62483 Mattson 2800 RTP 200mm60513 Mattson 2800 RTP 200 mm60514 Mattson 2800 RTP 200 mm60515 Mattson 2800 RTP 200 mm35730 Mattson 2800 RTP, CH 135731 Mattson 2800 RTP, CH 135732 Mattson 2800 RTP, CH 135734 Mattson 2800 RTP, CH 135735 Mattson 2800 RTP, CH 135736 Mattson 2800 RTP, CH 135737 Mattson 2800 RTP, CH 135738 Mattson 2800 RTP, CH 1
75673 Mattson 2800 Epsilon Traditional RTP Equipment 20075674 Mattson 2800 Epsilon Traditional RTP Equipment 20075675 Mattson 2800 Epsilon Traditional RTP Equipment 20062484 Mattson 2900 RTP 200mm68751 Mattson 2900 RTP 200mm60516 Mattson 2900 RTP 200 mm35733 Mattson 2900 RTP, CH 118848 MATTSON 299-31000-00 Aspen strip system manual71510 MATTSON ASPEN 2 ASHER 200 mm70636 Mattson Aspen 2 Triode Asher / Stripper 200 mm75730 Mattson Aspen 3 Asher 200 mm71707 Mattson Aspen 3 Asher / Highlands 200 mm72006 MATTSON ASPEN 3 ASHER / STRIPPER 8"/12"66103 MATTSON Aspen 3 CVD 200 mm70580 MATTSON ASPEN 3 CVD 300 MM68437 Mattson ASPEN 3 CVD 200mm69828 Mattson Aspen 3 CVD Asher 200 mm68438 Mattson ASPEN II CVD 200mm68439 Mattson ASPEN II CVD 200mm75199 Mattson Aspen II Stripper/Asher 20070841 MATTSON ASPEN_3 CVD 200mm70840 MATTSON ASPEN_3 CVD 200MM70832 MATTSON ASPEN_3 CVD70833 MATTSON ASPEN_3 CVD70834 MATTSON ASPEN_3 CVD70835 MATTSON ASPEN_3 CVD70836 MATTSON ASPEN_3 CVD
70837 MATTSON ASPEN_3 CVD70838 MATTSON ASPEN_3 CVD70839 MATTSON ASPEN_3 CVD71511 MATTSON ASPEN2 ASHER 200 mm72005 MATTSON ASPEN3 ASHER / STRIPPER 8"/12"68440 Mattson ASPEN3 CVD 200mm68441 Mattson ASPEN3 CVD 200mm
68442 Mattson ASPEN3 CVD 200mm68443 Mattson ASPEN3 CVD 200mm68444 Mattson ASPEN3 CVD 200mm68445 Mattson ASPEN3 CVD 200mm68446 Mattson ASPEN3 CVD 200mm74243 Mattson ASPEN3 CVD 1272007 MATTSON AST 2800 RTP 8"36587 MATTSON AST 2800 RTP72008 MATTSON AST2800 RTP 8"72009 MATTSON AST2800 RTP 8"74997 Mattson AST2800 RTP 876066 MATTSON AST2800 RTP 876067 MATTSON AST2800 RTP 872985 MATTSON AST2800 RTP TOOL 8"72986 MATTSON AST2800 RTP TOOL 8"72987 MATTSON AST2800 RTP TOOL 8"54137 Mattson AST280064262 Mattson AST280064263 Mattson AST280074998 Mattson AST2800E RTP 868447 Mattson AST2900 CVD 200mm72010 MATTSON AST2900 RTP 8"72011 MATTSON AST2900 RTP 8"72012 MATTSON AST2900 RTP 8"72013 MATTSON AST2900 RTP 8"72014 MATTSON AST2900 RTP 8"72015 MATTSON AST2900 RTP 8"72016 MATTSON AST2900 RTP 8"72017 MATTSON AST2900 RTP 8"
72018 MATTSON AST2900 RTP 8"61475 MATTSON AST2900 RTP 200mm61474 MATTSON AST2900 RTP 200mm61476 MATTSON AST2900 RTP 200mm61477 MATTSON AST2900 RTP 200mm61478 MATTSON AST2900 RTP 200mm61479 MATTSON AST2900 RTP 200mm61480 MATTSON AST2900 RTP 200mm61481 MATTSON AST2900 RTP 200mm70842 MATTSON AST2900 RTP 200mm70843 MATTSON AST2900 RTP 200mm70844 MATTSON AST2900 RTP 200mm70845 MATTSON AST2900 RTP 200mm70846 MATTSON AST2900 RTP 200mm68752 Mattson AST2900 RTP 200mm68753 Mattson AST2900 RTP 200mm68754 Mattson AST2900 RTP 200mm68755 Mattson AST2900 RTP 200mm68756 Mattson AST2900 RTP 200mm68757 Mattson AST2900 RTP 200mm68758 Mattson AST2900 RTP 200mm68759 Mattson AST2900 RTP 200mm74999 MATTSON AST2900 RTP 875000 MATTSON AST2900 RTP 875001 MATTSON AST2900 RTP 875002 MATTSON AST2900 RTP 875003 MATTSON AST2900 RTP 875004 MATTSON AST2900 RTP 875005 MATTSON AST2900 RTP 872988 MATTSON AST2900 RTP TOOL 200 MM54136 Mattson AST290075007 Mattson AST3000 RTP 1275008 MATTSON AST3000 RTP 1275009 MATTSON AST3000 RTP 1275006 Mattson AST3000 RTP 854135 Mattson AST3000
70281 MATTSON AWP 200 QEN WETBENCH 200 mm75677 Mattson Helios Platform RTP Equipment 30075678 Mattson Helios Platform RTP Equipment 30071469 Mattson Helios RTP 300 MM71480 MATTSON Helios RTP processing system 300 mm32884 Mattson Helios RTP SYSTEM 300 mm71474 Mattson Helios RTP system 300 MM71465 Mattson Helios XP RTP 300 MM64419 Mattson SHS 2800 RTANL AST2800 (RTANL-14)67951 Mattson SHS 2800 RTP 200 mm63082 Mattson SHS 2900 RTP System 200mm55931 Mattson Steag AST SHS 2800 RTP furnace 200 mm61309 MATTSON TECHNOLOGY MODUTRACK RETIC AUTOMATED RETICLE CLEANER 300mm61336 MATTSON TECHNOLOGY I 8108 RTP GATE 200mm31001 MATTSON TECHNOLOGY, I 2900 RAPID THERMAL PROCESSOR 200 MM31002 MATTSON TECHNOLOGY, I 2900 RAPID THERMAL PROCESSOR 200 MM31004 MATTSON TECHNOLOGY, I 2900 RAPID THERMAL PROCESSOR 200 mm37054 Mattson Technology, Inc. 2900 Standalone RTP Equipment 200 mm31008 MATTSON TECHNOLOGY, I 3000 RAPID THERMAL PROCESSOR69759 Maximus 804 resist stripper 2, 3 and 4 inch wafers59920 MAZZALI Etuve 150 mm66631 MAZZALI MODUGRAL AMBD3BNB0Burn in Oven66632 MAZZALI MODUGRAL AMBD3BNB0Burn in Oven66635 MAZZALI THERMAIR Oven66636 MAZZALI THERMAIR Oven66637 MAZZALI THERMAIR Oven66638 MAZZALI THERMAIR Oven68190 Mazzali Thermotest 300 LT A530W1Tester60030 MAZZALI Automatic UV tape curing system 150 mm60053 MAZZALI Oven 150 mm63798 Mbraun Custom R&D / Pilot Lines for manufacture of lithium ion cells33791 MC SYSTEMS 8806 Analytical Probing System w/B/L MicroZoom Microscope, 2.25X, 8X, 25X Objectives36588 MCL RAM-8500 II P/R STRIPPER36589 MCL RAM-8500 II P/R STRIPPER
36590 MCL RAM-8500 II P/R STRIPPER36591 MCL RAM-8500 II P/R STRIPPER
62208 MCL RAM-8500 II P/R STRIPPER72180 MCO 401 PCB66671 MCT 3.62E00 Handler66672 MCT 3.62E00 Handler66673 MCT 3.62E00 Handler66674 MCT 3608 Handler66675 MCT 3608 Handler66676 MCT 3608 Handler60857 MCT 3608 CCA-3 Handler Parts Machine60856 MCT 3608C-3 Extended Back66680 MCT 3608CCA Handler60862 MCT 3608E Ambient and Hot, 300 mil SOIC? kit60863 MCT 3608E Ambient and Hot, 300 mil SOIC? kit66681 MCT 3608E Handler66682 MCT 3608E Handler60855 MCT 3608E-6 600Mil DIP66677 MCT 3616 Handler66678 MCT 3616 Handler60858 MCT 3616E-3 IC Handler60860 MCT 4610 Dual s ite, Tri-temp, 32 PLCC60861 MCT 4610 Single Site 20 & 28 PLCC60859 MCT 4610 Single Site, Tri-Temp?32 PLCC66679 MCT 6100 Handler67392 MCT 6603 table top hydrogen rework station. 67213 MCT 6820 Table top reflow system with 6" belt, four heating platforms with 2 controllers and digital readout 66577 MCT MCT2010 IC Test System60766 MCT IC Handler Spare
38493 MD ( Veeco ) FPP5000? Four Point Probe60548 MDA/Zellweger Scientific CM4 Gas Analyzer(s)22738 MDC 8512-6AU Semiconductor CV Plotter 150mm10011 MDC CSM/16 Automatic CV Plotter with 150mm DuoChuck, B&L SZ5 Microscope56865 MDC CSM/16 Automatic CV Plotter with Mercury Probe4007 MDC (Materials DevelopmenDUO CHUCK CSM16 CV Measurement system 150 mm67505 Mech-EL 1204B
67500 Mech-EL 1204W67530 Mech-EL 202
67167 Mech-El 772 The Mech-El 772 Hybrid Epoxy Die Mounter enables mounting of a wide variety of chips required by complex hybrid c ircuits without tool change. Chips such as capacitors, diodes, IC's and LED's may be assembled without changing setup, tools or dispenser. ME67166 Mech-El 779 The Mechel 779 is a manual pick and place system. (Email us for photo)
67501 Mech-EL 82767502 Mech-EL 82967506 Mech-EL 829Z60293 Mech-El 907 Wire Vertical Feed Wedge Bonder67503 Mech-EL 90767504 Mech-EL 90960294 Mech-El 990 Vertical Feed Wedge Wire Bonder60295 Mech-El 990 Vertical Feed Wedge Wire Bonder63096 Mech-El 202 Scope67165 Mech-El 703 703 WITH 6:1 MICROPOSITIONER 21524 Meco Spare Parts for Meco Strip Plating Lines71857 Meco EDF + EPL 1800 Tin/Lead strip Plating Line assembly62534 Meco EDF+ EPL 2400S Plating Line assembly23180 Meco EDF+EPL 2400S Strip Plating Plating Line72932 Meco EPL 2400S Electro Plating Line34494 MEGASONIC Dryer 200mm72812 Megatest Genesis 3 Megatest Genesis Test System 150 mm72813 Megatest Genesis 3 Megatest Genesis Test System 150 mm72814 Megatest Genesis 3 Megatest Genesis Test System 150 mm70555 Megatest Genesis III Test System67507 MEI 120467510 MEI 1204B Ball Bonder67531 MEI 20267168 MEI 772 The MEI 772 Hybrid Epoxy Die Mounter67508 MEI 827
67511 MEI 82967512 MEI 829Z67509 MEI 90767513 MEI 90950978 MEI Mech-El 907 Aluminum or Gold Ribbon?Bonder51081 MEI Mech-El 907 Aluminum or Gold Ribbon?Bonder50977 MEI Mech-El 907 Aluminum or Gold Wedge Wire Bonder51080 MEI Mech-El 907 Aluminum or Gold Wedge Wire Bonder75755 Meier ICOL 38 / 24 Solar Cell Laminator 5 and 6 inch
19331 Melec C-780S Stepping motor controller17099 MELSEC AX42 PROGRAMMABLE CONTROLLER
51009 Mentor Graphics Celaropro? Hardware Emulator16426 Mercedes 3500 SLC Automobile60472 Met One A2408-1-115-1 Laser Partic le Counter34442 METLAB 2000 200mm67152 METONE Point 5 .5 micron resolution partic le counter 69285 Metricon 2010 Prism Coupler71260 Metrohm 811 On-line ion chromatography system facilities64405 Metron TMB-RT1-2 Metron_Extraction, Amine_Metrology64404 Metron TMB-RTM-2 Metron_Extraction, Amine_Metrology61330 METRON TECHNOLOGY ECLIPSE TI/NIV SPUTTER (C4) 200mm73132 METSO MM400 LHC-S Slurry Pump n/a33754 MGI 1282 Wafer Transfer Station, 2ea Available72019 MICRION 9100 FIB 8"72020 MICRION 9500 FIB 8"56912 MICRION 9500 FIB 200mm67911 Micro Instrument PE9000A Electromigration Oven67912 Micro Instrument PE9000A Electromigration Oven67913 Micro Instrument PE9020 Electromigration Oven Test67914 Micro Instrument SPC 4000 Electromigration Oven OVEN35358 Micro Instrument Co. PE9020 Electro-migration Oven TEST67100 Micro Printing Systems TF100 Stand Alone Stencil & Screen Printer21285 Micro Swiss Wire Bond Tools Wire Bond Capillary Tools12995 Micro Vu M302 Video Microscope Measuring System
50195 Micro-vu H14 Micro-Vu 200mm21520 Microautomation 2006 Brush Cleaner21511 Microautomation 2066 Microwash 4"55888 MICROAUTOMATION 2066 Wafer Scrubber62541 MicroAutomation 1100 Dicing Saw63603 MicroAutomation 2006 Wafer Cleaning Station71902 Microcontrol Micol Eraser69816 Microflow Horizontal Laminar flow clean Bench38496 Micromanipulator 6640 Prober64264 Micromanipulator Probe Station 167413 MICROMANIPULATOR 6000 MICROMANIPULATOR 6000 4" WAFER PROBE STATION INCLUDES MITUTOYO HIGH POWER MICROSCOPE MICROZOOM OPTICS WITH 2 OBJECTIVES, 4" CHUCK, 4X4" TRAVEL, MANUAL MOVEMENT
38497 Micromanipulator FET PS4 FET Power Supply67153 MICROMERITICS 5000ET X-ray seidigraph w/full s ize chart recorder, tag reads; model 501/00000/00 ser 2369
71518 MICRON Smart Track PIX COAT 200 mm75799 Microprocessor Technologi Avenger Dual SRD 150 mm and 200 mm56783 Millipore MFC MFC's New63604 Millipore Laboratory Incubator19146 MINAS MSM3AZA1A AC SERVO MOTOR69469 Mirae MR-550066683 MIRAE MR5500 Memory Test Handler66684 MIRAE MR5500 Memory Test Handler66685 MIRAE MR5500 Memory Test Handler66686 MIRAE MR5500 Memory Test Handler66687 MIRAE MR5500 Memory Test Handler66688 MIRAE MR5500 Memory Test Handler66689 MIRAE MR5500 Memory Test Handler66690 MIRAE MR5500 Memory Test Handler56791 MIRAE Mx310-T 3 Phase 220V 50/60Hz61218 Mirae Corp MR-5500 Flash memory Test handler
69818 Mirtec MV-2HT AOI19313 Misc Sensor CO ES2K19317 Misc Socket cap Screw STN.ST 8-32X115131 MISTSUBISHI HC-PQ13 AC SERVO MOTOR59833 MIT LH100TSV Laser Marking System 150 mm18562 MITSUBISHI CP750E(B) Colour Video copy processor13155 mitsubishi fr-z120-o.4k freqrol-z120 inverter 200v class
13035 Mitsubishi hc-pq13 ac servo motor13043 mitsubishi hc-pq13g1 (1/12)-ec/p AC servo motor wit reduction gear attachment for ultralow inertial small capacity HC-PQ series general industrial machine (100W)75748 Mitsubishi ME 1813 Glass Scriber Oled19343 mitsubishi MR-C10A1-UE ac servo AMPLIFIER 100W 100V CE/UL20095 MITSUBISHI MR-C1OA1-U6 AC SERVO AMP13019 Mitsubishi MR-J10A1 AC Servo controller53341 Mitutoya QVH-404 System scheduled for deinstallation in June 2011.4234 Mitutoyo 1044 Dial Depth gauge 5 mm range facilities34550 Mitutoyo MF Series Measurment Microscope51763 Mitutoyo ULTRAPLAN (Mod# FS110Microscope with additional lenses67188 MITUTOYO 940-142 Hardness tester.
66616 Miyachi (Unitek) DT Series HotBar Reflow Soldering67859 MJC MP-10 Prober
72178 MKS 51A11MGA2BA005 Baraton Pressure Switch56867 MKS 600 Series Pressure Controller75720 MKS 653B-4-100-1 Exhaust Throttle Valve
70607 MKS AX8403 OZONE GENERATOR 71691 MKS AX8403L OZONE GENERATOR
35584 MKS NW100 Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover35585 MKS PAS Residual Gas Analyzer56868 MKS Type 270A Display63610 MKS Model 253A-20-40-1 Control Valve63609 MKS Model 651D D2S1N2 Pressure Controller69715 MKS/ENI SurePower QL6513 RF Generator 6500W70122 Molecular Analytics Air Sentry IMS AMMONIA DETECTION SYSTEM 200 mm38204 Molex TM-2000 Crimp machine13103 Moritex mhf-C80LR Fiber optic light source 19339 MORITEX MHF-D100LR Fiber optic light source 18877 MOSAID 1000242-01 MS3400 test system reference manual
55860 MOSAID 4155 Memory Test System35339 MOSAID MS 3480 Memory Tester TEST72021 MOSAID MS-4205 MEMORY TESTER 8"72022 MOSAID MS-4205 MEMORY TESTER 8"72023 MOSAID MS-4205 MEMORY TESTER 8"62594 Mosaid MS3480 Memory Tester70848 MOSAID MS3490 ANALYSIS70581 MOSAID MS3490 MEMORY TEST SYSTEM75020 Mosaid MS3490 Memory Tester 862595 Mosaid MS3495 Memory Tester35342 MOSAID MS4105 Memory test system TEST62592 Mosaid MS4155 Memory Tester62621 Mosaid MS4155 Memory Tester Parts Machine68191 MOSAID MS4205 BIT MAP Tester70847 MOSAID MS4205 BIT MAP TESTER 62593 Mosaid MS4205EX Bench Tester62596 Mosaid MS4205EX Memory Tester38498 MosAid MS4105 Memory Tester63550 Mosaid MS4205 Bench memory tester TEST50309 Mosaid MS4205 Memory Tester TEST
50310 Mosaid MS4205 eX Bench memory tester TEST13053 MovinCool 15SFE Spot Cooling System67113 MPA IUC M3S Meter
66013 MPM SP200 Screen Printer33829 MPM SP200 Screen Printer, Semi-Automatic
52146 MPM SPM Screen Printer67458 MPM TF 100 6x6" print area, manual load, automatic shuttle print 75116 MPM UP2000/B Screen Printer -53343 MPM UP3000 Model UP3000UF.No configuration details available. 53342 MPM UP3000 Model UP3000UFProgrammable Closed Loop Pressure Print Head w/ Integrated Tactile Sensor.Cognex 5000 Vision Alignment System. 45/90 Degree Print Direction. CAD download. SPC Data Collection Software. On Screen Color Menu of Setup Parameters. Local 69303 MRC 8667 Sputtering System75660 MRC Eclipse PVD (Physical Vapor Deposition) 15062479 MRC ECLIPSE SPUTTER SYSTEM 150mm62480 MRC ECLIPSE SPUTTER SYSTEM 150mm
68742 MRC ECLIPSE Sputter System 150mm74967 MRC Eclipse mark II PVD 6
72717 MRC Eclipse Mark II PVD Sputter system 150 mm72718 MRC Eclipse pre-Redux PVD Sputter system 150 mm72719 MRC Eclipse pre-Redux PVD Sputter system 150 mm72720 MRC Eclipse pre-Redux PVD Sputter system 150 mm72721 MRC Eclipse pre-Redux PVD Sputter system 150 mm72722 MRC Eclipse pre-Redux PVD Sputter system 150 mm72723 MRC Eclipse pre-Redux PVD Sputter system 150 mm72724 MRC Eclipse pre-Redux PVD Sputter system 150 mm72725 MRC Eclipse Redux I PVD Sputter system 150 mm72726 MRC Eclipse Star PVD Sputter system 150 mm72727 MRC Eclipse Star PVD Sputter system 150 mm71583 MRC Mark II EVAPORATOR71582 MRC MARK IV EVAPORATOR69259 MRC RIE-51 Reactive Ion Etcher27863 MRC STAR SPUTTERING SYSTEM 150 MM AND 100 mm56736 MRC 943C Sputtering System60701 MRL 1424 Diffusion Furnace10019 MRL INDUSTRIES 1024 3-Tube Horizontal Diffusion Furnace72470 MRL Instruments Phoenix Horizontal Furnace - Cap Ox 150 mm72471 MRL Instruments Phoenix Horizontal Furnace - Cap Ox 150 mm72474 MRL Instruments Phoenix Horizontal Furnace - Cap Ox 150 mm72475 MRL Instruments Phoenix Horizontal Furnace - Cap Ox 150 mm72484 MRL Instruments Phoenix Horizontal Furnace - Cap Ox 150 mm
72485 MRL Instruments Phoenix Horizontal Furnace - Cap Ox 150 mm72444 MRL Instruments Phoenix Horizontal Furnace - Gate Ox 150 mm
72445 MRL Instruments Phoenix Horizontal Furnace - Gate Ox 150 mm72450 MRL Instruments Phoenix Horizontal Furnace - Gate Ox 150 mm
72451 MRL Instruments Phoenix Horizontal Furnace - Gate Ox 150 mm72459 MRL Instruments Phoenix Horizontal Furnace - Gate Ox 150 mm72464 MRL Instruments Phoenix Horizontal Furnace - Gate Ox 150 mm72465 MRL Instruments Phoenix Horizontal Furnace - Gate Ox 150 mm72468 MRL Instruments Phoenix Horizontal Furnace - Gate Ox 150 mm72469 MRL Instruments Phoenix Horizontal Furnace - Gate Ox 150 mm72472 MRL Instruments Phoenix Horizontal Furnace - Metal anl/Dens 150 mm
72473 MRL Instruments Phoenix Horizontal Furnace - Metal anl/Dens 150 mm72476 MRL Instruments Phoenix Horizontal Furnace - Metal anl/Dens 150 mm
72477 MRL Instruments Phoenix Horizontal Furnace - Metal anl/Dens 150 mm72442 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm
72443 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm72446 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm72457 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm72458 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm72461 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm72462 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm72463 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm72466 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm72467 MRL Instruments Phoenix Horizontal Furnace - N-Well/Deep D150 mm72460 MRL Instruments Phoenix Horizontal Furnace - NBL Drive 150 mm72426 MRL Instruments Phoenix Horizontal Furnace - Nitride 150 mm72427 MRL Instruments Phoenix Horizontal Furnace - Nitride 150 mm72428 MRL Instruments Phoenix Horizontal Furnace - Nitride 150 mm72429 MRL Instruments Phoenix Horizontal Furnace - Nitride 150 mm72430 MRL Instruments Phoenix Horizontal Furnace - Nitride 150 mm72431 MRL Instruments Phoenix Horizontal Furnace - Nitride 150 mm72432 MRL Instruments Phoenix Horizontal Furnace - Nitride 150 mm72433 MRL Instruments Phoenix Horizontal Furnace - Nitride 150 mm72434 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72435 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72436 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72437 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72438 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm
72439 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72440 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm
72441 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72447 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72448 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72449 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72452 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72478 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72479 MRL Instruments Phoenix Horizontal Furnace - Oxide 150 mm72422 MRL Instruments Phoenix Horizontal Furnace - Poly Si 150 mm72423 MRL Instruments Phoenix Horizontal Furnace - Poly Si 150 mm
72424 MRL Instruments Phoenix Horizontal Furnace - Poly Si 150 mm72425 MRL Instruments Phoenix Horizontal Furnace - Poly Si 150 mm
72453 MRL Instruments Phoenix Horizontal Furnace - Poly-Dope 150 mm72454 MRL Instruments Phoenix Horizontal Furnace - Poly-Dope 150 mm72455 MRL Instruments Phoenix Horizontal Furnace - Poly-Dope 150 mm72456 MRL Instruments Phoenix Horizontal Furnace - Poly-Dope 150 mm72482 MRL Instruments Phoenix Horizontal Furnace - Sb Duf 150 mm72483 MRL Instruments Phoenix Horizontal Furnace - Sb Duf 150 mm72414 MRL Instruments Phoenix Horizontal Furnace - Teos 150 mm72415 MRL Instruments Phoenix Horizontal Furnace - Teos 150 mm72416 MRL Instruments Phoenix Horizontal Furnace - Teos 150 mm72417 MRL Instruments Phoenix Horizontal Furnace - Teos 150 mm72418 MRL Instruments Phoenix Horizontal Furnace - Teos 150 mm72419 MRL Instruments Phoenix Horizontal Furnace - Teos 150 mm72420 MRL Instruments Phoenix Horizontal Furnace - Teos 150 mm72421 MRL Instruments Phoenix Horizontal Furnace - Teos 150 mm72480 MRL Instruments Phoenix Horizontal Furnace - TiSi 150 mm72481 MRL Instruments Phoenix Horizontal Furnace - TiSi 150 mm67414 MRSI 300mm MRSI 300mm (12" INCH) PROBE STATION 61356 MRSI MRSI-503M FLIP CHIP DIE BONDER68096 MRSI MRSI-503M Flip Chip Die Bonder68097 MRSI MRSI-503M Flip Chip Die Bonder73057 MSP Corporation 2300 Series Organic EL Deposition System 8"35294 Muhlbauer IPL6800 Packing machine w/ integrated 2D baASSEMBLY56969 Muhlbauer IPL6800 Packing machine w/ integrated 2D baASSEMBLY
56970 Muhlbauer IPL6800 Packing machine w/ integrated 2D baASSEMBLY63557 Muhlbauer IPL6800 Packing machine w/ integrated 2D baASSEMBLY61118 Multi Test MT8305L69470 Multi Test MT858961119 Multi Test MT8589H61120 Multi Test MT8704iHF60864 Multitest 8305L Single Site, Ambient only, 600 Mil DIP?72916 Multitest MT 9208 Flip MEMS Module for docking to sta TEST72914 Multitest MT 9308 Test Handler TEST72915 Multitest MT 9928 Test Handler TEST62174 Multitest MT8502 Test Handler assembly66645 Multitest MT8503 Conversion KIT66646 Multitest MT8503 Conversion KIT66647 Multitest MT8503 Upgrade KIT66648 Multitest MT8589 Change KIT68890 Multitest MT9308 Handler66774 Multitest MT9308 Test Handler Test71593 MULTITEST MT9320 Test Handler59152 Multitest MT 8501 Kit for DIL40059154 Multitest MT 8501 Kit for DIL60059153 Multitest MT8501 Kit for DIL40051011 Multitest MT8704IHF Universal Change Kit SSOP 28 , 20951012 Multitest PGA Change Kits70408 Muratec Murata Machinery, LCD300 Wafer Stocker 300 mm18586 MVC MVC-140 Colour monitor59224 MVP AOI Ultra 18-20 AOI59264 Mydata My12 Pick & Place59265 Mydata TP9 Pick & Place67369 Myriad 2001 Completely rebuilt
34530 MYSTAIR MISONIX AIR SCRUBBERS 200mm61310 N & K MEASUREMENTS N+K ANLYZR 1500 REFLECTOMETER 300mm36592 N/A INSPECTION SCOPE19194 NAIS ANG850 MOUSE19193 NAIS ANG880 CCD CAMERA71741 Nanofab Nano150 Ion Beam Lithography / Implanter Sy 150 mm75597 Nanometrics 210 AFT Thin Film Measurement System 200
75598 Nanometrics 210 AFT Thin Film Measurement System 20035727 Nanometrics 2200M Overlay Measurement35728 Nanometrics 2200M Overlay Measurement62417 Nanometrics 2200M Overlay Measurement 200mm62418 Nanometrics 2200M Overlay Measurement 200mm60361 Nanometrics 2200M Overlay Measurement 200 mm60362 Nanometrics 2200M Overlay Measurement 200 mm75961 Nanometrics 4000 Wafer inspection 200 MM36593 NANOMETRICS 7200 OVERLAY MEASUREMENT SYSTEM 200mm74831 Nanometrics 8000XSE Thickness Measure 868620 Nanometrics 8300X Film Thickness Analyzer(s) with Spe150mm60482 Nanometrics 8300X Film Thickness Analyzer(s) with Spectroscopic Ellipsometry22741 Nanometrics 8300XSE **GUARANTEED** Film Thickness AnaMultiple69679 Nanometrics 9010 Integrated Metrology System70849 NANOMETRICS 9010B FAB MEASURE 12"70850 NANOMETRICS 9010B FAB MEASURE 12"70851 NANOMETRICS 9010B FAB MEASURE 12"70852 NANOMETRICS 9010B FAB MEASURE 12"70853 NANOMETRICS 9010B FAB MEASURE 12"70854 NANOMETRICS 9010B FAB MEASURE 12"70855 NANOMETRICS 9010B FAB MEASURE 12"70856 NANOMETRICS 9010B FAB MEASURE 12"70857 NANOMETRICS 9010B FAB MEASURE 12"70582 NANOMETRICS 9010B Thin film measurement 300 MM70583 NANOMETRICS 9010B Thin film measurement 300 MM70584 NANOMETRICS 9010B Thin film measurement 300 MM70585 NANOMETRICS 9010B Thin film measurement 300 MM
70586 NANOMETRICS 9010B Thin film measurement 300 MM70587 NANOMETRICS 9010B Thin film measurement 300 MM
70588 NANOMETRICS 9010B Thin film measurement 300 MM70589 NANOMETRICS 9010B Thin film measurement 300 MM70590 NANOMETRICS 9010B Thin film measurement 300 MM70591 NANOMETRICS 9010B Thin film measurement 300 MM70592 NANOMETRICS 9010B Thin film measurement 300 MM70593 NANOMETRICS 9010B Thin film measurement 300 MM70594 NANOMETRICS 9010B Thin film measurement 300 MM70858 NANOMETRICS 9010B(Mask & Wafer InspeFAB MEASURE 12"69286 Nanometrics AFT 200 NanoSpec
68628 Nanometrics AFT181 Thickness Measurement 200mm70860 NANOMETRICS AFT210 THICKNESS MEASUREMENT70859 NANOMETRICS AFT210 THICKNESS MEASUREMENT68629 Nanometrics AFT210 Thickness Measurement 200mm68630 Nanometrics AFT210 Thickness Measurement 200mm68631 Nanometrics M216 Metrology Thickness 200mm54001 Nanometrics M216S Film Thickness Measurement System54000 Nanometrics M216UV Film Thickness Measurement System68614 Nanometrics M6100UV-L Film thickness 125mm35729 Nanometrics METRA 7000 Overlay Measurement62419 Nanometrics METRA 7000 Overlay Measurement 200mm60363 Nanometrics METRA 7000 Overlay Measurement 200 mm
34712 Nanometrics METRA 7200 2 SMIF indexers34711 Nanometrics METRA 7200 2 SMIF indexers
61446 NANOMETRICS NANO9300 THICKNESS MEASUREMENT61447 NANOMETRICS NANO9300 THICKNESS MEASUREMENT
68633 Nanometrics NANO9300 Thickness Measurement 200mm68632 Nanometrics NANO9300 Thickness Measurement 200mm68634 Nanometrics NANO9300 Thickness Measurement 200mm68635 Nanometrics NANO9300 Thickness Measurement 200mm62408 Nanometrics Nanoline CD measurement 150mm62690 Nanometrics Nanoline22743 Nanometrics Nanoline 50-2C CD Measurement System 150mm
62409 Nanometrics Nanoline 50-2C CD Measurement System 150mm60360 Nanometrics Nanoline 50-2C CD Measurement System 150 mm33700 NANOMETRICS Nanoline CD-50 CD Measurement Tool72594 nanometrics nanospec Film thickness measurement 150 mm68619 Nanometrics NanoSpec 180 Film thickness measurement 150mm33701 NANOMETRICS Nanospec 181 Film Thickness Measurement System75161 Nanometrics Nanospec 210 Film Thickness measurement 150 mm and 125 mm33703 NANOMETRICS Nanospec 2100 Film Thickness Measurement System with Irv ine Optical Optistation 200 Automatic Wafer Loader33704 NANOMETRICS Nanospec 212 Film Thickness Measurement System with 125mm/150mm Dual Wafer Sliding Stage62410 Nanometrics Nanospec AFT/2100 UV Film Thickness Measurement Sy 150mm60481 Nanometrics Nanospec AFT/2100 UV Film Thickness Measurement Sy 150 mm74832 Nanometrics Nanospec AFT400 Film Thickness Measurement 836594 NANOMETRICS NANOSPEC ATF , MARK-NANOMETRICS36595 NANOMETRICS NANOSPEC ATF210 , MARK-NANOMETRICS72595 nanometrics Nanospec CTS-102 Thickness measurement 150 mm75599 Nanometrics NanoSpec M-5100 Wafer Inspection Equipment 20075600 Nanometrics NanoSpec M-5100 Wafer Inspection Equipment 20022740 Nanometrics NanospecAFT/2100 **GUARANTEED** UV Film Thicknes150mm73227 NANOMETRICS Vertex Wafer Characterization 6"70861 NANOMETRICS NANO9300 THICKNESS MEASUREMENT70862 NANOMETRICS NANO9300 THICKNESS MEASUREMENT51042 Nanometrics (Olympus) 209157 Microscope58839 Nanometrics Inc. 7000-0321 Nano CD-5038501 Nanometrics? Nanoline CRD-III with Olympus Microscope54909 Nanometrrics 8300 Metrologi 200mm67477 Nanospec 210 The Nanospec 210 is a wafer tester that has a film thickness measurement system. It has a 100 angstrom resolution. 74833 Nanospec AFT 210 Metrology 560719 NAPCO CO2 6000 Dual Stack Incubator16569 National see comments Assorted National lamps
69868 National Instruments GPIB120A GPIB BUS EXPANDER / ISOLATOR TEST60740 National Instruments SCXI-1000 Chassis with SCXI-130363831 Navtel Navtel Protocol Analyzer21484 NCR 1034X Automatic Wafer Prober34906 NEGEVTECH 302 Defect Inspection 300 mm23040 Neslab CFT-33 Refrigerated Recirculator / New60507 Neslab Custom Temperature Calibration Bath61171 NESLAB ESC-150 Recirculating Water Chiller, Water Cooled with Magnetic Drive Pump57090 Neslab HTD-1 Chiller/Heat Exchanger 200 mm57091 Neslab HTD-1 Chiller/Heat Exchanger 200 mm57092 Neslab HTD-1 Chiller/Heat Exchanger 200 mm57093 Neslab HTD-1 Chiller/Heat Exchanger 200 mm70409 Neslab HTD-1 Chiller/Heat Exchanger n/a55858 NESLAB HTD-1 Galden Chiller, Water Cooled34439 NESLAB HTD-1 CHILLER 200mm72159 Neslab HX-150 Air cooled Chiller72160 Neslab HX-150 Air cooled Chiller33649 NESLAB HX-150 Recirculating Water Chiller w/Analog Temp Ctlr, Water Cooled67122 NESLAB HX-150 Recirculating Water Chiller, Air Cooled 33650 NESLAB HX-150 Recirculating Water Chiller, Air Cooled 61172 NESLAB HX-150 Recirculating Water Chiller, Air Cooled w Digital Temp Ctlr53996 Neslab HX-150 Single Channel Chiller10028 NESLAB HX-150DD Recirculating Water Chiller61173 NESLAB HX-200 Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled60892 NESLAB HX-300 CHILLER60893 NESLAB HX-300 CHILLER60894 NESLAB HX-300 CHILLER10031 NESLAB HX-300 Recirculating Water Chiller w/Analo FACILITIES33654 NESLAB HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled64780 NESLAB HX-75 Recirculating Water Chiller, Air Cooled18594 Neslab HX150 Recirculating Chiller Facilities17869 NESLAB HX150 Recirculating Water Chiller 60891 NESLAB MX-500W CHILLER23042 Neslab RTE-110 Bath23036 Neslab RTE-111 Chiller / Bath62663 Neslab Steelhead 1 Heat Exchanger
60900 NESLAB STEELHEAD HX-300W/C CHILLER 60890 NESLAB STEELHEAD-1 CHILLER60895 NESLAB STEELHEAD-1 CHILLER 60896 NESLAB STEELHEAD-1 CHILLER 60901 NESLAB STEELHEAD-1 CHILLER 60898 NESLAB SYSTEM-5 CHILLER22744 Neslab Various Chiller(s) N/A60897 NESLAB CHILLER14566 Neslab ESC-150 Recirculating Water Chiller w/Digital Temp Controller69974 Neslab DIMax DI Water-to-Water Heat Exchanger60746 Neslab EX-211 Non-Refrigerated Bath Circulator.?67361 NEUTRONIX QUINTEL 7000 NEUTRONIX QUINTEL 7000 HIGH RESOLUTION MASK ALIGNER CURRENTLY CONFIGURED FOR 4" DIAMETER (WILL EXPOSE LARGER PARTS) WITH BACKSIDE INFRA-RED ALIGNMENT PRINT RESOLUTION 0.6 MICRONS WITH VACUUM CONTACT 68810 New Power Eng FO-6150 PARTS BAKE OVEN52165 Newport Custom Neutron Residual Stress Goniometer / Diffractometer69287 Newport LW4500 Automated Laser Welding Workstation32156 Newport M-B-2 Laser Brackets74070 Newport RS 1000 Vibration Control - Optical Table n/a74071 Newport SmartTable UT2 Vibration Control - Optical Table n/a50576 Newtronics NWM-300 12" Wafer Mounter71604 NEXTEST MAV2 PT LT Tester71605 NEXTEST MAVERICK 1 PT Tester73038 NEXTEST Maverick GT VLSI Tester Maverick GT75817 Nextest Maverick II GT Test System TEST75818 Nextest Maverick II SST Test System TEST71796 NEXTEST Maverick ll-PT Tester75133 NEXTEST Maverick ll-PT Tester72989 NEXTEST MAVERICK-II (PT) TEST SYSTEM TEST
64344 Nexx Stratus Gold Plating line61228 NEXX Stratus S300 Silver Plater Electrochemical Deposition for Wafer Level Package 55890 NEY ULTRASONICS microSONIK 170kHz Dual Sweep Pulse Generator & Power Module71717 NGK RC 1000 ACD-E CO2 Bubbler facilities58226 NGK RC-1000A CO2 BUBBLER65952 NGK RC-1000ACDS CO2 Bubbler58227 NGK RC-1000AD CO2 BUBBLER
66152 NGK RC-2000ACDS CO2 BUBBLER71698 Nicolet 1400-IMZ X-Ray for SMT IBI970038422746 Nicolet 205 Spectrometer FT-IR 150mm62446 Nicolet 205 Spectrometer FT-IR 150mm60509 Nicolet 205 Spectrometer FT-IR 150 mm62447 Nicolet 8S FTIR 150mm62691 Nicolet 8S35766 Nicolet ECO 1000SPP Concentration62476 Nicolet ECO 1000SPP Concentration 200mm60508 Nicolet ECO 1000SPP Concentration 200 mm54113 Nicolet ECO-1000S FT-IR72596 Nicolet ECO-1000S Nicolet-Film Dopant Concentration 150 mm51869 Nicolet ECO-8 FTIR 200mm50291 Nicolet ECO-8 FTIR68671 Nicolet ECO-8S FT-IR Spectrometer 150mm68672 Nicolet ECO-8S FT-IR Spectrometer 150mm56861 NICOLET ECO-8S FT-IR Spectrometer for up to 200mm Wafers22745 Nicolet ECO-8S FT-IR Spectrometer(s) Multiple72024 NICOLET ECO1000 FTIR 8"72025 NICOLET ECO1000 FTIR 8"72026 NICOLET ECO1000S FTIR 8"72027 NICOLET ECO1000S FTIR 8"72028 NICOLET ECO1000S FTIR 8"72029 NICOLET ECO1000S FTIR 8"74834 Nicolet ECO1000S-293 FTIR EPI-thickness 623044 Nicolet IRUS FTIR / Molecular Microanalysis System69288 Nicolet Magna 56071625 NIDEK IM-11 WAFER LOADER21884 NIDEK IM7 MICROSCOPE LOADER 100-150 MM
69382 Nihon Micronics 705B Manual Prober 125mm69383 Nihon Micronics 705B Manual Prober 125mm69384 Nihon Micronics 705B Manual Prober 125mm69385 Nihon Micronics 705B Manual Prober 125mm67781 Nikon V14 Optical Comparator63803 Nikon 1755G7A Stepper 6"70863 NIKON 2005I9C I LINE STEPPER 8 INCH18867 NIKON 204402 Operations manual NSR-1755I7A62219 Nikon 3A Wafer inspection 150mm62220 Nikon 3A Wafer inspection 150mm62221 Nikon 3A Wafer inspection 150mm62222 Nikon 3A Wafer inspection 150mm62223 Nikon 3A Wafer inspection 150mm62224 Nikon 3A Wafer inspection 150mm62692 Nikon 3A67681 Nikon 4425i 6 inch Retic le Type, 44 mm sq. Field 200mm67682 Nikon 4425i 6 inch Retic le Type, 44 mm sq. Field 200mm67683 Nikon 4425i 6 inch Retic le Type, 44 mm sq. Field 200mm63591 Nikon 4425i i-line wide field stepper 200 mm69351 Nikon 4425i Nikon Stepper68596 Nikon 4425i Stepper 200mm21340 Nikon 4425I Stepper71076 Nikon 4425i Stepper70260 NIKON 4425i WIDE FIELD STEPPER 200 mm70261 NIKON 4425i WIDE FIELD STEPPER 200 mm70262 NIKON 4425i WIDE FIELD STEPPER 200 mm67680 Nikon 4425i 200mm67780 Nikon 6C Comparator67785 Nikon 76400 S Series Scope31646 Nikon BD PlanApo 200 / 0.9 Microscope Objective Lens67774 Nikon BF/DF Dynascope 65947 Nikon E600 Stereoscopic fluorescence microscope71565 Nikon Eclipse L150 INSPECTION MICROSCOPE65933 Nikon ECLIPSE L150 Metallographic Microscope65927 Nikon ECLIPSE L300D Inspection Microscope 300mm61010 NIKON EPIPHOT200 MICROSCOPE
75117 Nikon FX-601F Stepper for LCD / AMOLED 370x47067835 Nikon i10 Stepper34188 Nikon i11 i-line stepper67834 Nikon i11D Stepper61441 NIKON i11d Stepper61442 NIKON i11d Stepper68597 Nikon i11d Stepper 200mm68598 Nikon i11d Stepper 200mm68599 Nikon i11d Stepper 200mm64394 Nikon I12 TEL I12 STEPPER. Nikon stepper exposure tool60931 NIKON i1467837 Nikon i7a Stepper67836 Nikon i9c Stepper71918 Nikon Irradiance meter Irradiance meter with i-line probe10038 NIKON Metaphot Binocular Microscope with 5X, 20X, 125 mm75962 Nikon MM-11 MICROSCOPE 200 MM56922 Nikon MM-40 Measurment Microscope55968 Nikon MM-40 Measurment Microscope71699 Nikon NEXIV VMR-H3030 Video Measuring System74835 Nikon NRM1000 Overlay 874836 Nikon NRM1000A Overlay 874837 Nikon NRM1000A Overlay 874838 Nikon NRM1000A Overlay 874839 Nikon NRM1000A Overlay 866108 NIKON NRM3300 OVERLAY70864 NIKON NRM3300 OVERLAY68690 NIKON NRM3300 OVERLAY 200mm68691 NIKON NRM3300 OVERLAY 200mm66010 Nikon NSR 1755 G7 G-line Stepper37765 Nikon NSR 2005 i11C i-Line Stepper 200 mm21885 NIKON NSR 2205 EX 12B DUV SCANNER 200 MM21044 Nikon NSR 2205 EX12B DUV Stepper 200 MM27882 Nikon NSR 2205 i12D i-line stepper 200 mm69943 Nikon NSR 2205 i12D i-line stepper 150 mm
20054 Nikon NSR 2205 i12D i-line stepper 200 mm 71543 Nikon NSR 2205 i14e2 i line stepper 200 mm
70865 NIKON NSR 4425i STEPPER70866 NIKON NSR 4425i STEPPER68600 Nikon NSR 4425i Stepper 200mm68601 Nikon NSR 4425i Stepper 200mm66413 Nikon NSR 4425I 200mm60928 NIKON NSR 4425I75905 Nikon NSR S202A DUV SCANNER 200 mm75780 Nikon NSR S203B 248 nm scanner, 200 mm setup 200 mm35699 Nikon NSR S203B DUV Scanner35700 Nikon NSR S203B DUV Scanner35701 Nikon NSR S203B DUV Scanner35702 Nikon NSR S203B DUV Scanner53308 Nikon NSR S204B DUV SCANNER 200 mm71460 Nikon NSR S305 193 nm DUV Lithography exposure 200 MM35703 Nikon NSR S305A 193nm DUV Scanner35704 Nikon NSR S305A 193nm DUV Scanner35705 Nikon NSR S305A 193nm DUV Scanner35706 Nikon NSR S305A 193nm DUV Scanner15199 Nikon NSR S305B ArF DUV Lithography scanner 200 mm75741 Nikon NSR S306C 193 nm scanner, 200 mm setup 200 mm35707 Nikon NSR S306C 193nm DUV Scanner 200 mm75803 NIKON NSR S308F 193 exposure tool 300 mm72618 NIKON NSR-1505G3A G-line stepper 150 mm72619 NIKON NSR-1505G3A G-line stepper 150 mm72620 NIKON NSR-1505G3A G-line stepper 150 mm72621 NIKON NSR-1505G3A G-line stepper 150 mm72622 NIKON NSR-1505G3A G-line stepper 150 mm
72623 NIKON NSR-1505G3A G-line stepper 150 mm72624 NIKON NSR-1505G3A G-line stepper 150 mm72625 NIKON NSR-1505G3A G-line stepper 150 mm72626 NIKON NSR-1505G3A G-line stepper 150 mm72627 NIKON NSR-1505G3A G-line stepper 150 mm72628 NIKON NSR-1505G3A G-line stepper 150 mm72629 NIKON NSR-1505G3A G-line stepper 150 mm
72630 NIKON NSR-1505G3A G-line stepper 150 mm72631 NIKON NSR-1505G3A G-line stepper 150 mm
72632 NIKON NSR-1505G3A G-line stepper 150 mm72633 NIKON NSR-1505G4B G-line stepper 150 mm
72634 NIKON NSR-1505G4B G-line stepper 150 mm72635 NIKON NSR-1505G4B G-line stepper 150 mm72636 NIKON NSR-1505G4C G-line stepper 150 mm72637 NIKON NSR-1505G4C G-line stepper 150 mm72638 NIKON NSR-1505G4C G-line stepper 150 mm72639 NIKON NSR-1505G4C G-line stepper 150 mm72640 NIKON NSR-1505G4C G-line stepper 150 mm72641 NIKON NSR-1505G4C G-line stepper 150 mm72642 NIKON NSR-1505G4C G-line stepper 150 mm72643 NIKON NSR-1505G4C G-line stepper 150 mm72644 NIKON NSR-1505G4C G-line stepper 150 mm72645 NIKON NSR-1505G4C G-line stepper 150 mm72646 NIKON NSR-1505G4C G-line stepper 150 mm72647 NIKON NSR-1505G6D G-line stepper 150 mm68582 Nikon NSR-1505G6E Stepper 125mm72648 NIKON NSR-1505G7E G-line stepper 150 mm72649 NIKON NSR-1505G7E G-line stepper 150 mm68602 Nikon NSR-1505i6A Stepper 200mm74670 Nikon NSR-1755G7A G Line stepper 672650 NIKON NSR-1755G7A G-line stepper 150 mm72651 NIKON NSR-1755G7A G-line stepper 150 mm72652 NIKON NSR-1755G7A G-line stepper 150 mm72653 NIKON NSR-1755G7A G-line stepper 150 mm72654 NIKON NSR-1755G7A G-line stepper 150 mm72655 NIKON NSR-1755G7A G-line stepper 150 mm
72656 NIKON NSR-1755G7A G-line stepper 150 mm72657 NIKON NSR-1755G7A G-line stepper 150 mm72658 NIKON NSR-1755I8A I-line stepper 150 mm74671 Nikon NSR-2005i10c I line stepper 674672 Nikon NSR-2005i10c I line stepper 672659 NIKON NSR-2005I10C I-line stepper 150 mm72660 NIKON NSR-2005I10C I-line stepper 150 mm64163 Nikon NSR-2005i10D Stepper 150mm72665 NIKON NSR-2005I11C I-line stepper 150 mm
72661 NIKON NSR-2005I11C I-line stepper 150 mm72662 NIKON NSR-2005I11C I-line stepper 150 mm
72663 NIKON NSR-2005I11C I-line stepper 150 mm72664 NIKON NSR-2005I11C I-line stepper 150 mm74673 Nikon NSR-2005i11D I line stepper 675493 Nikon NSR-2005i11D i-Line Stepper 15075494 Nikon NSR-2005i11D i-Line Stepper 20075495 Nikon NSR-2005i11D i-Line Stepper 20074674 Nikon NSR-2005i8A I line stepper 874675 Nikon NSR-2005i9C I-Line Stepper 674676 Nikon NSR-2005i9c I-Line Stepper 674677 Nikon NSR-2005i9C I-Line Stepper 674678 Nikon NSR-2005i9C I-Line Stepper 674679 Nikon NSR-2005i9C I-Line Stepper 876068 Nikon NSR-2205 i14E2 i line stepper 876069 Nikon NSR-2205 i14E2 i line stepper 876070 Nikon NSR-2205 i14E2 Stepper 876071 Nikon NSR-2205 i14E2 Stepper 818506 Nikon NSR-2205EX12B DUV Photolithography exposure too 200 mm18505 Nikon NSR-2205EX12B DUV Photolithography exposure too 200 mm17866 Nikon NSR-2205EX12B DUV Photolithography exposure too 200 mm75496 Nikon NSR-2205EX14C 248nm Stepper 20075497 Nikon NSR-2205EX14C 248nm Stepper 20068605 Nikon NSR-2205i11C Stepper 200mm74682 Nikon NSR-2205i11D I line stepper 6
74680 NIKON NSR-2205i11D I line stepper 874681 Nikon NSR-2205i11D I line stepper 874683 Nikon NSR-2205i11D I line stepper 868607 Nikon NSR-2205i12D Stepper 200mm68608 Nikon NSR-2205i12D Stepper 200mm68609 Nikon NSR-2205i12D Stepper 200mm75498 Nikon NSR-4425i i-Line Stepper 20075499 Nikon NSR-4425i i-Line Stepper 20075500 Nikon NSR-4425i i-Line Stepper 20075501 Nikon NSR-4425i i-Line Stepper 20068612 Nikon NSR-4425i Stepper 200mm
63733 NIKON NSR-S203B SCANNER 200mm75509 Nikon NSR-S204B 248nm Scanner 200
23670 Nikon NSR-S204B DUV Lithography Scanner 200 mm74684 Nikon NSR-S204B KrF Scanner 874685 Nikon NSR-S204B KrF Scanner 874686 Nikon NSR-S204B KrF Scanner 874687 Nikon NSR-S204B KrF Scanner 874688 Nikon NSR-S204B KrF Scanner 874689 Nikon NSR-S204B KrF Scanner 874690 Nikon NSR-S204B KrF Scanner 874691 Nikon NSR-S204B KrF Scanner 874692 Nikon NSR-S204B KrF Scanner 874693 Nikon NSR-S204B KrF Scanner 823669 Nikon NSR-S204B Scanner 200 mm20966 Nikon NSR-S204B Scanner 200 mm63734 NIKON NSR-S204B SCANNER 200mm63735 NIKON NSR-S204B SCANNER 200mm58792 Nikon NSR-S204B Scanner 200 mm
24679 Nikon NSR-S204B 200 mm24680 Nikon NSR-S204B 200 mm24683 Nikon NSR-S204B 200 mm75510 Nikon NSR-SF100 i-Line Stepper 20075511 Nikon NSR-SF100 i-Line Stepper 20075512 Nikon NSR-SF100 i-Line Stepper 20075513 Nikon NSR-SF100 i-Line Stepper 200
75514 Nikon NSR-SF100 i-Line Stepper 20063736 NIKON NSR-SF100 STEPPER 200mm63737 NIKON NSR-SF100 STEPPER 200mm68603 Nikon NSR200519C Stepper 200mm70871 Nikon NSR200519C STEPPER 37761 Nikon NSR2005i10C i-Line Stepper 200 mm49084 Nikon NSR2005i10c i-Line Stepper 200 mm37766 Nikon NSR2005i11C i-Line Stepper 200 mm75906 Nikon NSR2005i8A 200 mm70867 NIKON NSR2005I9C STEPPER68604 Nikon NSR2005I9C Stepper 200mm
69939 Nikon NSR2205 i14E i-line stepper 200 mm50453 Nikon NSR2205 i9 Stepper 200mm
55928 Nikon NSR2205i11D i-line stepper 200 mm68606 Nikon NSR2205I11D Stepper 200mm70870 Nikon NSR2205I11D STEPPER
75907 Nikon NSR2205i12D 200 mm73016 NIKON NSR4425I I LINE WIDE FIELD STEPPER 8"
66769 Nikon NSR4425I i-line wide field stepper 200 mm 66768 Nikon NSR4425I i-line wide field stepper 200 mm 70868 NIKON NSR4425i STEPPER70869 NIKON NSR4425i STEPPER68610 Nikon NSR4425i Stepper 200mm68611 Nikon NSR4425i Stepper 200mm17338 NIKON NSR4425I wide field stepper 200 mm72933 Nikon NWL 641 Microscope Wafer Loader55892 NIKON NWL-641 Cassette Wafer Loaders for up to 150mm Wafers62448 Nikon NWL-641 Wafer loader 150mm68100 Nikon NWL-641 Wafer surface vidual inspection 125mm
33708 NIKON Optiphot Wafer Inspection Microscope62497 Nikon Optiphot 150 Microscope 150mm55910 NIKON Optiphot 150 Wafer Inspection Microscope, 10X, 20X, 50X, 100X Objectives, 150mm XY Stage34286 Nikon Optiphot 200 Inspection Microscope 61196 NIKON Optiphot 200 Wafer Inspection Microscope74840 Nikon Optiphot 200D Microscope 865928 Nikon OPTIPHOT 300D Inspection OPTIPHOT 300mm67084 Nikon Optiphot 300D Wafer Inspection Microscope 300mm 74841 Nikon OPTIPHOT 66 Microscope 5,661197 NIKON Optiphot 88 Binocular Microscope with 5X, l0X, 2 200 mm53089 Nikon OPTIPHOT 88 Microscope26598 Nikon Optiphot-88 Microscope68781 Nikon OPTIPHOT150 Loading Microscope (Before B003) 150mm74842 Nikon OPTIPHOT150 Microscope74843 Nikon OPTIPHOT150S Microscope74855 Nikon OPTIPHOTO 66 Microscope33711 NIKON Optistation 2A Automatic Wafer Inspection Systems for 75mm- 150mm Wafers, Auto Focus, 4ea Available61170 NIKON Optistation 3 Automatic Wafer Inspection System 150 mm34066 Nikon Optistation 3 Microscope75963 Nikon Optistation 3 MICROSCOPE 200 MM
73228 Nikon Optistation 3200 Optical Review System 12"73229 Nikon Optistation 3200 Optical Review System 12"66416 Nikon Optistation 3A
66417 Nikon Optistation 3A66418 Nikon Optistation 3A
66419 Nikon Optistation 3A66420 Nikon Optistation 3A69249 Nikon Optistation V Automated Inspection Station74844 Nikon Optistation3100 Wafer Inspection 874845 Nikon Optistation5 Micorscope (Review Station) 874846 Nikon Optistation5 Microscope (Review Station) 832206 NIKON RETICLE BOX 5 INCH 5 INCH RETICLE BOX 5 INCH67112 Nikon Retic le R2205HMF 33998 Nikon S302A ArF 193 nm DUV SCANNER 300 MM
75731 NIKON S305A DUV SCANNER67115 Nikon SB-20204AF lamp power supply
72990 NIKON SF100 PHOTOLITHOGRAPHY EXPOSURE 8"72991 NIKON SF100 PHOTOLITHOGRAPHY EXPOSURE 8"72992 NIKON SF100 PHOTOLITHOGRAPHY EXPOSURE 8"72993 NIKON SF130 PHOTOLITHOGRAPHY EXPOSURE 8"74847 Nikon SMZ-10 Microscope 5,674848 Nikon SMZ-10 Microscope 5,674849 Nikon SMZ-10 Microscope 5d674850 Nikon SMZ-U Sterescopic Zoom Microscope 874851 Nikon SMZ-U Sterescopic Zoom Microscope 861009 NIKON SMZ-U ZOOM MICROSCOPE65941 Nikon SMZ645 Stereomicroscope67918 Nikon SSM-CXM-50 Boom Stereoscopic Microscope incl.Assembly69308 Nikon UM-2 Measurescope67117 Nikon Ushio power supply67783 Nikon V-10 Optical Comparator55891 NIKON V-12 Optical Comparator67782 Nikon V-12 Optical Comparator74854 Nikon V-14 PROJECT PROFILE67779 Nikon V-16 Optical Comparator56821 Nikon V20A profile Projector Optical Comparator 75964 Nikon VLS-1-201 MICROSCOPE 200 MM64341 Nikon VMR 3020 Video Measuring System 60048 Nikon X6PDER-UBD Microscope 200 mm
60049 Nikon X6PDER-UBD Microscope 200 mm60050 Nikon X6PDER-UBD Microscope 200 mm
60051 Nikon X6PDER-UBD Microscope 200 mm74852 Nikon X6PF-UBD Microscope 5
74853 Nikon X6UW UNR (HFX-DX) Microscope67116 Nikon Y Linear motor controller62065 Nikon i12D Stepper69326 Nikon MM-60 Measurment Microscope53078 Nikon MM40 Measurment Microscope34510 NIKON MODEL 640 AUTO LOADERS 200mm70002 Nikon MX-50 Microscope
38504 Nikon NexIV VMR-C4540 Wafer Carrier Measurement System 300mm75148 Nikon NexIV VMR-C4540 Wafer Carrier Measurement System
15831 Nikon NSR S203B DUV SCANNER 200 MM71770 Nikon NSR2205i11D I-Line Stepper Fully Refurbished
34511 Nikon NWL 851 AUTO LOADERS FOR SCOPES 200mm71116 Nikon Optiphot 66 Microscope34508 NIKON OPTIPHOT 66 200mm34509 NIKON OPTIPHOT 66 200mm71115 Nikon Optiphot 66 Microscope14570 Nikon Optiphot 88 Microscope 200 mm16275 Nikon Optistation 3 Wafer Inspection System16502 Nikon Optistation 3A Automatic Wafer Inspection Station 35882 Nikon OPTISTATION V WAFER INSPECTION SYSTEM60757 Nikon SMZ-2T Microscope with C-0.45x TV Lens49807 Nikon V12 Profile Projector72065 Nikon V12BD NIKON MODEL V-12BD 12" VERTICAL PROJECTION OPTICAL COMPARATOR61296 NIKON INSTRUMENTS 3A OPTISTATION 200mm61350 NIKON INSTRUMENTS OPTI VII MICRO/MACRO INSPECTION SCOPE300mm61237 NIKON PRECISION INC AM-601D RETICLE INSPECTION61275 NIKON PRECISION INC BODY 11 STEPPER I-LINE 200mm61276 NIKON PRECISION INC BODY 12 STEPPER I-LINE 200mm61277 NIKON PRECISION INC BODY 9 STEPPER I-LINE 200mm61278 NIKON PRECISION INC BODY 9 STEPPER I-LINE PI 200mm61279 NIKON PRECISION INC S204 248nm (0.68NA) SCANNER 200mm61284 NIKON PRECISION INC S204 248NM (0.68NA) SCANNER 300mm66717 NIKON PRECISION INC S205 248NM (0.75NA) SCANNER 300mm66718 NIKON PRECISION INC S307E 193NM (0.85NA) SCANNER 300mm
62225 Nikon/Irv ine Optical Ultrastation 3 Inspection System 150mm60356 Nikon/Irv ine Optical Ultrastation 3 Inspection System 150 mm
62234 Nikon/Irv ine Optical Ultrastation 3.L Brightlight Inspection System 200mm60355 Nikon/Irv ine Optical Ultrastation 3.L Brightlight Inspection System 200 mm65953 NINEX POLISHING58827 Nippon Avionics.co.ltd NAW-1105 Seam welder68651 Nisshin NH20SR Midium Current 125mm68652 Nisshin NH20SR Midium Current 125mm62391 Nissin NH-20SR Medium current implanter 150mm62713 Nissin NH-20SR55995 Nissin NH20SR Implanter 150 mm
27812 Nissmin Vacuum Gauge32216 Nitto 1603502 TAPE SPOOL PARTS
32214 Nitto 44941001 TAPE SPOOL PARTS75781 Nitto DR 8500 Mark 2 Taper 200 mm23171 NITTO DR8500 TAPER 200 mm 73045 Nitto DR8500 11 Nitto Taper35991 Nitto DR8500 II Taper 200mm32212 Nitto DR8500-2 INSTRUCTION MANUAL MANUAL70064 NITTO DR8500II taper70980 Nitto HR-8500 Nitto De-Taper 71101 Nitto HR-8500 11 De-Taper59633 NITTO HR6304 Detaper 150 mm66573 NITTO HR6304 Wafer Detaper38387 NITTO HR8500 DE TAPER 200 mm 32210 Nitto HR8500-2 INSTRUCTION MANUAL MANUAL65910 NITTO MA-1508N Tape Mounter73049 Nitto MA1508N Wafer Mounter 8 inch49809 Nitto N-2 SKH-51 Rotary Cutter Blades73048 Nitto DR and HR 8500-11 Nitto Taper and De-Taper Set 74072 NITTO DR8500-II automatic taper 200 mm69338 Nitto HR 8500-111. Nitto De-Taper71495 Nitto HR&DR 8500-11 Nitto Taper and De-Taper70065 NITTO MA-1508N TAPER34278 Nitto MH 8500 Wafer Mounter 200 mm70066 NITTO MSA840 WAFER MOUNTER
10046 NITTO DENKO D-304 Automatic Wafer Taper74172 Nitto Denko DR8500II Taper 410047 NITTO DENKO H-304 Automatic Wafer Detaper74173 Nitto Denko MA1508N Wafer Mounter 3,4,5,6,874174 Nitto Denko MA1508N Wafer Mounter 3,4,5,6,874175 NITTO DENKO NEL MA3000a Detaper 8, 1213134 nitto kohki vp0660-v1003-a1-0001 medo vacuum pump70293 Nitto Seiki HR8500-II De-Taper69378 Nitto Seiki NEL-DR8500-II Taper 125mm69379 Nitto Seiki NEL-HR8500-II De-Taper 125mm23046 Noah Precis ion 2020 Chiller58238 Nomura NDB-3 CO2 Bubbler65954 NOMURA NDB-II CO2 Bubbler54511 Nomura NDB-SB2 CO2 Bubbler54504 Nomura NDB3 Micro Science CO2 Bubbler54528 Nomura Micro NDB-R CO2 Bubbler32162 Nomura Micro Science NDB-3 Super Bubbler 371618 NORAN 683A1SPS EDX54144 Noran Voyager Series ? Energy Dispersive X-ray Microanalysis System23286 Noran Instruments Voyager EDX23321 Noran Instruments Voyager EDX74073 Nordson Asymtek M-2020 Adhesive Dispenser assembly72166 Norgren VE1008DK423---000 Ve10 D/Net With Interlocks53261 Nova Novascan Novascan 21053146 NOVA 210 CMP ENDPOINT MEASUREMENT SY200 mm70489 Nova Measuring Instruments,NovaScan 3090 Next Integrated CMP Endpoint / Film Mea 300 mm70490 Nova Measuring Instruments,NovaScan 3090 Next Integrated CMP Endpoint / Film Mea 300 mm76041 Novascan 420 CMP measurement system66086 NovaStar 1800HC Reflow Oven
50207 NovaStar Reflow oven, 4 zone, with exhaust & cool down station54007 Novelius-Gasonics-IPC A3010 Dry Asher11071 NOVELLUS 02-00345-01/REVT Novellus Gas Cabinet by e-Flow66390 Novellus 67666391 Novellus 67666392 Novellus 67674244 Novellus ALTUS CVD 8
35783 NOVELLUS ALTUS CVD W-depo 200mm70173 NOVELLUS ALTUS S CVD 200 mm64367 NOVELLUS AURIGA-C SPPEDFAM AURIGA OXIDE CMP63631 Novellus C1 PECVD Dep 100mm63632 Novellus C1 PECVD Dep 150mm66175 Novellus C1 TUNGSTEN CVD 150 MM66353 Novellus C1 TUNGSTEN CVD 200 MM75285 Novellus C1 - Dielectric PECVD (Chemical Vapor Deposition 15075286 Novellus C1 - Dielectric PECVD (Chemical Vapor Deposition 15069218 Novellus C1 TEOS 150 mm67688 Novellus C1 TEOS Tool-634365 Novellus C1-200 CVD 200mm35906 Novellus C1-D DCVD 8"75287 Novellus C1-W Metal CVD (Chemical Vapor Deposit 15070170 Novellus C2 ALTUS CVD 200 mm70175 Novellus C2 ALTUS CVD W 200 mm70171 Novellus C2 ALTUS W CVD 200 mm70179 Novellus C2 ALTUS W CVD 200 mm70180 Novellus C2 ALTUS W CVD 200 mm70181 Novellus C2 ALTUS W CVD 200 mm74245 Novellus C2 ALTUS Dual STD WCVD 874246 Novellus C2 ALTUS Single STD WCVD 875288 Novellus C2 Dual Altus Metal CVD (Chemical Vapor Deposit 20075289 Novellus C2 Dual Altus Metal CVD (Chemical Vapor Deposit 20075290 Novellus C2 Dual Altus Metal CVD (Chemical Vapor Deposit 20075291 Novellus C2 Dual Altus Metal CVD (Chemical Vapor Deposit 20075292 Novellus C2 Dual Altus Metal CVD (Chemical Vapor Deposit 200
75293 Novellus C2 Dual Altus Metal CVD (Chemical Vapor Deposit 20075782 Novellus C2 Dual Altus Tungsten CVD, 2 chamber, non shri 200 mm
74247 Novellus C2 Dual ALTUS Shrink WNIT 875294 Novellus C2 Dual Sequel PECVD (Chemical Vapor Deposition 20075296 Novellus C2 Dual Sequel PECVD (Chemical Vapor Deposition 20067691 Novellus C2 Dual Sequel Tool Standard Chambers 200mm74248 Novellus C2 Dual Speed CVD 874249 Novellus C2 Dual SPEED HDP CVD 871283 Novellus C2 Inova Copper damascene deposition 200 mm71282 Novellus C2 Inova Copper damascene deposition 200 mm64188 NOVELLUS C2 SEQUEL SHRINK CVD 200mm
64189 NOVELLUS C2 SEQUEL SHRINK CVD 200mm69804 Novellus C2 sequel s ingle shrink CVD, TEOS 200 MM74250 Novellus C2 Single ALTUS Shrink WCVD 874251 Novellus C2 Single ALTUS Shrink WCVD(PNL) 875297 Novellus C2 SPEED HDP CVD (Chemical Vapor Depositi 20075298 Novellus C2 SPEED HDP CVD (Chemical Vapor Depositi 20075299 Novellus C2 SPEED HDP CVD (Chemical Vapor Depositi 20058930 Novellus C2 SPEED HDP CVD (Chemical Vapor Depositi 200 mm75797 Novellus C2 Speed (Chambers) 2 x speed non-shrink chambers onl 200 mm75784 Novellus C2 Speed (Chambers) 2 x speed shrink chambers only 200 mm76044 Novellus C2 Speed max CVD 300 mm76043 Novellus C2 speed Standard CVD - parts machine 300 mm
74252 Novellus C2 Triple SPEED HDP CVD 875300 Novellus C2 Triple SPEED HDP CVD (Chemical Vapor Depositi 200
75301 Novellus C2 Triple SPEED HDP CVD (Chemical Vapor Depositi 20053345 Novellus C2 Triple SPEED Null in AT 200 mm
75302 Novellus C2 Triple SPEED NeXT HDP CVD (Chemical Vapor Depositi 20075303 Novellus C2 Triple SPEED NeXT HDP CVD (Chemical Vapor Depositi 20068448 Novellus C2-SPEED-S P-CVD (HDP) 200mm75304 Novellus C3 SPEED HDP CVD (Chemical Vapor Depositi 30070563 Novellus C3 Speed NeXT HDP CVD 300 mm74253 Novellus C3 Triple SPEED NExT CVD 1274254 Novellus C3 Triple SPEED NExT HDP CVD_ILD 12
74255 Novellus C3 Triple SPEED XT STI 1222748 Novellus Concept One W(200) CVD Tungsten 200mm69802 Novellus Concept 1 CVD 200 mm62309 Novellus Concept 1 CVD Tungsten 200mm60396 Novellus Concept 1 CVD Tungsten 200 mm70163 NOVELLUS CONCEPT 1 PE NITRIDE CVD 200 mm70157 NOVELLUS CONCEPT 1 PE TEOS CVD 200 mm70158 NOVELLUS CONCEPT 1 PE TEOS CVD 200 mm55997 Novellus Concept 1 SiN CVD 150 mm75980 Novellus Concept 2 HDP CVD IMD Nanofill process75981 Novellus Concept 2 HDP CVD IMD Nanofill process69812 Novellus Concept 2 Single sequel shrink system, TEOS 200 MM69813 Novellus Concept 2 Single sequel shrink system, TEOS process 63671 NOVELLUS CONCEPT 2 ALTUS CONCEPT TWO-DUAL ALTUS-S CV200mm71813 Novellus Concept 2 Altus CVD 200 mm63670 NOVELLUS CONCEPT 2 ALTUS NOVELLUS SYSTEM, CONCEPT 2, 200mm63669 NOVELLUS CONCEPT 2 ALTUS SYSTEM CONCEPT TWO DUAL ALT 200mm63672 NOVELLUS CONCEPT 2 ALTUS SYSTEM, CONCEPT 2 ALTUS 2 CH 200mm63673 NOVELLUS CONCEPT 2 ALTUS SYSTEM, CONCEPT TWO DUAL AL 200mm63674 NOVELLUS CONCEPT 2 ALTUS SYSTEM, CONCEPT TWO DUAL AL 200mm63668 NOVELLUS CONCEPT 2 ALTUS SYSTEM, CONCEPT TWO-DUAL AL 200mm63675 NOVELLUS CONCEPT 2 ALTUS-S 3XALTUS-S CHAMBERS 200mm55015 NOVELLUS Concept 2 Dual Sequel Deposition Equipment, PECVD (Chem200mm63680 NOVELLUS CONCEPT 2 SPEED SHRI 2xSPEED SHRINK CHAMBERS 200mm63677 NOVELLUS CONCEPT 2 SPEED SHRI 3XSPEED SHRINK CHAMBERS 200mm63678 NOVELLUS CONCEPT 2 SPEED SHRI 3XSPEED SHRINK CHAMBERS 200mm63679 NOVELLUS CONCEPT 2 SPEED SHRI 3xSPEED SHRINK CHAMBERS 200mm63681 NOVELLUS CONCEPT 2 SPEED SHRI 3xSPEED SHRINK CHAMBERS 200mm63682 NOVELLUS CONCEPT 2 TRIPLE SPEE CONCEPT TWO TRIPLE SPEED NE 200mm
60397 Novellus Concept 3 Ultra Low K CVD Vector PECVD ULK 300 mm60518 Novellus Concept 3 UV- Cure Thermal Processing Syst 300 mm29961 Novellus Concept 3 Speed CVD - HDP 300 MM71581 NOVELLUS CONCEPT ONE CVD74256 Novellus Concept One CVD 574257 Novellus Concept One CVD 574258 Novellus Concept One CVD 574259 Novellus Concept One CVD 574260 Novellus Concept One CVD 574261 Novellus Concept One CVD 574262 Novellus Concept One CVD 674263 Novellus Concept One CVD 674264 Novellus Concept one Nitride/ Oxide 5,674266 Novellus Concept one Nitride/ TEOS 574265 Novellus Concept one Nitride/ TEOS 672387 Novellus Concept One PE CVD 150 mm72388 Novellus Concept One PE CVD 150 mm72389 Novellus Concept One PE CVD 150 mm72390 Novellus Concept One PE CVD 150 mm72391 Novellus Concept One PE CVD 150 mm72392 Novellus Concept One PE CVD 150 mm72393 Novellus Concept One PE CVD 150 mm72394 Novellus Concept One PE CVD 150 mm72395 Novellus Concept One PE CVD 150 mm72396 Novellus Concept One PE CVD 150 mm72397 Novellus Concept One PE CVD 150 mm72398 Novellus Concept One PE CVD 150 mm74267 Novellus Concept one PECVD 872385 Novellus Concept One Tungsten CVD 150 mm72386 Novellus Concept One Tungsten CVD 150 mm60904 NOVELLUS CONCEPT ONE 822749 Novellus Concept Three UV- Cure Thermal Processing Syst 300mm74268 Novellus Concept Two CVD 874269 Novellus Concept Two CVD 874270 Novellus Concept Two CVD 864333 Novellus Concept two-(1 Altus cha TUNGSTEN CVD 200 MM
56771 NOVELLUS CONCEPT1 CVD (Chemical Vapor Deposition)56772 NOVELLUS CONCEPT1 CVD (Chemical Vapor Deposition)34085 Novellus DCVD CVD 6" SiN 53346 Novellus DLCM (Dual Load CassettConcept 2 DLCMShrink Configuration200 mm64544 NOVELLUS GAMMA 2100 ASHER 200 MM68267 Novellus GAMMA 2100 Asher 200mm68268 Novellus GAMMA 2130 Asher 200mm68507 Novellus GAMMA 2130 Dry Etching 200mm70872 NOVELLUS GAMMA/2100 ASHER36604 NOVELLUS GASIONICS, PEP 3510A/A(H) 200mm63715 NOVELLUS GASONICS AURA 2000LL AURA 2000 LL PROCESS CHM 200mm63716 NOVELLUS GASONICS AURA 2000LL AURA 2000 LL PROCESS CHM 200mm63717 NOVELLUS GASONICS AURA 2000LL AURA 2000 LL PROCESS CHM 200mm64431 NOVELLUS Inova PVD 200 mm35268 Novellus Inova PVD system, 2 x TTN HCM Ch, 2 x 300 mm75979 Novellus INOVA NEXT Metal PVD (Ta / Cu) 300 mm35769 Novellus IRIDIA DL(S) DUAL PR STRIP 2CH#62252 Novellus IRIDIA DL(S) DUAL STRIPPER 200mm60378 Novellus IRIDIA DL(S) DUAL STRIPPER 200 mm75118 Novellus L3510 Photoresist Stripper / Asher 870595 NOVELLUS M2000 METAL PVD 200 MM68743 Novellus MB 2 sputter Sputter 200mm71075 Novellus NeXT Metal PVD System 30061399 NOVELLUS PDL CVD68423 Novellus PDL CVD 200mm68424 Novellus PDL CVD 200mm68921 Novellus PEP 3510A
71670 NOVELLUS PEP IRIDIA DUAL CHAMBER ETCHER35767 Novellus PEP IRIDIA PR STRIP 2CH#35768 Novellus PEP IRIDIA PR STRIP 2CH#62253 Novellus PEP IRIDIA STRIPPER 200mm62254 Novellus PEP IRIDIA STRIPPER 200mm60376 Novellus PEP IRIDIA STRIPPER 200 mm60377 Novellus PEP IRIDIA STRIPPER 200 mm
75119 Novellus PEP3510A Photoresist Stripper / Asher 870873 NOVELLUS PEP3600C ASHER68269 Novellus PEP3600C Asher 200mm75783 Novellus Sabre Classic Electrochemical Copper Deposition 200 mm34705 Novellus Sabre XT Copper Plating system 200 mm34706 Novellus Sabre XT Copper Plating system 200 mm34707 Novellus Sabre XT Copper Plating system 200 mm71073 Novellus Sabre XT ECD Processing System 30076017 Novellus Solar UV Cure cluster tool74271 Novellus SPEED CVD 1266397 Novellus Speed C266398 Novellus Speed C266399 Novellus Speed C266400 Novellus Speed C266401 Novellus Speed C266402 Novellus Speed C266403 Novellus Speed C266404 Novellus Speed C266405 Novellus Speed C266393 Novellus Speed C366394 Novellus Speed C366395 Novellus Speed C366396 Novellus Speed C361398 NOVELLUS SPEED CONCEPT2 CVD68450 Novellus SPEED CONCEPT2 CVD 200mm68451 Novellus SPEED CONCEPT2 CVD 200mm70874 Novellus SPEED(3CH) HDP_Cu 12INCH68449 Novellus SPEED/HDP CVD 200mm17878 Novellus Vector PECVD 200 mm
74272 Novellus VECTOR PECVD 1274273 Novellus VECTOR PECVD 1274274 Novellus VECTOR PETEOS 1261526 Novellus C1 Novellus C1-150 Doped TEOS Diele150 mm62725 Novellus C1 SiO2 / Nitride 61525 Novellus C1 150 mm34035 NOVELLUS C1 150mm CVD54499 Novellus C1 WCVD WCVD Tool 150mm61527 Novellus C2 200 mm61524 Novellus Duraflow TEOS Cabinet50307 Novellus ILDS Teos Delivery System for Novellus Sequel 73138 NOVELLUS Concept Three Speed HDP CVD (Chemical Vapor Depositi 12"73139 NOVELLUS Concept Three Speed HDP CVD (Chemical Vapor Depositi 12"73140 NOVELLUS Concept Three Speed NE HDP CVD (Chemical Vapor Depositi 12"73141 NOVELLUS Concept Two-Dual Speed HDP CVD (Chemical Vapor Depositi 8"73135 NOVELLUS Concept Two-Dual Speed HDP CVD (Chemical Vapor Depositi 8"73136 NOVELLUS Concept Two-Dual Speed HDP CVD (Chemical Vapor Depositi 8"73142 NOVELLUS Concept Two-Single SequePECVD (Chemical Vapor Deposition 8"73143 NOVELLUS Concept Two-Triple Spee HDP CVD (Chemical Vapor Depositi 8"73133 NOVELLUS Concept Two-Triple Spee HDP CVD (Chemical Vapor Depositi 8"73134 NOVELLUS Concept Two-Triple Spee HDP CVD (Chemical Vapor Depositi 8"73075 NOVELLUS PEP Iridia DL Stripper/Asher 8"73076 NOVELLUS PEP Iridia DL Stripper/Asher 8"70562 Novellus and Applied Materi C1, C2 and P5000 CVD tools mini lot 200 mm75991 Novellus Systems Concept 1 CVD 200 mm75992 Novellus Systems Concept 1 PECVD TEOS 200 mm75993 Novellus Systems Concept 1 WCVD 200 mm75994 Novellus Systems Concept 1 WCVD 200 mm75995 Novellus Systems Concept 1 WCVD 200 mm61297 NOVELLUS SYSTEMS INC 04-8132929-00 CHEM MONITORING SYSTEM, CU61298 NOVELLUS SYSTEMS INC CONCEPT 2 W DEP 200mm61311 NOVELLUS SYSTEMS INC SABRE COPPER ELECTROPLATER, CU 300mm61299 NOVELLUS SYSTEMS INC SABRE-XT CU ELECTROPLATER AUTO 200mm66732 NOVELLUS SYSTEMS INC SINGLE ALTUS WDEP 300mm36740 Novellus Systems Inc. Concept Three Speed HDP CVD (Chemical Vapor Depositi 300mm36741 Novellus Systems Inc. Concept Three Speed HDP CVD (Chemical Vapor Depositi 300mm
70354 Novellus Systems Inc. Concept Three Speed MAX HDP CVD (Chemical Vapor Depositi 300 mm70355 Novellus Systems Inc. Concept Three Speed MAX HDP CVD (Chemical Vapor Depositi 300 mm70356 Novellus Systems Inc. Concept Three Speed NE HDP CVD (Chemical Vapor Depositi 300 mm57104 Novellus Systems Inc. Concept Two-Dual Altus-SWCVD (Chemical Vapor Deposition)57114 Novellus Systems Inc. Concept Two-Dual SequelPECVD (Chemical Vapor Deposition 200 mm56411 Novellus Systems Inc. Concept Two-Dual Speed HDP CVD (Chemical Vapor Depositi 200mm56412 Novellus Systems Inc. Concept Two-Dual Speed HDP CVD (Chemical Vapor Depositi 200mm56413 Novellus Systems Inc. Concept Two-Dual Speed HDP CVD (Chemical Vapor Depositi 200mm57112 Novellus Systems Inc. Concept Two-Triple Spee HDP CVD (Chemical Vapor Depositi 200 mm57113 Novellus Systems Inc. Concept Two-Triple Spee HDP CVD (Chemical Vapor Depositi 200 mm29854 NOVELLUS SYSTEMS INC. PEP IRIDIA ASHER57099 Novellus Systems Inc. PEP Iridia DL Stripper/Asher 200 mm70875 NOVELLUS(VARIAN) M2000/8 METAL 8"33920 Novtek NS2300 Tester, memory cycle21504 NRC 3117 Vacuum Evaporator 4"
71037 NS TIA-105
71038 NS TIA-10514744 NSK EE0408C05-25 MEGATORQUE MOTOR CONTROLLER SYSTEM20129 NSK W1201-112P-C125 BALL SCREW SET
13135 nso ea103-12 (parts for hhbt oven) mechanical seal13136 ntn aspfl201 bearing unit62458 Nuflare EGV-30GX Epi reactor 150mm62693 Nuflare EGV-30GX58838 OAI 0031-1009-02 Aligner34527 OAI 2000 SM EXPOSURE SYSTEMS MAY BE CONF200mm38445 OAI? Model 300 Calibrator74971 OEM Group MRC Eclipse mark II PVD 469882 Oerlikon CLUSTERLINE 200 PVD 200 mm69880 Oerlikon Blazers Cluster Line 200 PVD Sputtering 20058380 OHSUNG LST BK02 Oven69983 Okamoto GNX 200 Backgrinder 200 mm69711 Okamoto GNX 200 Grinder 200 mm53095 Okamoto GNX 300 Back Side Grinder 300mm 300mm64162 Okamoto GNX-200 Automatic Backgrinder up to 8 inch70877 OKAMOTO GNX-200 Back Grinder70876 OKAMOTO GNX-200 Back Grinder69471 Okamoto GNX-200 B grinder64159 Okamoto GNX-300 Automatic Backgrinder up to12 inch 63589 Okamoto GNX200 Back Grinder74176 OKAMOTO GNX200 Back Grinder 3,4,5,6,870068 Okamoto GNX200 grinder70069 Okamoto GNX200 grinder70067 Okamoto GNX300 grinder70878 OKAMOTO GNX300 Grinder 300mm61357 OKAMOTO GNX300 Grinder 300mm69381 OKAMOTO GNX300 Grinder 300mm52150 Okamoto SVG 503 MK2-8 Back Side Grinder 4"70070 Okamoto SVG502MK II-8 GRINDER65897 Okamoto SVG502MK II-8 Wafer BackGrinder34409 Okamoto VG 502MKII Back Side Grinder 74177 OKAMOTO VG-502 MK2-8 Back Grinder 3,4,5,6,821888 Okamoto VG-502MKII8 Wafer Backgrinder69986 Okamoto VG502 Mark 2 Back grinder 200 mm76028 Okamoto VG502MKII8 Grinder53092 OKAMOTO GNX 300 Backgrinder
67676 Okamoto GNX-200 Automatic Backgrinder up to 8 inch38202 Okano ICT Test System38205 Olympic Plastics conductive bins 2000 each Olympic Plastics conductive bins 4 s izes 72161 Olympus 10X Objective Neo S Plan 22750 Olympus 300702 Table Inspection Microscope N/A68782 Olympus 300702 Table Inspection Microscope 150mm60539 Olympus 300702 Table Inspection Microscope68797 Olympus AHMT3 Microscope 200mm74856 Olympus AL-100 Review Station 874857 Olympus AL-100 Review Station 872030 OLYMPUS AL-2000 FTIR 8"74858 OLYMPUS AL1 Loader microscope Loader 622751 Olympus AL100 Wafer Loader 200mm70493 Olympus AL100-L8 Microscope 6"70494 Olympus AL100-L8 Microscope 6"70495 Olympus AL100-L8 Microscope 6"70496 Olympus AL100-L8 Microscope 6"70497 Olympus AL100-L8 Microscope 6"73230 Olympus AL100-L8 Microscope 6"33712 OLYMPUS AL100-LMB8 Wafer Loader, 200mm, 8ea Available75965 Olympus AL100-MB6 MICROSCOPE 200 MM73231 Olympus AL120-LMB86 Microscope75966 Olympus AL2100 MICROSCOPE 200 MM75601 Olympus AL3310F Microscope 30075602 Olympus AL3310F Microscope 30075967 Olympus AL3310F MICROSCOPE 200 MM75968 Olympus AL3310F MICROSCOPE 200 MM
75603 Olympus AL3320 Microscope 30075604 Olympus AL3320 Microscope 30075605 Olympus AL3320 Microscope 30075969 Olympus AL3320 MICROSCOPE 200 MM75970 Olympus AL3320 MICROSCOPE 200 MM75971 Olympus AL3320 MICROSCOPE 200 MM22752 Olympus BH Microscope N/A68783 Olympus BH Microscope 150mm60540 Olympus BH Microscope74859 OLYMPUS BH-2 Microscope51764 Olympus BH-2 Microscope with additional Lenses69810 Olympus BH-2 Microscope74860 OLYMPUS BH2 Microscope74861 OLYMPUS BH2 Microscope75972 Olympus BH2 MICROSCOPE 200 MM74862 OLYMPUS BH2-MJL Microscope65934 Olympus BH2-UMA Metaloscope Measurescope71784 Olympus BH2-UMA Universal Illuminator 74863 OLYMPUS BH2-VMA Microscope74864 OLYMPUS BH2-VMA Microscope74865 OLYMPUS BH2-VMA Microscope65931 Olympus BH3-MJL Inspection Industrial Microscope 200mm68784 Olympus BH3-MJL Microscope 150mm74866 OLYMPUS BH3-MJL Microscope67776 Olympus BHA Relfected and Transmitted Light67775 Olympus BHC Relfected and Transmitted Light74867 OLYMPUS BHM Microscope33713 OLYMPUS BHM Wafer Inspection Microscope with NeoSPlan 5X, 10X, 20X & 50X Objectives74868 OLYMPUS BHM163L Microscope 5,674870 OLYMPUS BHMJL Microscope 5,674869 OLYMPUS BHMJL Microscope65935 Olympus BX41M Metaloscope71234 Olympus BX51 Olympus Metallurgical Microscope71440 Olympus BX51TRF Olympus Metallurgical Microscope65936 Olympus BX60M Olympus Metallurgical Microscope65938 Olympus CH30 Biological Microscope
65937 Olympus CKX41-binocular Inverted phase-contrast microscop Microscope67595 Olympus FR3210 Optical Review System31642 Olympus Microscope Head Microscope Head69809 Olympus MX-50 Microscopes65930 Olympus MX50 Inspection MX50 Olympus 200mm75146 Olympus MX50 Metallurgical Microscop68101 Olympus MX50/AL100 Wafer surface vidual inspection 125mm68102 Olympus MX50/AL100 Wafer surface vidual inspection 125mm68103 Olympus MX50/AL100 Wafer surface vidual inspection 125mm68104 Olympus MX50/AL100 Wafer surface vidual inspection 125mm68105 Olympus MX50/AL100 Wafer surface vidual inspection 125mm68106 Olympus MX50/AL100 Wafer surface vidual inspection 125mm68107 Olympus MX50/AL110 Wafer surface vidual inspection 125mm68108 Olympus MX50/AL110 Wafer surface vidual inspection 125mm56895 Olympus MX61 Inspection Microscope assembly73232 Olympus MX61 Microscope68109 Olympus MX61/AL110 Wafer surface vidual inspection 125mm68110 Olympus MX61/AL110 Wafer surface vidual inspection 125mm69720 olympus MX80-F microscope68785 Olympus SF1060 Substance Microscope (New) with 150mm68786 Olympus SF1060 Substance Microscope (New) with 150mm65926 Olympus STM Measure Microscope65924 Olympus STM6-LM Measure Microscope54236 Olympus STM6-LM Microscope65925 Olympus STM6-LM-F31-2 Measure Microscope74871 OLYMPUS SZ-PT Microscope70007 Olympus SZ30 Stereo Microscope33714 OLYMPUS SZ3060 StereoZoom Microsope 74872 OLYMPUS SZ40 Microscope68787 Olympus SZ4045? Substance Microscope (New) with 150mm68788 Olympus SZ4045? Substance Microscope (New) with 150mm68789 Olympus SZ4045? Substance Microscope (New) with 150mm68790 Olympus SZ40x2, SZx1 Substance Microscope (New) with 150mm68791 Olympus SZ40x2, SZx1 Substance Microscope (New) with 150mm69472 Olympus SZ5174873 OLYMPUS SZ60 Microscope 4,5,6
74874 OLYMPUS SZ60 Microscope 4,5,674875 OLYMPUS SZ60 Microscope 4,5,665942 Olympus SZ60 Stereomicroscope74876 OLYMPUS SZH Microscope 3,4,568111 Olympus SZX7/AL110 Wafer surface vidual inspection 125mm71781 Olympus U-PMTVC Camera Adaptor68659 Olympus VA-950 Critical Dimension 125mm68798 Olympus VANOX-T Microscope 200mm68792 Olympus VANOX, AHMT3 Microscope 150mm51045 Olympus 208157 Microscope15207 Olympus AL100-B8 Programmable Wafer Loader 200 mm
71782 olympus BH3-5NRE-M Nose Turret 51046 Olympus SZ6045CHI StereoZoom Microscope with Built-In Coaxial Illuminator
60974 OLYMPUS OPTICAL BX60F5 SCOPH13032 OM 5rk40gn-am AC magnetic brake motor75812 OME-TOP 3428 Binocular Stereo Microscope 21508 Omega Thermometer Digital Thermometer 59289 Omni Flo Omni Flo 10 Reflow Oven63548 Omniflow 7 7 Zone reflow oven 14411 Omron 3G2A3-IA221 INPUT MODULE 4POINT14410 Omron C200H-ID21514409 Omron C200H-OD215 OMRON C200H-OD215 OUTPUT MODULE 32POINT TRANSISTOR19338 omron c500-dum01 3g2a5-dum0programmable controller14492 Omron C500-DUMO1 SYSMAC PROGRAMMABLE CONTROLLER13197 omron cvm1-pa208 power supply unit17101 OMRON CVM1-PR001-E PROGRAMMING CONSOLE19167 OMRON E3C-JC4 AMP UNIT
14420 OMRON E3C-S10 OMRON PHOTOELECTRIC SWITCH19165 OMRON E3XR-CE4 AMP UNIT14515 OMRON E5CJ-Q2-F TEMPERATURE CONTROLLER MULTI RANGE19155 OMRON G32A-A40 POWER DEVICE CARTRIDGE72177 Omron G3PB-225B-VD Solid State Relay19168 OMRON H3BA TIMER19340 OMRON H3BA TIMER SWITCH13126 omron h5cn-xbn digital timer13199 omron r88d-rp20 ac servo driver13195 omron r88d-ua02ha servo driver13194 omron r88d-ua03ha servo driver13196 omron r88d-ua08ha servo driver75120 Omron SL473F Wafer Laser Marker 859162 Omron/CKD VP-1000 Solder Paste Inspection33758 ON SITE GAS SYSTEMS N-20 N2 Generator with 100 Gallon Storage Tank66369 Ono Sokki CL-250 Non-Contact Thickness Meter 150mm
70077 Ontec XS2500 X-Ray System 69289 OnTrak DSS-200 Double-Sided Scrubber75785 Ontrak / Lam DSS 200 Post CMP brush cleaning track 200 mm71700 OPG Smart Scope Video Measuring System
63600 Opto-Metric Tools, Inc? Rotary Table70879 OPTONICS EMISCOPEII75152 OPTOP PS-930G Trinocular Stereo Microscope62402 ORBOT WF-720 DEFECT SCANNER 150mm74877 ORBOT WF720 Metrology 674878 ORBOT WF730 Metrology 674879 Orbotech LC-3090 Pattern inspection 370x47059225 Orbotech TRION 2340HD AOI59226 Orbotech VT8000X AOI Machine73085 ORBOTECH Symbion P36 Plus Solder Paste Inspection73086 ORBOTECH Symbion P36 Plus Solder Paste Inspection73087 ORBOTECH Symbion P36 Plus Solder Paste Inspection73088 ORBOTECH Symbion P36 Plus Solder Paste Inspection76032 ORC VUM-3359C UV Eraser 200 mm21123 ORIEL 68805 POWER SUPPLY 40-200 WATTS F FACILITIES15900 ORIENTAL MOTOR 21k6GK-A2 INDUCTION MOTOR
19145 ORIENTAL MOTOR 21K6GN-A INDUCTION MOTOR20134 oriental motor 21K6RGK-AR INDUCTION MOTOR19175 ORIENTAL MOTOR 2RJ46B-A REVERSIBLE MOTOR19142 ORIENTAL MOTOR 2RK6GK-AR REVERSIBLE MOTOR19174 ORIENTAL MOTOR 2RK6GK-AR REVERSIBLE MOTOR20133 oriental motor 3rk15rgk-a2 reversible motor13200 oriental motor 3tk6gn-a torque motor13049 oriental motor 4gk5k gear head27810 Oriental Motor 4GN30 Gear head for oriental motor14144 oriental motor 4ik25a-a induction motor, new.19297 ORIENTAL MOTOR 4IK25RA-25RB WORM GEAR MOTOR13206 oriental motor 4lf45n-2 linear head20132 oriental motor 4rk25gk-a2 reversible motors20131 oriental motor 4rk25gk-a2m reversible motors13070 Oriental motor 4rk25gn-am ac magnetic brake motor13021 Oriental Motor 4RK25RGK-AM Reversible Motor
13157 oriental motor 4rk25rgn-a speed control motor14147 oriental motor 4rk25rgn-am ac magnetic brake, speed control motor.20135 oriental motor 5RK40GK-A2 REVERSIBLE MOTOR15901 ORIENTAL MOTOR 5RK40GN-A REVERSIBLE MOTOR
13020 Oriental Motor 5RK40RGK-AM Reversible Motor14412 Oriental Motor 6LF20-1A Linear Head19337 ORIENTAL MOTOR 6LF20-1A LINEAR HEAD19184 ORIENTAL MOTOR 6RK60RGK-AM Oriental Motor Reversable 13067 Oriental motor 6rk60rgk-am reversible motor with capacitator19126 ORIENTAL MOTOR MBM425-411 AC magnetic brake motor19180 ORIENTAL MOTOR OGSNOK MOTOR19131 ORIENTAL MOTOR PB204-101 REVERSIBLE MOTOR14143 oriental motor pk569-nac vexta 5phase stepping motor13125 Oriental motor see comments gear head13066 oriental motor see comments gear heads15296 ORIENTAL MOTOR SEE COMMENTS REVERSIBLE MOTOR14154 oriental motor SMR31-ZP brake pack14496 ORIENTAL MOTOR SS21L SPEED CONTROL PACK13124 Oriental motor ss31-hr control pack13065 Oriental motor ss31ma-j control pack
17009 ORIENTAL MOTOR SS3M1MA-J CONTROL PACK20006 ORIENTAL MOTORS 6RK6ORGK-AM REVERSIBLE MOTOR51032 Orion Research 601A Digital Ion Analyzer67514 Orthodyne 2033640 ORTHODYNE 20B Manual Heavy Wire Bonder70554 orthodyne 360A Manual heavy Wire Bonder70313 orthodyne 360A Manual heavy Wire Bonder70553 Orthodyne 360B Manual heavy Wire Bonder60289 Orthodyne 20B Ultrasonic Small Wire Bonder60288 Orthodyne 20R Ultrasonic Ribbon Wire Bonder69979 orthodyne OE360C Heavy Wire Bonder 23053 Osaka TD2001 Turbo Pump Controller23057 Osaka TS443B-04 Turbo Pump with VAT Valve for Applied Materials Producer System 23058 Osaka TS443BW Turbo Pump with VAT Valve for Appli PUMP71757 Osaka TG1810 Turbo Pump pump61531 Osaka TG2400MBWB-40 Turbo Pumps
50279 OSI 2100 Overlay and CD Measurement System36605 OSI METRA-2200M/FA OVERLAY MEASUREMENT SYSTEM 200mm36606 OSI METRA-2200M/FA OVERLAY MEASUREMENT SYSTEM 200mm16882 OSRAM L 8W/23 WHIT LAMP65955 OSUNG LST OS-OVN11-BK02W D/A68232 Osung LST OS-THB01-C10W Temp & Humid Test Chamber68233 Osung LST OS-THB01-C10W Temp & Humid Test Chamber67202 OXFORD 80 REACTIVE ION ETCHER (RIE) 67203 Oxford 90 REACTIVE ION ETCHER (RIE) 70632 Oxford Ionfab 300 Beam Etch and Deposition System 200mm75732 Oxford Plasma Pro 800 + PECVD
69766 Oxford Plasmalab 133 Dry etching system (ICP-RIE) 4 inch and 2 inch69767 Oxford Plasmalab 800 Plus Dry etching system - parallel plate 4 inch and 2 inch69768 Oxford Plasmalab 800 plus PECVD 4 inch and 2 inch61260 OXFORD INSTRUMENTS PLASMALAB U800+ PLASMA DRY ETCHER? 300mm71760 Oxford Plasma Technology DP-80 Parallel Chamber Deposition system73089 Oyo Electric Co., Ltd Aitos ATS1000/ ITOS-750A Image Sensor Tester n/a73090 Oyo Electric Co., Ltd Aitos ATS1000/ ITOS-750A Image Sensor Tester n/a57041 PAC PV-G18 Vacuum Sealer26585 Pacific Power Control Inc. 750-734129-000 50A / phase line conditioner FACILITIES50980 Palomar 2460-V Automatic Wire Bonder51083 Palomar 2460-V Automatic Wire Bonder50981 Palomar 2470-V Automatic Wedge Wire Bonder51084 Palomar 2470-V Automatic Wedge Wire Bonder71925 Palomar 3500-II Automatic Pick & Place Die Bonder61167 Panasonic CM Placer 67885 Panasonic CM212A Chip Mounter67886 Panasonic CM212A Chip Mounter67888 Panasonic CM402-M Chip Mounter67889 Panasonic CM402-M Chip Mounter67890 Panasonic CM602L Chip Mounter71244 Panasonic DM60M-H Die Bonder71245 Panasonic DM60M-H Die Bonder71246 Panasonic DM60M-H Die Bonder
71210 Panasonic DM60M-H Multichip Die Bonder68479 Panasonic E-628 (Deep Si) Dry Etching ICP 150mm
68460 Panasonic E625 Dry Etcher Poly (ICP) 125mm64071 Panasonic FCB3 NM-SB50A Flip Chip Bonder 150 mm, 200 mm, 300 mm
59266 Panasonic MPA-V269916 Panasonic MSM022A1EU AC Servo Motor67891 Panasonic Panasert HD3F Glue Dispenser75811 Panasonic SMT LINE Complete Line including feeders71838 Panasonic SP22P-M Screen Printer71166 Panasonic VC45C-D AOI machine 64346 Panasonic WV-CP230/G Colour CCTV Camera 21129 Panasonic 44W x 36P Embossed Component Feeder66166 Panasonic DM 60MH die attach assembly
63092 Panasonic FCB-2S Flip Chip Bonder66085 Panasonic HW27U-HF Gold Ball Wire Bonder
19172 PANOSONIC MSD083A1XG AC SERVO DRIVER19173 PANOSONIC AC SERVO MOTOR14493 PARKER OEM350RC-10150 PARKER COMPUMOTOR Driver31650 Parker BH4-60 Parker Series 60 Brass Quick release coupling23325 PARTICLE MEASURING SYSTMINILAS-310 PARTICLE MEASURING SYSTEM WITH PRINTER34543 PEEK PROCESS BOATS 200MM SLOTTED PROCESS BOATS,200mm74694 Perkin Elmer 300HT Microalign mask aligner 574695 Perkin Elmer 300HT Microalign mask aligner 574696 Perkin Elmer 300HT Microalign mask aligner 571791 Perkin Elmer 4410 Sputtering System 67468 Perkin Elmer 4450 * 3 delta targets, DC and RF P/S 56054 Perkin Elmer Auger 600 Auger Electron Microscope68583 Perkin Elmer MICRALIGN 240 Mask Aligner 125mm68584 Perkin Elmer MICRALIGN 240 Mask Aligner 125mm68585 Perkin Elmer MICRALIGN 240 Mask Aligner 125mm68586 Perkin Elmer MICRALIGN 240 Mask Aligner 125mm68587 Perkin Elmer MICRALIGN 300 Mask Aligner 125mm68588 Perkin Elmer MICRALIGN 341 Mask Aligner 125mm68589 Perkin Elmer PE 300 Mask Aligner 125mm63643 Perkin Elmer PE 300 Projection Aligner 100mm62406 Perkin Elmer PE 500 Mask Alinger 125mm71554 Perkin Elmer Spectrum One FTIR Spectrometer laboratory75973 Perkin Elmer Xray system
60770 Perkin Elmer Thermal Instru TMA-7, TGA-7, DSC-7, TA Thermomechanical Analyzer, Thermogravimetric Analyzer, Differential Scanning Calorimeter, Thermal Analysis Controller67106 Perkin-Elmer DSC7 And TAC7/DX Differential Scanning Calorimeter and Thermal Analysis Controller
75606 Perkin-Elmer SIMAA6000 AA SpectrometSpectrometry N/A67109 Perkin-Elmer TMA7 And TAC7/DX Thermomechanical Analyzer and Thermal Analysis Controller71483 Peter Wolters AC-P2000 CMP POLISHER 300 mm71484 Peter Wolters AC-P2000 CMP POLISHER 300 MM71481 Peter Wolters Apollo CMP POLISHER 300 mm71482 Peter Wolters Apollo CMP POLISHER 300 mm72162 Pfeiffer TPH-2301 Vacuum Pump31611 Pfeiffer Vacuum TPG- 252A Dual Gauge Sensor control unit71060 Pfeiffer Vacuum TPH 520 Vacuum Pump
22634 Philips CM 20 TEM Transmission Electron Microscope68692 Philips CM300 FEG-UT HR-TEM with ACC 200mm
59267 Philips Opal X-II Pick & Place22753 Philips PW-2800 X-Ray Fluorescent Inspection Syst 200mm62235 Philips PW-2800 X-Ray Fluorescent Inspection Syst 200mm60357 Philips PW-2800 X-Ray Fluorescent Inspection Syst 200 mm75974 Philips PW2100/00 XRF 200 MM61180 PHILIPS SPW-2800 Xray Fluorescence Metrology Tool f 200 mm16883 PHILIPS TL 6W/33 T7E 6WATT WHITE LAMP71441 Philips Topaz Pick & Place Machine64450 Philips TREX 610T Total Reflection X-Ray Fluorescence Analyzer22635 Philips Various Parts for TEM Transmission Electron Microscopes15061 Philips SPW-2800 Xray Inspection System 150 mm and 200 mm38302 Philips SPW-2800 Xray Wafer Analyzer67401 Philtec 2015 PHILTEC WAFER GROOVER/SECTIONER 67402 Philtec Groover 2015 FOR WAFER LAYER INSPECTION LAPMASTER 12 72190 Philtronics Uk AS317624 RF Match Set72179 Philtronics Uk CS320163.02 Matching Control PCB 72175 Philtronics Uk PB 320163.03 Match Unit Control PCB10055 PHOENIX 520-NMP Electrode Stabiliz ing Oven71039 Phoenix FRW-4A02 REWIND M/C75121 PHOENIX PCBA Analyzer 160 X-ray inspection system assembly59227 Phoenix Micromex X-ray59302 Phoenix Package Analyzer59304 Phoenix X-Ray Package Analyzer
61156 Photon LD-8900 Goniometric Radiometer59228 Photon Dynamics 7550 Superior Vis ion AOI
68615 Photonic Instruments Axiospeed Film thickness 125mm68193 Photonice FM-ARS9000 Tester59269 Pillarhouse Topaz E-400 Dual Pot Selective Solder Machine22754 Plas Mos SD 2000 Automatic Ellipsometer Multiple68235 Plasma System PE-615 Ashing 125mm62352 PLASMA THERM A368 IN-LINE WAFER ETCH 150mm62351 PLASMA THERM IN-LINE WAFER ETCH 150mm61177 PLASMA-THERM Waf'r Batch 74 RIE/Parallel Plasma Etcher72052 PLASMATHERM 7000 RIE 8"
72031 PLASMATHERM 7300 PE(7000) (PECVD_PPECVD 8"74508 Plasmatherm A360 Dry Etch 5
74509 Plasmatherm A360 Dry Etch 550281 Plasmatherm SL 730 Pecvd50282 Plasmatherm SLR 730 Pecvd14578 Plasmatherm WAF'R BATCH 74 Barrell Plasma Etcher 38908 Plasmos SD 4000 Ellipsometer
71697 Plasmos SD-2302 Ellipsometer57047 PlymoVent Smart Dust collector23063 PMS AM-24 Scroll Pump8082 PMS Lasair 110 Airborne Partic le Counter 200 mm31246 PMS Liquitrack 776200 Non volatile residual Monitor facilities54873 PMS LPC 210 Micro Laser Partic le Counter4230 PMS LPS C210 Airborn Partic le counter Facilities4231 PMS LPS C210 Airborn Partic le counter Facilities38297 PMS minilaz-310-(5) Partic le measuring system
SEMI AUTO V/ I(Tape Inspeciton auto system)SEMI AUTO V/ I(Tape Inspeciton auto system)
25476 Polaroid CU-5 Close up Plate Camera50306 Polaron E5000 SEM Coating Unit54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying 200 mm53538 Poly-Flow Engineering Typhoon S-790 Quartz Tube Cleaner 300mm35695 PolyFlow (Hood) Quartz Clean HOOD35696 PolyFlow (Hood) Quartz Clean HOOD35697 PolyFlow (Tube Storage) Quartz Tube Storage Hood72730 Polyflow DC50 SRD 150 mm72731 Polyflow DC50 SRD 150 mm72732 Polyflow DC50 SRD 150 mm72733 Polyflow DC50 SRD 150 mm72734 Polyflow DC50 SRD 150 mm
72735 Polyflow DC50 SRD 150 mm72736 Polyflow DC50 SRD 150 mm
72737 Polyflow DC50 SRD 150 mm72738 Polyflow DC50 SRD 150 mm
72739 Polyflow DC50 SRD 150 mm72740 Polyflow DC50 SRD 150 mm72741 Polyflow DC50 SRD 150 mm21518 Polyflow H453 Quartz Tube Cleaner UP TO 8 INCH DIA TUBES63800 Polyflow Engineering a total of 65 pieces of equipment inc 200mm72181 Portscap 28D11219E 96 Motor75150 POTOP PB-3330 Biological Microscope
54532 POTOP PM530A Mettalurgical Microscope53348 POWATEC 851 Wafer Mounter 200 mm53137 Power Cube MPWC4200 Induction Soldering Station 69870 Power One HPM5A2A2KS234 5V Switching Power Supply TEST69872 Power One HPM5C1C1E1E1H1S240 Switching Power Supply TEST69874 Power One HPM5E2E2KS228 28 V Switching Power Supply TEST69873 Power One HPM5F2F2KS233 2V Switching Power Supply TEST69875 Power One SPM2E1E1S304 28 V Switching Power Supply TEST63781 PPT PPT861 3D Scanning Inspection System60808 Precis ion Technologies LC2800 PTI LC2800 Lead Conditioning System67459 Presco Mod 432 WITH CS1 SQUEEGE HEAD, TABLE TOP 71855 Pretech Custom Wet bench 300 mm56080 PRI 7000 Wafer Stocker 200 mm56070 PRI 7000 Wafer Stocker 200 mm56066 PRI 7000 Wafer Stocker 200 mm56067 PRI 7000 Wafer Stocker 200 mm56068 PRI 7000 Wafer Stocker 200 mm56069 PRI 7000 Wafer Stocker 200 mm56071 PRI 7000 Wafer Stocker 200 mm56072 PRI 7000 Wafer Stocker 200 mm56073 PRI 7000 Wafer Stocker 200 mm56074 PRI 7000 Wafer Stocker 200 mm56075 PRI 7000 Wafer Stocker 200 mm56076 PRI 7000 Wafer Stocker 200 mm56077 PRI 7000 Wafer Stocker 200 mm56078 PRI 7000 Wafer Stocker 200 mm56079 PRI 7000 Wafer Stocker 200 mm75733 PRI 7700 Automated Retic le management System69849 PRI Guardian Retic le stocker 200 mm
56880 PRI ATM-307 Refurbished Robot32479 PRI Automation 7000 RETICLE STOCKER 5 INCH75637 PRI Automation 7850 Wafer Transfer 20075638 PRI Automation 7850 Wafer Transfer 20018733 PRI/Equipe ATM-105-1 Single arm robot18731 PRI/Equipe ATM-105-1-RHS Single arm robot18730 PRI/Equipe ATM-105-1-S Single arm robot robot18728 PRI/Equipe ATM-105-1-S-CE Single arm robot18729 PRI/Equipe ATM-105-1-S-CE Single arm robot18734 PRI/Equipe ATM-105-2 Single arm robot18732 PRI/Equipe ATM-107-2 Single arm robot18735 PRI/Equipe ATM-107-2-CE Single arm robot18736 PRI/Equipe ATM-207-2-CE Single arm robot18737 PRI/Equipe ATM-307-2 Single arm robot18738 PRI/Equipe ATM-407-1 Single arm robot18740 PRI/Equipe ATM-407B-1-S-CE-S293 Single arm robot18739 PRI/Equipe ATM-407B-2-S-CE Single arm robot18752 PRI/Equipe ESC-100 3 axis Robot Controller18753 PRI/Equipe ESC-100 3 axis Robot Controller18754 PRI/Equipe ESC-100 3 axis Robot Controller18755 PRI/Equipe ESC-200-S293 3 axis Robot Controller18756 PRI/Equipe ESC-201 Pre-aligner Controller18758 PRI/Equipe ESC-212 6 axis Controller18757 PRI/Equipe ESC-212 6 axis Controller18760 PRI/Equipe ESC-212B-CE-S2 6 axis Controller18759 PRI/Equipe ESC-212B-SMIF 6 axis Controller18742 PRI/Equipe PRE-200 Pre-aligner 200mm18741 PRI/Equipe PRE-200 Pre-aligner 200mm18744 PRI/Equipe PRE-200-CE Pre-aligner 200mm18743 PRI/Equipe PRE-200B Pre-aligner 200mm18745 PRI/Equipe PRE-201 Pre-aligner 200mm18748 PRI/Equipe PRE-201-CE Pre-aligner 200mm18749 PRI/Equipe PRE-201-CE Pre-aligner 200mm18750 PRI/Equipe PRE-201-CE Pre-aligner 200mm18746 PRI/Equipe PRE-201B Pre-aligner 200mm18747 PRI/Equipe PRE-201B Pre-aligner 200mm
18751 PRI/Equipe PRE-300 Pre-aligner 300mm18591 Princeton Gamma Tech 500034336 probeworx 300 Wafer Probe Card Test and Analysis System70410 Process Engineering H-20260-LH2-UP Storage Tank n/a50289 Prometrix FT 750 Film Thickness mapping System 200mm62420 Prometrix FT-530 Thin Film Measurement System 200mm60483 Prometrix FT-530 Thin Film Measurement System 200 mm62421 Prometrix FT-650 Thin Film Measurement System 200mm60484 Prometrix FT-650 Thin Film Measurement System 200 mm33716 PROMETRIX FT-750 Film Thickness Measuring Tool62477 Prometrix FT-750 Patterned Wafer Mapping System 200mm60532 Prometrix FT-750 Patterned Wafer Mapping System 200 mm22757 Prometrix FT750 Patterned Wafer Mapping System 200mm23663 Prometrix FT750 Patterned Wafer Mapping System 200mm69291 Prometrix Omnimap RS35e Resistiv ity Mapping System67478 Prometrix SM200E FOR MEASURING DIELECTRIC THIN FILMS ON WAFERS UP TO 8" DIAMETER INCLUDES COMPUTER WITH MAPPING 34522 PROMETRIX ULTRAPOINT 1010 200mm22756 Prometrix UV-1050 Thin Film Measurement System(s) 200mm35594 PROMETRIX VP-10 Four Point Probe for up to 200mm Wafers60711 Prometrix FT-750? Film Thickness Mapping System51013 Prometrix SM300 Tencor Spectramap Auto , Film Thickness Mapping System66109 PROTEC FDS-1500 Dispenser (Underfill)_>Loader54006 PSC DES-220-456-AVL Dry Asher61435 PSC PSC_456_AVL DRY ETCHER70880 PSC PSC_456_AVL DRY ETCHER70881 PSC ULTIMA3 ASHER70882 PSC ULTIMA3 ASHER
70883 PSC ULTIMA3 ASHER70884 PSC ULTIMA3 ASHER70885 PSC ULTIMA3 ASHER70886 PSC ULTIMA3 ASHER70887 PSC ULTIMA3 ASHER68270 PSC ULTIMA3 Asher 200mm68271 PSC ULTIMA3 Asher 200mm
68272 PSC ULTIMA3 Asher 200mm68273 PSC ULTIMA3 Asher 200mm68274 PSC ULTIMA3 Asher 200mm68275 PSC ULTIMA3 Asher 200mm68276 PSC ULTIMA3 Asher 200mm68277 PSC ULTIMA3 Asher 200mm68090 PSI 362PMI54889 PSK Supra 2 Ashing 200mm54890 PSK Supra 2 Ashing 200mm54891 PSK Supra 2 Ashing 200mm68508 PSK TSL3000 Dry Etching 200mm68509 PSK TSL3000 Dry Etching 200mm68510 PSK TSL3000 Dry Etching 200mm68511 PSK TSL3000 Dry Etching 200mm70888 PSK TSL3000 ETCHER(DRY )70889 PSK TSL3000 ETCHER(DRY )70890 PSK TSL3000 ETCHER(DRY )73018 PSK ULTIMA 3 ASHER /STRIPPER 8"62255 PSK ULTIMA-3(s) STRIPPER 200mm60379 PSK ULTIMA-3(s) STRIPPER 200 mm73017 PSK ULTIMA3 ASHER /STRIPPER 8"61448 PTC PGS 237 Gas Scrubber68695 PTC PGS 237 Gas Scrubber 200mm67191 PTI Pind Tester Includes Oscilliscope, controller, shaker, and cable. 60052 PTS FCV-35 Full forced convection Oven 150 mm38815 Puffin Dispense Pumps New Chemical Dispense Systems 34151 Pulnix TM 7EX CCD Video Camera & HF35A-2 Lens60728 PureTec Quartz Tube Stockers60709 PureTec Quartzware Parts Stocker With Drawers
60713 PureTec Quartz Tube Stockers21132 Purex 7000 -2400 Fume Extraction & Purification system21130 Purex 7000 2A Fume Extraction & Purification syste SMT21131 Purex 8000-1500 Fume Extraction & Purification system16077 PUREX EXTRACTION Purex extraction units59193 PVA PVA 3000 Conformal Coating75798 PVD Products Custom Ion Assisted Deposition System 150 mm22758 QC Optics API-4000 Photo Mask Inspection Station N/A64452 QES Rigaku 3630 Wafer Analyzer System68542 QMC ELMS-2000H Laser Lift Off59270 Quad IVC Flexible SMT Placement59271 Quad IVC/6856237 Quad QSA 30V Chip Placer59272 Quad QSP-259273 Quad QSV 159274 Quad QSV1 SMT Placement machine72603 Qualilab QL10E CVS Chemical Analysis System 150 mm60720 Quality / Quincy Labs 12-140 AE Incubator70891 QUESTER TECHNOLOGY APT6000HP CVD68452 Quester Technology APT6000HP CVD 200mm33761 QUINCY 25HP Screw Type Air Compressor69315 Quincy Lab (QL) 10 Lab Oven21496 Quintel Q-4000 Mask Aligner 4"21512 Quintel Q-804 Mask Aligner 4"67415 R&K 680A PROBE STATION RUCKER AND KOLLS 680A PROBER 6" TRAVEL, MOTORIZED XY STAGE WITH B&L STEREO ZOOM 4, AUTOMATIC STEPPING 60330 R&K (Rucker & Kolls) 691 Automatic Wafer Prober60824 Racal 606254005 Ramco RAM-8500? Dry Asher54003 Ramco RAM-8500z Dry Asher54004 Ramco RAM-8500z Dry Asher56323 Ransco 7102-1 Temperature Cycle67192 Ranso 924 HOT/COLD, DIGITAL CONTROLLED ID 8X8X10" WIDE REQUIRES CO2 OR LN2 FOR COOLING 60825 RapidTran II Wafer Transfer62432 Rapitran WAFER TRANSFER 125mm62433 Rapitran WAFER TRANSFER 125mm55926 Rasco SO1000 Handler MSOP 8 Lead
71592 RASCO SO1000 Test Handler67096 Rasco SO1000A (T) RT Handler71860 Rasco SO1000A RT Handler63601 Ray Micron_Aire 5-Compartment? Desiccator With Rolling Stand71488 RAYTEX DYNASEARCH XP Wafer Topography measurement 300 mm71485 RAYTEX EDGESCAN Wafer Edge Inspection System 300 mm26136 RAYTEX EDGESCAN WAFER EDGE DEFECT INSPECTION 200 mm56853 RECIF IDLW8R Wafer ID Reader - Tabletop for 200mm Wafers33765 RECIF SPP8 Wafer Transfer for 200mm Wafers, 2ea Available22760 RECIF BPP8 Wafer Sorter/Handler 200mm22759 RECIF VMT8 Wafer Sorter/Handler 200mm61324 RECIF INC SPP300F05 LOT SPLITTER 300mm54106 Recipe IDL W8 Wafer Metrology Sorter10064 REDDISH ELECTRONICS SM500 CXE Convection Reflow Oven54240 Regavolt 1225-G3 Variac 56888 Rehm AMA-N2-V6-2600/70-400 Solder Reflow oven ASSEMBLY71149 Rehm V6 Reflow oven 8 zones63556 Rehm V8 nitro 3.2 B Solder Reflow oven ASSEMBLY69309 Reichert-Jung Polyvar MET Microscope20003 REITSCHLE WB 62 A2 STP MOTOR74106 Rena - Wet Processing 474107 Rena - Wet Processing 474108 Rena - Wet Processing 457773 Rena Etcher In-Line Etching System Solar74109 Rena Wetbanch Wet Processing 574110 RENA Wetbanch Wet Processing 574111 RENA Wetbanch Wet Processing 574112 Rena Wetbanch Wet Processing 674113 Rena Wetbench Dip Etch(WB1) Wet Processing 674114 Rena Wetbench Vapor Etch(WB Wet Processing 633766 REVCO ULT790-9-D31 Cryogenic Freezer60721 Revco BOD30A14 Incubator72837 REYNOLDS TECH CUSTOM SOLVENT RESIST STRIP HOOD 150 mm64797 RF PLASMA PRODUCTS AMNPS-2A Automatch Power Supply
69292 Riber 2300 MBE System72913 Riber / VG Semicon V80 Molecular beam Epitaxy
68885 Rigaku 3620B1 Fluorescence X Ray Analysis Syst 200mm75607 Rigaku 3630 Wafer Inspection Equipment 20075608 Rigaku 3630 Wafer Inspection Equipment 20075609 Rigaku 3630 Wafer Inspection Equipment 20061388 RIGAKU 3630 X-ray reflectometer35595 RIGAKU 3630 Xray Fluorescence Wafer/Disk Analyzer, Missing Xray Tube61387 RIGAKU 3630 XRF MEASUREMENT10067 RIGAKU 3630 XRF Wafer Analyzer10066 RIGAKU 3630 XRF Wafer Analyzer55411 RIGAKU 3640 Metrology Equipment, CD SEM 200 mm34320 Rigaku 3640 X-Ray Wafer Analyzer 200mm11072 RIGAKU 3700H TXRF Wafer Analyzer 150-200 MM62489 Rigaku 3700H TXRF X-Ray Spectrometer 200mm60510 Rigaku 3700H TXRF X-Ray Spectrometer 200 mm71940 Rigaku 3750 TXRF (Transmission X-Ray Fluores 200 mm30513 RIGAKU MFM65 IN-LINE X-RAY METAL FILM MONITOR75611 Rigaku MFM65 Thin Film Measurement System 30067771 Rigaku S/Max XRD Autosampler/Auto-changer67853 Rigaku S3630 X-RAY FLUORE68225 Rigaku SYS 3630 DOSE Measurement 200mm70892 RIGAKU SYS_3630 DOSE MEASUREMENT74880 Rigaku SYS3630 WD-XRF 874881 Rigaku SYS3630 WD-XRF 868883 Rigaku System3630 Fluorescence X Ray Analysis Syst 150mm71780 Rigaku TXRF 300S X-Ray Diffractometer74882 Rigaku TXRF3750 X-Ray Fluorescence 868884 Rigaku Wafer X-300 X-Ray Fluorescence System 150mm
73233 Rigaku WaferX 300 X-ray Fluorescence Spectrometer 12"53488 Rigaku WaferX 300 X-ray Fluorescence Spectrometer 300mm68226 Rigaku XRF 3630 Concentration Measurement 200mm68227 Rigaku XRF 3630 Concentration Measurement 200mm68228 Rigaku XRF 3630 XRF Measurement 200mm68229 Rigaku XRF 3630 XRF Measurement 200mm70893 RIGAKU XRF_3630 CONCENTRATION MEASUREMENT
70894 RIGAKU XRF_3630 XRF MEASUREMENT63759 RIGAKU XRF-3640 WAFER/ DISK ANALYZER 200mm
60899 RISSHI EXK-2008 CHILLER 2CHANNEL74550 Rite track 8600 Developer 6
74551 Rite track 8800 Coater 674552 Rite track 8800 Coater 674553 Rite track 8800 Developer 674554 Rite track 8800 Developer 674555 Rite Track Rite 8800 Lithography 474556 Rite Track Rite 8800 Lithography 474557 Rite track SVG 8800 Coater 674558 Rite track SVG 8800 Coater 674559 Rite track SVG 8800 Coater 674560 Rite track SVG 8800 Developer 674561 Rite track SVG 8800 Developer 674562 Rite track SVG 8800 Developer 664391 Rite Track SVG_90SE-PI COAT/DEVELOP64393 Rite Track SVG_90SE-PI SUPPORT (POLYMIDE)37620 RKC DB-480B2C-VX2 Temperature Controller13128 RKC DB-48B2C-VX1 TEMPERATURE CONTROLLER13105 RKC re-48 temperature controller13122 rkc re-48series temperature control gauge54178 RO-aquafine uv TOC and Chlorine destruction H20 treatment and frequency converter60722 Robbins Scientific SciGene 1000 Hybridization Incubator21507 Robottonics ZMU 100P2 Contromat74074 Rofin / AB Lasers Starmark LME Series Laser Marker 8"54109 Roize 2VRR8121 Wafer Metrology Sorter59899 ROODTECH LeadStar 850 FA QFP LD STRAIGHTNER 150 mm38507 Roos RI 7100A Tester
19170 RORZE BERC-RDD23MS CONTROLLERS DRIVERS56234 RORZE RR713L1521-383-E11-0 Robot63102 RORZE RR713L1521-383-E11-0 Robot, dual arm, W/ Controller 200mm56813 Roth & Rau SiNA PECVD - Deposition of Silicon Nitride 156 mm SQUARE/125 MM67435 Royce 226 Supports destructive and non-destructive testing 67178 Royce 550 Load cells available..... Up to 50kg 67179 Royce 552 Load cells available..... Up to 50kg 67105 Royce 552 Bond Test System71247 Royce ASTM- 500 Modules
70130 Royonic 512 Insertion station 59275 RPS Rhythm EX Selective Solder Machine
19153 RS LED DPM MODULE67214 RTP RAPID THERMAL PROCESSOR, RAPID THERMAL ANEALER 74075 Rubicon Technology ES2-PSA Wafers 200 MM22765 Rucker & Kolls 210/260/660J/683A Manual & Semi-Automatic Wafer Prob150mm70296 Rucker & Kolls 260 prober 67416 Rucker & Kolls 666 Prober67417 Rucker & Kolls 66769454 Rucker & Kolls 682 Wafer Prober67420 Rucker & Kolls 683A Rucker and Kolls 683A Prober 6" travel, Motorized xy stage with micro zoom optics and 2 objectives, automatic stepping 70895 Rucker and Kolls 683A Probe station 368812 Rucker and Kolls 683A Probe station 367418 RUCKER AND KOLLS 240 240 PROBE STATION67419 RUCKER AND KOLLS 250 RUCKER AND KOLLS 250 4" PROBE STATION 4x4 travel with 4" chuck includes B&L zoom 4 optics Add $100.00 to upgrade to zoom 5 64487 RUDOLPH 3Di-8500 DEFECT INSPECTION70896 RUDOLPH AXI-S DEFECT INSPECTION74883 Rudolph AXI-S Macro Wafer Inspection 876072 Rudolph AXI-S Macro Wafer Inspection 874884 Rudolph AXI-S (AXI930, NSX105B) Macro Wafer Inspection 1274885 Rudolph AXI-S(AXI935, NSX105B) Macro Wafer Inspection 1274886 Rudolph AXI930 Micro Defect Inspection 867844 Rudolph FE-4D Ellipsometer72032 RUDOLPH FEIII ELLIPSOMETER 8"74887 Rudolph FEVII Metrology 6
74888 Rudolph FEVII Metrology 674889 Rudolph FEVII Metrology 674890 Rudolph FEVII Metrology 672033 RUDOLPH Meta Pulse Cu METROLOGY 8"55921 Rudolph Metapulse copper film measurement system 200 mm58793 Rudolph Metapulse 200 Film Thickness Measurement Syste 200 mm58794 Rudolph Metapulse 200X Film Thickness Measurement Syste 200mm71623 RUDOLPH METAPULSE 200X CU COPPER FILM METROLOGY62411 Rudolph Metapulse 300 Film Thickness Measurement Syste 150mm71252 Rudolph MetaPULSE 300 Film Thickness Measurement Syste 300 mm71251 Rudolph MetaPULSE 300 Film Thickness Measurement Syste 300 mm
71253 Rudolph MetaPULSE 300 Film Thickness Measurement System300 mm22766 Rudolph Metapulse 300 Film Thickness Measurement System200 mm and 300 mm
71254 Rudolph MetaPulse IIIA Film Thickness Measurement Syste 300 mm72034 RUDOLPH MP200 METROLOGY 8"62412 Rudolph SpectraLaser 200 Film metrology system 150mm72035 RUDOLPH WS2500 METROLOGY 8"72036 RUDOLPH WS2500 METROLOGY 8"60973 RUDOLPH FILM THICKNESS MONITOR60313 Rudolph AutoEL III 2B 4A Automatic Ellipsometer38300 Rudolph Metapulse 200 copper film metrology system 200 mm70005 Rudolph NSX 95 Macro Defect Inspection 100 mm62190 Rudolph NSX80 Wafer Inspection 73234 RUDOLPH August Technology Vers Macro-Defect 12"73235 RUDOLPH MetaPULSE G Film Thickness Measurement Syste 12"73236 RUDOLPH WaferView 310 Macro-Defect 12"61303 RUDOLPH TECHNOLOGIE CV9800 AUGWARPAGE SYSTEM 200mm70089 Rudolph Technologies FE-VII Ellipsometer 200 mm61304 RUDOLPH TECHNOLOGIE METAPULSE 200 ADHESION THICKNESS 200mm
69907 Rudolph Technologies MetaPULSE 200 Thin Film Measurement System 200 mm50196 Rudolph Technologies Metapulse 200 Mertrology inspection system 200mm67920 Rudolph Technologies STI WAV1000 Inspection station 300mm56465 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement Syste 300 mm70498 Rudolph Technologies, Inc. MetaPULSE 300 Film Thickness Measurement Syste 300 mm71054 RVSI GS-5700-DB Inspection system 3-dimension62622 RVSI GS7100 GS-7100 Lead Scanner
66601 RVSI LS-7700 Lead Scanner68176 RVSI LS-7700DB Lead insp. System68177 RVSI LS-7700DB Lead insp. System68178 RVSI LS-7700DB Lead insp. System68179 RVSI LS-7700DB Lead insp. System68180 RVSI LS-8000DB Lead insp. System62628 RVSI LS3700 LS-3700DB Lead Scanner62627 RVSI LS3900 LS-3900DB Lead Scanner62623 RVSI LS7100 LS-7100 Lead Scanner62624 RVSI LS7100 LS-7100 Lead Scanner66602 RVSI RVSI LS-7700 Lead Scanning System
66603 RVSI RVSI LS-7700 Lead Scanning System66604 RVSI RVSI LS-7700 Lead Scanning System
66605 RVSI RVSI LS-7700 Lead Scanning System23070 RVSI WS-2500 Inspection System 34495 S&K IPA DRYER, 200mm
75639 SAES MegaTorr PS7 H2 purifier Chemical/Gas Treatment System N/A15862 Sagarus Flux System Flux System Apply Solder Flux
10072 SAGAX Isoscope 125 Film Thickness Monitor10073 SAGITTA ECP-2000 Cross Section Polisher61160 SAGITTA Gemini Pi/CX Polishing & Inspection 300mm18595 Salter HF-300 Precis ion Scales 72037 SAMCO RIE 1ON RIE 8"72038 SAMCO RIE-10N RIE 8"68726 SAMCO RIE-10NR RI ETCH72039 SAMCO RIE-10NR RIE 8"61462 SAMCO RIE-10NR SAMCO68727 SAMCO RIE-10NR36611 SAMSUNG Bead Blaster
75178 Samsung CP40C Pick and Place machine with Vis ion 27815 Samsung SV2044D Spin Point Hard Disc66143 SAMSUNG SWB-800 NEO WIRE BONDER36612 SAMSUNG Ultra Sonic59229 Samsung VSS - 3C AOI65956 SANJO SSL-4C Slic ing Machine37619 Sanken SSS515A DC power supply Switching Regulator62353 SANKYO AWC-662S HF ETCH SC-1, SC-2 150mm68669 Sankyo SD-54 SK-SF Barrel SRD 150mm38446 Santa Clara Plastics? SCP 1400, SCP 3107, SCP 1100, Power Supply, Cascading Bath & Piranha Bath62442 Santra Clara Plastics BOE WETDECK 150mm62242 Santra Clara Plastics NITRIDE STRIP WETDECK 150mm67793 Sanyo TCM-3500Z Pick and Placer61169 Sanyo TCM-3500Z Placer57549 Sanyo TCM-3500Z Pick & Place19183 SANYO DENKI 103H7123-0740 STEPPING MOTOR17070 SANYO DENKI RBA2C-202 SERVO MOTOR19176 SANYO DENKI STEPPING MOTOR68728 Schaffner NSG 435 ESD Gun12566 Schlumberger IDS5000HX Tester 150 MM
66518 SCHLUMBERGER EXA3k Tester66519 SCHLUMBERGER EXA3k Tester66520 SCHLUMBERGER EXA3k Tester
66521 SCHLUMBERGER EXA3k Tester66522 SCHLUMBERGER EXA3K Tester
66523 SCHLUMBERGER EXA3K Tester63078 Schlumberger IDS 10000 Plus E-Beam Prober TEST67094 Schlumberger IDS 2000 Laser Voltage Prober Parts System75819 Schlumberger IDS 5000 HX Test System TEST62632 Schlumberger IDS P2X50169 Schlumberger IDS-5000 Electron beam prober 200mm66582 SCHLUMBERGER ITS 9000 EXA 3000 Test System66583 SCHLUMBERGER ITS 9000 EXA 3000 Test System66584 SCHLUMBERGER ITS 9000 EXA 3000 Test System
16421 Schlumberger ITS 9000IX Automated Test Equipment and handlers60761 Schlumberger? IDS 10000 PLUS E-Beam Prober
69968 SCHMID LIP SCHMID electronless Nikel plating and Ag LIP 66574 SCHMIDT 5L Trim & Form73058 SCP 8500(A) Batch Wafer Processing 8"73059 SCP 8500(A) Batch Wafer Processing 8"71256 SCP 9400 Wet bench 200 mm72838 SCP Custom Etch Hood 150 mm72841 SCP Custom HF Hoods 150 mm72842 SCP Custom HF Hoods 150 mm72839 SCP Custom Pilot strip hood/Manual hood 150 mm72840 SCP Custom Pilot strip hood/Manual hood 150 mm72843 SCP HOOD Semi-automatic Batch Develop Hoo 150 mm72844 SCP HOOD Semi-automatic Batch Develop Hoo 150 mm72845 SCP HOOD Semi-automatic Batch Develop Hoo 150 mm72846 SCP none Hood, bHF Dip-SCP 150 mm72847 SCP none Hood, pilot strip-SCP 150 mm72848 SCP None VIA Wet - SCP 150 mm72849 SCP SCP Acid CU ACID HOOD 150 mm72850 SCP SCP Plate CU HOOD CU PLATE 150 mm72851 SCP SCP Plate CU HOOD CU PLATE 150 mm66723 SCP / AKRION 9415 BACK END 200mm66711 SCP / AKRION 9415 NITRIDE ETCH 200mm61254 SCP SERVICES INC 9400 AWB GATE PRE-CLEAN 200mm61255 SCP SERVICES INC 9415 AWB BACK END (CU) 200mm
61256 SCP SERVICES INC 9415 AWB SULFURIC CLEAN 200mm61257 SCP SERVICES INC 9415 AWB TW #2 LITHO/TF-CU REGEN 200mm
72040 SDI 3020 CARRIER LIFETIME 8"34514 SDI CMS 111-A METALIC MICROCONTAMINATION 200mm
72597 SDI CMS4000 SPV measure 150 mm10077 SDI FAaST 300 Dielectric Charaterization Tool with COCOS & Epi-t Module Tester for up to 300mm Wafers10076 SDI FAaST 330 Surface Photo Voltage Tester for up 300 mm / 200 mm34517 SDI SPV PDM3020P 200mm21859 SDI SPV STATION 1010 CARRIER LIFETIME MEASUREMENT125-200 MM54114 SDI SPV-1050 Contamination Monitoring System70265 SDI SPV1050 CARRIER LIFETIME MEASUREMENT200 mm
67846 SDI SPV303034516 SDI 1020 SURFACE PHOTO VOLTAGE TESTE 200mm
34515 SDI PDM 3020-P EPI CONTAMINATION MONITOR 200mm76021 SDI Semiconductor DiagnostFaast 330 Carrier Lifetime Measurement
69707 Searle MNDE13 Compound Freezer67169 SEC 4000 The SEC 4000 is an eutectic die bonder with heated workholder and heated bond tool. 67139 SEC 4020 SEC 4020 Hot Gas Eutectic Bonder Single hot gas jet, tilting collet, joystick control with stereo zoom optics and focus mount also includes heated work holder 67140 SEC 420067170 SEC 4400 The SSEC 4400 is a hot gas rework station with non heated workholder (Email us for photo) 67141 SEC 445067171 SEC 4500 The SEC 4500 has a reworked hot gas station w/non heated stage (Email us for photo) 67180 SEC 6000 The SEC 6000 is a die shear destruct/non destruct in kg, digital r/o and mil standard 883C also for hybrids. (Email us for photo) 60881 SEC CL-3 3rd OPTICAL INSPECTION60882 SEC CL-3 3rd OPTICAL INSPECTION60883 SEC CL-3 3rd OPTICAL INSPECTION71009 SEC RV4640A POTTING SYSTEM71010 SEC RV4640A POTTING SYSTEM71011 SEC RV4640A POTTING SYSTEM71012 SEC RV4640A POTTING SYSTEM71013 SEC RV4640A POTTING SYSTEM69889 SEC Semi 86071017 SEC TIPS 430A POTTING SYSTEM71021 SEC TIPS 430A POTTING SYSTEM71022 SEC TIPS 430A POTTING SYSTEM71014 SEC TIPS 435A POTTING SYSTEM71015 SEC TIPS 435A POTTING SYSTEM71016 SEC TIPS 435A POTTING SYSTEM
68813 Secron IP300 Prober68814 Secron IP300 Prober
68815 Secron IP300 Prober68816 Secron IP300 Prober68817 Secron IP300 Prober68818 Secron IP300 Prober68819 Secron IP300 Prober68820 Secron IP300 Prober68821 Secron IP300 Prober68822 Secron IP300 Prober68823 Secron IP300 Prober
68824 Secron IP300 Prober68825 Secron IP300 Prober
68826 Secron IP300 Prober68827 Secron IP300 Prober68828 Secron IP300 Prober68829 Secron IP300 Prober68830 Secron IP300 Prober68831 Secron IP300 Prober68832 Secron IP300 Prober68833 Secron IP300 Prober68834 Secron IP300 Prober68835 Secron IP300 Prober68836 Secron IP300 Prober68837 Secron IP300 Prober68838 Secron IP300 Prober68839 Secron IP300 Prober68840 Secron IP300 Prober68841 Secron IP300 Prober68842 Secron IP300 Prober68843 Secron IP300 Prober65957 SECRON SDB-30UJ D/A71035 SECRON VSI-100 VISUAL INSPECTOR71036 SECRON VSI-100 VISUAL INSPECTOR59295 Seica Pilot LX Flying Probe59296 Seica Pilot LX Flying Probe
59297 Seica Pilot S20 Flying Probe59298 Seica Pilot S20 Flying Probe21521 Seiko SDI 4000 operation manual for SDI 4000 Semi manual75612 Seiko SMI 9800 Focused Ion Beam 20070596 SEIKO SMI3300 FIB SEM 200 MM/300 MM35596 SEIKO VP-1500 Video Printer18652 Seiko Epson EH853S Robot Arm61124 Seiko Epson NS5000 F469473 Seiko Epson NS600069474 Seiko Epson NS700059892 SEIKO EPSON TR55 150 mm72041 SEIKO SEIKI SMI2200 FIB 8"69879 SEIKO SEIKI STP 1000C TURBO PUMP TMP 1000C 250 ISO-KVACUUM PUMP69878 SEIKO SEIKI STP 1000C TURBO PUMP TMP 100C 250 ISO-K/VACUUM PUMP55896 SEIWA Wafer Transfer Station20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping bo 200 mm34702 SELA MC 500 Microcleaver / Micro-Cleaver / Saw / Slicer21495 Semco Goupyl 190 PECVD System68697 SEMES NANOSPIN12 Track 200mm60972 SEMI-AUTOPROBE MP2000? STATION SYSTEM75613 Semiconductor Diagnostics 3030 Surface Profiler 20075614 Semiconductor Diagnostics FAaST 350 Implant Dosing Measurement 30069967 SEMICS OPUSII tester62748 SEMICS OPUSII62749 SEMICS OPUSII62750 SEMICS OPUSII71709 Semilab WT-2000PV Measurements on PV cells54208 Seminet Infinity SACS 251216-120 stocker (Semi-Automatic Carousel S facilities69253 SemiTherm VTP 1500 LH LPCVD Reactor (SiN)
22772 Semitherm VTP Express Vertical Diffusion Furnace 200mm18839 SEMITOOL 2080S(OAZP)CBE Equipment manual67462 Semitool 270 SRD SEMITOOL 270 SRD CHOOSE 4" OR 6" ROTOR SPECIAL ORDER ROTOR FOR 8" WAFERS (INCLUDES 1 CUSTOM TEFLON CASSETTE 75051 Semitool 270F Spin Dryer 672742 Semitool 370 Spin Rinse Dry 150 mm72743 Semitool 370 Spin Rinse Dry 150 mm72744 Semitool 370 Spin Rinse Dry 150 mm
34738 Semitool 4300S SRD 150 mm73040 Semitool 8300S Style Spin Rinse Dryer w / 102 controllers73039 Semitool 880S Single Stack SRD 150mm63664 SEMITOOL ECP LT210 CU SMIF, 6X PLATING CHM, 4X BEVEL 200mm63663 SEMITOOL ECP LT210 CU SMIF, 6X PLATING CHM, 4X BEVEL 200mm63665 SEMITOOL ECP LT210 CU SMIF, 6X PLATING CHM, 4X BEVEL 200mm70282 Semitool LT210 cu plating 200 mm70283 Semitool LT210 cu plating 200 mm75703 Semitool Magnum Wet Etching System 20075786 Semitool Magnum HF / EG Batch wet HF wafer processing sys 200 mm75052 Semitool Mini Raider MR302 Wet Processing 675053 Semitool Mini Raider MR302 Wet Processing 669773 SEMITOOL Paragon Wet Bench 4 inch75054 Semitool RAIDER ECD Plating 868693 Semitool RAIDER M Deposition 200mm76033 Semitool Raider Quattro Electro Plater for MEMS 200 mm75055 Semitool SAT Wet Processing 670095 Semitool SAT 408 IT Acid spraying SRD 200 MM70096 Semitool SAT 408 IT Acid spraying SRD 200 MM36613 SEMITOOL SOL VENT Cleaner69756 SEMITOOL Spray Acid Wet Bench Up to 8 inch73034 Semitool SRD SEMITOOL SRD 6" 200mm75056 Semitool SRD Wet Processing 475057 Semitool SRD Wet Processing 475058 Semitool SRD Wet Processing 475059 Semitool SRD Wet Processing 475060 Semitool SRD Wet Processing 4
75061 Semitool SRD Wet Processing 475062 Semitool SRD Wet Processing 4
75063 Semitool SRD Wet Processing 475064 Semitool SRD Wet Processing 475065 Semitool SRD Wet Processing 475066 Semitool SRD Wet Processing 475067 Semitool SRD Wet Processing 475068 Semitool SRD Wet Processing 475069 Semitool SRD Wet Processing 475070 Semitool SRD Wet Processing 475071 Semitool SRD Wet Processing 4
75072 Semitool SRD Wet Processing 475073 Semitool SRD Wet Processing 475074 Semitool SRD Wet Processing 475075 Semitool SRD Wet Processing 475076 Semitool SRD Wet Processing 475077 Semitool SRD Wet Processing 475078 Semitool SRD Wet Processing 475079 Semitool SRD Wet Processing 475080 Semitool SRD Wet Processing 475081 Semitool SRD Wet Processing 475082 Semitool SRD Wet Processing 475083 Semitool SRD Wet Processing 4
75084 Semitool SRD270 Wet Processing 475085 Semitool SST Solvent wet / Wet photoresist remov 12
67694 SEMITOOL SST SOLVENT WET BENCH75086 Semitool SST-C-421-280 Solvent wet / Wet photoresist remov 4
75087 Semitool SST-F-421-280-F Solvent wet / Wet photoresist remov 575088 Semitool SST-F-421-280-F Solvent wet / Wet photoresist remov 675089 Semitool SST-F-421-280-FK Solvent wet / Wet photoresist remov 675090 Semitool SST-F-421-280-K Solvent wet / Wet photoresist remov 575091 Semitool SST-F-421-280-K Solvent wet / Wet photoresist remov 675092 Semitool SST-F-421-280-KF Solvent wet / Wet photoresist remov 867463 Semitool ST-240 Spin rinser dryer, 1 1/2 to 4" wafers
67464 Semitool ST-260 Horizontal spin axis, for up to 6" wafers We are currently accepting this model for trade in. Any quality can recieve credit. Contact us for details. 21513 SEMITOOL ST-260 SRD 4"69293 Semitool ST-270D Spin Rinser/Dryer (SRD)72745 Semitool ST-270D Spin/Rinse/Dryer 150 mm72746 Semitool ST-270D Spin/Rinse/Dryer 150 mm21498 SEMITOOL ST-860 SRD 4"75093 Semitool WSST Solvent wet / Wet photoresist remov 875094 Semitool WSST Solvent wet / Wet photoresist remov 875095 Semitool WSST Solvent wet / Wet photoresist remov 875096 Semitool WSST Solvent wet / Wet photoresist remov 869761 SEMITOOL WSST408AG (SST-F-421- Photoresist removal Wet Station 125 mm61323 SEMITOOL WST 308 SOLVENT SPRAY PROCESSOR 200mm66724 SEMITOOL WST 308 SST C4 200mm36614 SEMITOOL WST305M71591 SEMITOOL WST306A WET71590 SEMITOOL WST306M WET71589 SEMITOOL WST606A WET50203 Semitool Semitool magnum dual chamber spr 200mm72896 Semitool 480S Single stack SRD 73060 SEMITOOL Raider ECD (Electro Chemical Deposition) 12"74076 SEMITOOL SRD Spin Rinse / Dryer (SRD)74077 SEMITOOL SRD Spin Rinse / Dryer (SRD)74078 SEMITOOL SRD Spin Rinse / Dryer (SRD)74079 SEMITOOL SRD Spin Rinse / Dryer (SRD)38447 Semitool SRD Rotors74563 Semix SS8002 Lithography 874564 Semix SS8002 Lithography 874565 Semix Tazmo Lithography 668526 SEN NV-GSD-A-160 Ion Implanter 125mm
74532 SEN NV-GSD-HE High Energy Implanter 874533 SEN NV-GSD-HE High Energy Implanter 866110 SEN NV-GSD-HE3 High Energy Implanter 200 MM74534 SEN NV-GSDIII-LE High Current Implanter 871522 SEN NVGSD3LE HIGH CURRENT 200 mm71521 SEN NVGSDIII90 HIGH CURRENT 200 mm72170 Sensirion SFC4108 Mass Flow Controller CMOSsens72169 Sensirion SFC4208 Mass Flow Controller CMOSsens50197 Sensys Instruments Sensys Instruments SMS0 Sensys Instruments SMS02000 metro200mm62597 Sentry/ Fairchild/Schlumber S21/S20 20 Mhz Digital Tester62608 Sentry/Fairchild/Schlumberg ITS 9000KX VLSI Logic Test System 376 pins62607 Sentry/Fairchild/Schlumberg ITS 9000KX VLSI Logic Test System 664 pins62598 Sentry/Fairchild/Schlumberg S10 10 Mhz Digital Tester62609 Sentry/Fairchild/Schlumberg S1650 Digital IC Test System, System and Spares62653 Sentry/Fairchild/Schlumberg S790 Parts Machine62599 Sentry/Fairchild/Schlumberg Sentinel Digital Tester63754 SES WET BENCH 200mm75097 SEZ 100 Wet Processing 475098 SEZ 101 Wet Processing 475704 SEZ 201 Wet Etching System 20069994 SEZ RST 100 spin etching system 150 mm75147 SEZ RST 103 spin etching system 150 mm55583 SEZ RST-201 Etch Equipment, Wet Etching System 200mm75099 SEZ SEZ102 Spin Processor 475100 SEZ SEZ223 Wet Processing 676010 SEZ SM101 wet etch 150 mm69709 SEZ SP304 wet processing system 300 mm68512 SEZ SP323 Etcher (Spin) 200mm35597 SEZ Chemical Storage Cabinet, 2ea Available73015 SEZ / LAM DA VINCI 38F ACID WET PROCESSING SYSTEM 12"72984 SEZ / LAM DA VINCI 38F WET CLEANING SYSTEM 12"63648 SFI Endeavor 8600 Metal Deposition, 2 chamber 200 mm69742 Sharemate Technology, Inc. STR-2688N De-Planarizer38511 Sharp Precis ion SP112 Hybrid Package Cover Remover34497 SHEL LAB 200mm62256 Shibaura ? ASH 8100W Ashing/Stripping 200mm
62257 Shibaura ? ASH 8100W Ashing/Stripping 200mm60380 Shibaura ? ASH 8100W Ashing/Stripping 200 mm60381 Shibaura ? ASH 8100W Ashing/Stripping 200 mm34854 Shibaura ? ASH 8100W Resist Ashing/Stripping System, Ga 200mm75125 Shibaura ¼Asher8100 asher 634855 Shibaura ASH 8100W Resist Ashing/Stripping System, Ga 200mm63718 SHIBAURA CDE 200mm74488 Shibaura CDE-73 Dry etch 674489 Shibaura CDE-73 Dry etch 674490 Shibaura CDE-73 Dry etch 674491 Shibaura CDE-73 Dry etch 674492 Shibaura CDE-73 Dry etch 674493 Shibaura CDE-73 Dry etch 670233 Shibaura CDE80 AN DRY ETCHER 200 mm70234 SHIBAURA CDE80AN DRY ETCHER 200 mm63091 Shibaura FM 1780 Die Attcah75122 Shibaura ICE/CDE 300 Asher 1275123 SHIBAURA ICE2 Cu Asher 875124 SHIBAURA ICE2 Cu Asher 866146 SHIBAURA TFC1000 FLIP CHIP BONDER70997 Shibaura TFC-2100 FLIP CHIP BONDER70998 Shibaura TFC-2100 FLIP CHIP BONDER70999 Shibaura TFC-2100 FLIP CHIP BONDER71000 Shibaura TFC-2100 FLIP CHIP BONDER71004 Shibaura TFC-2100 FLIP CHIP BONDER71005 Shibaura TFC-2100 FLIP CHIP BONDER71006 Shibaura TFC-2100 FLIP CHIP BONDER
71007 Shibaura TFC-2100 FLIP CHIP BONDER71008 Shibaura TFC-2100 FLIP CHIP BONDER71002 Shibaura TFC-3000 FLIP CHIP BONDER71003 Shibaura TFC-3000 FLIP CHIP BONDER75744 Shibaura TFC-3000 Flip Chip Bonder53349 Shibuya SBM 360 Null in AT 200 mm53350 Shibuya SBM 360
32221 Shibuya SBM360 BALL MOUNTER70898 SHIBUYA SBM36262523 Shibuya SBP 660 Ball Attach System 300 mm71146 Shibuya SBM 350 Solder Ball Mounter Attach Line for BGA Matrix substrates70500 Shibuya Kogyo Co., Ltd. SBM380 Ball Inspection & Repair 200 mm76029 Shibyua SBM370 Solder Ball Attach System66691 SHILLER TO3 Shiller Handler53351 Shimadzu EZ Graph System scheduled for deinstallation in June 2011.71553 Shimadzu OP5050A Gas Chromatograph/ Mass Spectro laboratory38290 SHIMADZU SMX-160E X-RAY INSPECTION SYSTEM70078 Shimadzu SMX-160T-1 X-Ray System34184 Shimadzu TMP-3403LMTC Turbo Pump 71555 Shimadzu UV1700 UV Spectrophotometer laboratory58829 Shin-mei precis ion machinerU-668D Manual Wire bonder70995 Shinkawa COF-120 INNER LEAD BONDER70996 Shinkawa COF-120 INNER LEAD BONDER71001 Shinkawa COF-300 FLIP CHIP BONDER70989 Shinkawa ILT-110 INNER LEAD BONDER70990 Shinkawa ILT-110 INNER LEAD BONDER70991 Shinkawa ILT-110 INNER LEAD BONDER70992 Shinkawa ILT-110 INNER LEAD BONDER70993 Shinkawa ILT-110 INNER LEAD BONDER70994 Shinkawa ILT-110 INNER LEAD BONDER34009 Shinkawa MPC-145-C8-DH-4CTM Temperature Controller71053 Shinkawa SBB-310 Au Stud Bump Bonder 71445 Shinkawa UTC 1000 Wire Bonders37784 Shinkawa UTC 1000 Wire Bonders66350 Shinkawa UTC 1000 Wire Bonders75738 Shinkawa UTC 1000 Super Wire Bonder
13153 shinkawa UTC 200 Spare parts for Shinkawa UTC 200 wire bonder36644 Shinkawa UTC 200 Wire Bonders2075 SHINKAWA UTC 200(SPARES) SPARES ASSEMBLY71431 Shinkawa UTC 2000 Wire Bonder12538 Shinkawa UTC 205 Wire Bonder Assembly13152 Shinkawa UTC 300 (Spares) spare parts for shinkawa UTC 300 wirebonder70982 Shinkawa UTC 370 Wire Bonder66349 Shinkawa UTC 400 Wire Bonder 66142 SHINKAWA UTC-1000 WIRE BONDER66141 SHINKAWA UTC-1000 Super WIRE BONDER56794 Shinkawa UTC-200BI SuperⅡ57782 SHINKAWA UTC-250 WIRE BONDER50595 Shinkawa UTC-475 Gold Wire Bonder54505 Shinkawa UTC 1000 Wire Bonders 13190 shinko v-4b vibrator17100 SHINKO V-4B VIBRATOR23075 Shinko Wafer Loader69940 Short Bit and Tool Co Drill Bits Drill Bits13207 Siemans QBM81-10 differential pressure controller for monitoring of differential pressures.63830 Siemens K1400 ISDN-NT Tester Analyzer69898 Siemens S20 Pick & Place Machine55897 SIGMA SQC-310 Deposition Controller51017 Sigma Systems M100/72? Temperature Chamber (Table Top)60680 Sigma Systems M88 Dual Stack Temperature Test Chamber60724 Sigma Systems PFS-2 Precis ion Failsafe Control Box60723 Sigma Systems PFS-2 Precis ion Failsafe Control Box67421 Signatone H100 probe Station 67422 Signatone S-1007X PRODUCTION PATTERN PROBE STATION. (THREE IN STOCK) 67423 Signatone S-1160 For up to 200mm (8") wafers. 68844 Signatone S-1160A-6 Probe station 267424 Signatone S1000 3 Signatone S1000 3" PROBE STATION Includes B&L Stereo Zoom 4 Optics 67425 SIGNATONE S1007 MANUAL PROBE STATION 67426 SIGNATONE S1150 150mm PROBE STATION (AVAILABLE WITH 6" HOT CHUCK) 67427 Signatone S250 SIGNATONE S250 ANALYTICAL 4" STATION WITH B&L MICROZOOM 60331 Signatone S-1160 Wafer Probing Station38512 Signatone Prober?wWith B&L Microscope
67847 SII SMI-8800SE FIB60011 SII SMI8800 FIB 200 mm38869 Sinano electric co., ltd. 7da20-7se2e servo motor, magnetic shaft encoder69899 Siplace F4 Fine Pitch Placer 73033 SJ HIMECH SJGB-AMB(S) BONDER69815 Slee Horizontal Laminar flow clean Bench 69723 Sloan Dektak 11 Profiler60323 Sloan G82801 Wafer Surface Profiler 150 mm67479 Sloan M-100 The Sloam M-100 wafer tester has an interferometer, which measures wafter thickness by optical fringes. This wafter tester includes a camera. 75615 Sloan Technology Dektak 3030 Surface Profiler 20069741 SM Techniques 2436 BAM Large Area Screen Printer19197 SMC ACM2000-02B PRESSURE Gauge19187 SMC AME 250 super mist filter72173 SMC CD55B25-25 Double Action Pneumatic Compact Cylinder14498 SMC CDQSWB20-35DC CYLINDER14497 SMC CDQSWB20-35DC-F9NL CYLINDER30808 SMC INR-341-56A CHILLER30809 SMC INR-341-60A-X20 CHILLER76052 SMC INR-498-001B CHILLER FACILITIES13147 smc mgqm25-30-xc18 cylinder14518 SMC MXS12-30AS SMC MXS12-30 PNEUMATIC CYLNDER 62492 SMS AWS-12-02-15355 Wet Etch/Clean 200mm60453 SMS AWS-12-02-15355 Wet Etch/Clean 200 mm68171 SMS BM-20 Metrology Macro Inspection 200mm70899 SOFTEX SFX-125 X-RAY MONITOR SYSTEM61482 SOFTEX SFX-125 X-RAY SYSTEM70646 SOFTEX SFX-125 X-RAY SYSTEM68763 Softex SFX-125 X-RAY SYSTEM68764 Softex SFX-125 X-RAY SYSTEM70079 Softex SFX-125 X-Ray System54079 Sokubo Sokubo RF3, RF3S, RF DuT&H Controller, Temperature and Humidity Controller54130 Sokubo Sokubo RF3, RF3S, RF DuWafer Guide for Cassette Arm13104 solenoid valves see comments solenoid valves14413 solenoid valves SOLENOID VALVES Solenoid Valves
53542 Solid State Equipment CorporSSM 470i Electrical Characterization 200mm53441 Solid State Measurement, Inc SSM 6100 Resistiv ity Measurement 300mm
60338 Solid State Measurements C70347 CV Test Analysis System75616 Solid State Measurements CV470i CV Plotter 20067397 Solitec 4110 SOLITEC 4 HEAD PHOTORESIST COATER 71233 Solitec 820-ACB Coat Bake Track 75mm to 150mm71237 Solitec 820-HPSX Wafer Cleaning 75mm to 150mm71236 Solitec 820-TDB Coat Bake Track 75mm to 150mm74100 Sonix HS 1000 Scanning Acoustic Microscope75975 Sonix HS100 Hi Speed SCANNING ACOUSTIC MICROSCO 200 MM70985 Sonix HS1000 C-SAM Parts machine36630 Sonix LHF LHF CSAM75976 Sonix STI1000 Hi Speed SCANNING ACOUSTIC MICROSCO 200 MM70984 Sonix UHR2001 C-SAM Parts machine34531 SONOBOND MP2022 200mm59230 Sony CPC 1500MK II In-Line AOI Machine19154 SONY mpf520-5 disc drive53102 Sony SI-H20 High Speed Adhesive Dispenser34133 Sony XC-711 CCD Video Camera 53268 Sorensen 113849 Power Supply Megatest Part 11384923076 Sorensen DCR 300-16T Power Supply59299 SPEA 4040 Flying Probe56315 SPEA 4040 Flying Probe in-Line34358 SPEA C30MXTH Mixed Signal Testers66093 Spea C430MX Test system Test68194 SPEA Comptest C340MX Tester7689 Special Optics Beam Enlarger for Argon I Beam Enlarger for Argon Ion laser parts7690 Special Optics Fourier Transform Lens Fourier transform Lens for Argon Ion parts63761 Specialty Coating Systems, I Precis ion Coat Conformal Coating
63612 Spectra Physics T20-8540PS Diode Power Supply for Q-Switched Laser Systems55909 SPECTRONICS CORP Spectroline PC-1100A UV Eprom/Wafer Erasing System70071 SPEED FAM FAM18BAW POLISHER61091 Speedfam 24B M/S61092 Speedfam 24BSG61093 Speedfam 24GPAW61094 Speedfam 24SWM
61095 Speedfam 29DAW71487 SPEEDFAM EP300 X CMP POLISHER - EDGE POLISHING 300 mm
75226 SpeedFam/IPEC 676 - ILD ILD (InterLayer Dielectric) CMP Syst 20075227 SpeedFam/IPEC Auriga EC - ILD ILD (InterLayer Dielectric) CMP Syst 200
75228 SpeedFam/IPEC Auriga EC - ILD ILD (InterLayer Dielectric) CMP Syst 20075229 SpeedFam/IPEC Auriga EC - Tungsten Metal Layer CMP System (Tungsten 20063774 Speedline Electrovert Aqua Jet Speedline Electrovert Aqua Jet Cleaner59290 Speedline Omni 7 E Reflow Oven59291 Speedline Omni Excel 7 & Excel 10 Reflow Oven56259 Speedline MPM MPM UP3000/A Screenprinter60758 SPT 770-SMD Reflow Oven72172 SPTS 27349.01FB3 Panel- coaxial 500w PNL72176 SPTS AC1617/03 13.56 MHz Phase Detector72191 SPTS Parts Parts Kits for Peagasus 60287 SRT MP1000F-IR Chip Bonder / Rework Station, PCB37686 SSEC 3301 Spray solvent Tool70901 SSEC 330136616 SSEC EVERGREEN CLEANER60975 SSEC EVERGREEN CLEANER54149 SSM SSM-J90 Polishing System for ASRP/Array Spreading Resistance Probe54148 SSM SSM150 ASRP/Array Spreading Resistance Probe62443 SST 302 Solvent spin tool 150mm62694 SST 30267142 SST HVWB 2200 HIGH VACUUM VERSION WITH CTI 8 CRYOPUMP 10-5 TORR INCLUDES REBUILT DIRECT DRIVE VACUUM PUMP BELLOWS CLAMPING SYSTEM FOR UNIFORM PRESSURES 71910 ST Automation MT32 Test System71908 ST Automation PTM1 Tester71903 ST Automation QT200 Test System 200mm71904 ST Automation test head test head for Eprom U 183561004 STANDARD PVD CHAMBER
71616 STEAG AST 2800 RTP71733 Steag Marangoni IPA Vapor Phase Dryer (Copper) 200 mm72852 Steag MGD5a2x6 Maringoni Driers 150 mm72853 Steag MGD5a2x6 Maringoni Driers 150 mm72347 STEAG UCM-300 Retic le Cleaner 150 mm34499 STEAG AWP-400 HOOD 200mm34498 STEAG MARANGONI IPA DRYER 200mm62736 STEAG MARANGONI IPA DRYER 200mm18864 STEAG AST 98050479 AST machine documentation
50570 Steag HApplied Materialsec Mask Cleaner Mask Cleaner 5 and 6 inch56468 Steag Industrie AG AWP Batch Wafer Processing 200mm
56469 Steag Industrie AG AWP Batch Wafer Processing 200mm31187 STEAG INDUSTRIE AG AWP WET BENCH 200 mm31188 STEAG INDUSTRIE AG AWP WET BENCH 200 mm31181 STEAG INDUSTRIE AG AWP WET BENCH 200mm56785 STEC SEC-4400MC-UC MFC's New72747 STI Semitool ST-2700 SRD Stand-Alone 150 mm72748 STI Semitool ST-2700 SRD Stand-Alone 150 mm63607 STI? 7205-10-6 (1ea) & 7211-1Epoxy Cure Ovens62547 STI? 7205-10-6? (1ea) & 7211-Epoxy Cure Ovens33770 STOKES 339-150 Oil Filtration Unit72866 Strahlerteil UVASPOT 400T UV Lamp assembly73044 Strasbaugh 6DE-DC-1 Polishmaster Optical Polisher71264 Strasbaugh 7AF Backgrinder 200mm34446 STRASBAUGH 6DS SP CMP TOOL 200mm34443 STRASBAUGH 6DS SP CMP TOOL 200mm34444 STRASBAUGH 6DS SP CMP TOOL 200mm34445 STRASBAUGH 6DS SP CMP TOOL 200mm34447 STRASBAUGH 6DS SP CMP TOOL 200mm34448 STRASBAUGH 6DS SP CMP TOOL 200mm34449 STRASBAUGH 6DS SP CMP TOOL 200mm34450 STRASBAUGH 6DS SP CMP TOOL 200mm34451 STRASBAUGH 6DS SP CMP TOOL 200mm34452 STRASBAUGH 6DS SP CMP TOOL 200mm
34453 STRASBAUGH 6DS SP CMP TOOL 200mm34454 STRASBAUGH 6DS SP CMP TOOL 200mm34455 STRASBAUGH 6DS SP CMP TOOL 200mm34456 STRASBAUGH 6DS SP CMP TOOL 200mm34457 STRASBAUGH 6DS SP CMP TOOL 200mm34458 STRASBAUGH 6DS SP CMP TOOL 200mm34459 STRASBAUGH 6DS SP CMP TOOL 200mm34460 STRASBAUGH 6DS SP CMP TOOL 200mm34461 STRASBAUGH 6DS SP CMP TOOL 200mm34462 STRASBAUGH 6DS SP CMP TOOL 200mm34463 STRASBAUGH 6DS SP CMP TOOL 200mm
34464 STRASBAUGH 6DS SP CMP TOOL 200mm34465 STRASBAUGH 6DS SP CMP TOOL 200mm
34466 STRASBAUGH 6DS SP CMP TOOL 200mm34467 STRASBAUGH 6DS SP CMP TOOL 200mm34468 STRASBAUGH 6DS SP CMP TOOL 200mm14584 STS 320PC Reactive Ion Etch System61178 STS 320PC RIE Etch Tool70295 STS MXP ICP Etcher71674 STS PRO ICP DRY ETCHER53265 STS PECVD STS Multiplex PECVD system34500 SUBMICRON AUTOMATIC WET BENCH 200mm68765 SUGAI MSD 7600 AX Wet Station 150mm68766 SUGAI MSD 7600 AX Wet Station 150mm63755 SUGAI WET BENCH SUGAI PR & OX ST 200mm54112 Sumitomo WJ999 WJ999 TEOS Ozon Generator54111 Sumitomo WJ999 WJ999 TEOS Ozon Generator21278 Sumitomo SW1100 Asher 150 mm68461 Sumitomo-El/SEZ RST-101 Spin Etcher 125mm
68462 Sumitomo-El/SEZ RST-101 Spin Etcher 125mm51014 Sun 3/260 Workstation59638 SUN MICROSYSTEMS SPARC 5 TEST STATION CONTROLLER 150 mm59597 SUN MICROSYSTEMS SPARC 5 TESTER HOST SYSTEM 150 mm37786 Sun Systems Sparc 20 Workstation 63818 Sunrise Telecomm SUNSET E20 E 10 XDSL15298 SUNX GSA-5S PS-930GA-1 QUALITY PROXIMITY SENSORS
15902 SUNX ORE-38 ROTARY ENCODER19129 SUNX PS-93OGA-1 AMP UNIT69782 Super vexta udk5114n 5-phase driver69817 Super vexta udk5114n 5-phase driver69728 Super vexta udk5114n 5-phase driver17400 SUPERIOR CLEANROON STESDG-N STATIC DISSAPATIVE GLOVES72598 Surfcorder SE-2300 Surface Roughness Measurement T150 mm75734 Suss MA 150 Mask Aligner 150 mm72925 Suss MJB 4 Mask Aligner68021 Suss PA200 Probe station75746 Suss PSM 6 wafer prober 150 mm
74080 SUSS CB200M Semi Automated High Force Wafer B 6"74081 SUSS CBC200 LED Bond Cluster 6"
73198 SUSS Delta 80RC Linear Wafer Tracks (Resist Coater)74083 SUSS PA200 Engineering Wafer Prober 8"60943 SUSS Microtec FC150
75515 Suss MicroTec (Karl Suss) MA 150 Mask Aligner 15068098 SUSSMicroTec ABC200 Wafer Bonder
21485 SVG 8026 PC RD Boron Coater60805 SVG 8126PC Coater 8126PC/8136HPO Coater61189 SVG 8620 Dual Track Single Sided Scrubber 200mm64165 SVG 86XX / 88XX Track (Coater & Developer)71648 SVG 8800 COAT TRACK71649 SVG 8800 COAT TRACK71650 SVG 8800 COAT TRACK71651 SVG 8800 COAT TRACK71652 SVG 8800 COAT TRACK58106 SVG 8800 Coater/Developer 150 mm71644 SVG 8800 DEVELOP TRACK
71645 SVG 8800 DEVELOP TRACK71646 SVG 8800 DEVELOP TRACK71647 SVG 8800 DEVELOP TRACK71653 SVG 8800 DEVELOP TRACK71654 SVG 8800 DEVELOP TRACK63638 SVG 90 Coater 150mm71637 SVG 90 TRACK62396 SVG 90-S PIX COAT TRACK 150mm62395 SVG 90S RESIST COAT/DEVELOPER 150mm71689 SVG 90S Coater/Developer Cluster Tool Tracks (Resist Coater 200 mm63634 SVG AVP 8000 OX/POLY Furnance 100mm52370 SVG Micrascan 3 DUV lithography system66598 SVG SVG 86 Double Developer Track 125mm73061 SVG SVG8600 Batch Wafer Processing63639 SVG SVG90 Developer 150mm71721 SVG VTR 7000 Vertical Anneal / Hot process Furna 200 mm75787 SVG VTR 7000 Vertical Hot Furnace 200 mm75788 SVG VTR 7000+ Vertical LPCVD Furnace 200 mm56122 SVG VTR 7000+ Vertical LPCVD Furnace Nitride 200 mm62266 SVG VTR7000 VERTICAL ALLOY FURNACE 150mm
62265 SVG VTR7000 VERTICAL ANNEAL FURNACE 150mm62264 SVG VTR7000 VERTICAL TEOS FURNACE 150mm62267 SVG VTR7000 VERTICAL TEOS FURNACE 150mm
62268 SVG VTR7000 VERTICAL TEOS FURNACE 150mm72487 SVG 7000 Vertical furnace Amorphus Poly 150 mm
72488 SVG 7000 Vertical furnace Amorphus Poly 150 mm72493 SVG 7000 Vertical furnace Amorphus Poly 150 mm72486 SVG 7000 Vertical furnace Gate Ox 150 mm72491 SVG 7000 Vertical furnace Gate Ox 150 mm72492 SVG 7000 Vertical furnace Gate Ox 150 mm72489 SVG 7000 Vertical furnace Poly-Dope 150 mm72490 SVG 7000 Vertical furnace Poly-Dope 150 mm72494 SVG 7000 Vertical furnace Poly-Dope 150 mm72495 SVG 7000 Vertical furnace Poly-Dope 150 mm
18819 SVG GE cold coated tubes69270 SVGL MICRASCAN MPT 193nm Scanner
72187 Swagelok N1-4-VCR-2-GR VCR Gaskets x 2027811 Swagelok SS-QT4-D-4PF67215 Symtec 7936 HC-5 handles and sorts .3,.4,.5 dual-in line, c ircuits,dips, mproc contrld, -55 to +155 deg c,5000dph,gravity feed,110v. 51015 Symtek 28/32 & 44 Change Kits?60767 Symtek 500 HC Spares60768 Symtek KPXX1 HC Spares67359 SYMTEK STS 429HC STS 429HC microprocessor contld. envirnmental handler, 029 carrier mounted devices, 6000dph, crt display and keybd. -55 to+150 degc with bowl feed. 208v 60867 Synax 1201 Dual Site,?Ambient and Hot71108 Synax 1211 Handler14853 Synax 1211 Handler 60868 Synax 121H Single Site, Ambient and Hot60870 Synax 1601C Quad Site, Tri temp60869 Synax SX 141 Single Site, Ambient and Hot, Pick and Place66153 SYNAX SX1101 HANDLER60340 Synax SX1201 Pick & Place IC Handler66692 SYNAX SX141 Handler33413 SYNAX SX3100 Handler33414 SYNAX SX3100 Handler33415 SYNAX SX3100 Handler67360 SYNTRON DIE FEEDER small syntron die feeder, (3) in stock 59853 SYSTEMATION ST-588 5x5 mlp Scanner 150 mm69988 Systemation ST 60-3 Tape and Reel 69995 Systemation ST-60-P6 Tape and Reel
71603 SZ M3650 Tester71549 TA Instruments Q10 DSC (Differential scanning calorimet laboratory
71551 TA Instruments Q400 TMA (Thermo-mechanical Analyzer laboratory71550 TA Instruments Q50 TGA (Thermogravimetric Analysis laboratory
51052 Tabai?Espec PV-120 Industrial Ovens71165 Taitec TEX 25C HEAT EXCHANGER FACILITIES72377 Takatori ATM-1100X Taper 150 mm72378 Takatori ATM-1100X Taper 150 mm72379 Takatori ATM-1100X Taper 150 mm72380 Takatori ATM-1100X Taper 150 mm35974 Takatori ATM-8100 Detaper (Tape Remover), 200mm
66149 TAKATORI ATM8100 DE-TAPER75789 Takatori ATRM 2100 Detaper 200 mm
70072 TAKATORI ATRM-2100 DETAPER35973 Takatori ATRM-2100 Detaper (Tape Remover), Jun 1997
65911 TAKATORI ATRM-2100 Tape Remover72381 Takatori ATRM-2100X Detaper 150 mm72382 Takatori ATRM-2100X Detaper 150 mm72383 Takatori ATRM-2100X Detaper 150 mm72384 Takatori ATRM-2100X Detaper 150 mm70318 Takatori DAM-812MP DAF mounter 300mm75126 TAKATORI DAM-812MS DAF Mounter 8, 1268729 Takatori TEAM-100 Lamination70073 TAKATORI TEAM-200 DETAPER59231 Takaya APT8400 A58055 TAKAYA FK21 LASER MARKING58397 TAKAYA TFH-1800W HANDLER27769 Takex F2R Photo Sensor F2R Series with built in Amplifier37622 Tamagawa TA2852N Track Ball66373 Tamagawa wafer annealing furnace38449 Tamagawa Machinery Co. CWT 1001 Wafer Annealing Furnace22775 Tamar Technologies CD Measure Critical Dimension Measurement Sy 150mm56851 TAMARACK SCIENTIFIC 152R Mask Alignment/Exposure System69271 Tamarack Scientific 165 Mask Aligner56850 TAMARACK SCIENTIFIC Mask Aligner, Contact Mask Exposure System60741 Tau-Tron MB302, MF560742 Tau-Tron MG302, MG502, MN302, MS30227748 TAYLOR-WARTON LD 25 DEWAR FOR CRYOGENIC FLUIDS
74566 Tazmo/Semix Semix SOG coater Lithography 674567 Tazmo/Semix TR6133u(d) Coating 4
74568 Tazmo/Semix TR6133u(d) Coating 474569 Tazmo/Semix TZP Lithography 671853 TDK AFM 15A Flip Chip Bonder13108 tdk esr-3 05-12r switching regulator13109 tdk trm003c switching power supply75753 Team Technik TT900 Laser Soldering Stringer 5 and 6 inch59276 Technical Devices Galaxy 18 In-Line Wash System59277 Technical Devices Nu/ERA 16 Wave Solder33720 TECHNICAL INSTRUMENT K2 IND/NIKON Confocal Microscope
14587 Technical Manufacturing Cor63-463 Vibration Isolation Table35600 TECHNICAL MFG. CORP. Micro-G 62-111 Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers
61179 TECHNICAL MFG. CORP. MICRO-g 63-463 Vibration Isolation Table56848 TECHNICAL MFG. CORP. MICRO-g 63-661 Vibration Isolation Table, 47" X 36"69294 Technics Micro Stripper Series 220 Plasma System75127 Technos TREX 620Tx total reflection X-ray fluorescence 8 inch70266 TECHNOS TREX620T TXRF 200 mm71056 Techwin SWB-800NED (Samsung) Wire Bonder61127 Techwing TW30268730 TECSEM TWS300 Sorter67204 Tegal 211 TABLE TOP PLASMA ETCHER/ASHER 67205 Tegal 411 TEGAL 411 PLASMA ASHER ALUMINUM CHAMBER FOR CASSETTES OF 4" WAFERS ( AND SMALLER) 67399 Tegal 411 TEGAL 411 PLASMA ASHER ALUMINUM CHAMBER ID 6X6X10" WIDE 10099 TEGAL 411 Plasma Barrel Stripper67206 Tegal 415 TEGAL 415 PLASMA ASHER 67207 Tegal 515 TEGAL 515 PLASMA ASHER 66588 Tegal 6540 Dry Etch 200mm66589 Tegal 6540 Dry Etch 200mm21501 Tegal 701 Plasma Inline 701 Etcher 4"71673 TEGAL 903E ETCHER62355 Tegal 903e Oxide dry etch 150mm62356 Tegal 903e Oxide dry etch 150mm62354 TEGAL 903E OXIDE/NITRIDE DRY ETCHER 150mm68480 TEGAL 903E Wafer Etcher 150mm68481 TEGAL 903E Wafer Etcher 150mm
67208 Tegal 96568513 TEGAL Tegal 901 Etcher 200mm50189 Tegal Tegal 903 Oxide etcher 75mm71168 Teikoku ATM 8600X Automatic wafer taping system 200 mm and 150 mm71169 Teikoku STM 800X semi-Automatic wafer taping system 200 mm and 150 mm49770 Teikoku DXL-800HSLDS Taper Laminator34323 TEIKOKU STM-800X Wafer Mounter51034 Tek Das 9100 Digital Anal. Sys.W/ 01, 04, 0651035 Tek Das 9200 Digital Anal.Sys. W/ 9201T14589 Tektronix 7704 Oscilloscope68799 Tektronix 11801B Oscilloscope 200mm18644 TEKTRONIX 2465 300MHz oscilliscope56043 tektronix 371A High Power Curve Tracer 21506 TEKTRONIX 576 Curve Tracer with Probing34546 TEKTRONIX 577 CURV TRACER 200mm33666 TEKTRONIX 577 Curve Tracer with 177 Test Fixture69310 Tektronix 7403N Oscilloscope61198 TEKTRONIX 7704 Oscilloscope71100 Tektronix 80E04 With Accessories and Calibration71099 Tektronix 80E04 Without Accessories63823 Tektronix CTS85063822 Tektronix K120563821 Tektronix K430418549 TEKTRONIX P6201 FET Probe 010-6201-00 18544 TEKTRONIX P6203 Bipolar 10X active probe69824 Tektronix TDS 5104 Digital Phosphor Oscilloscope 68800 Tektronix TDS694C Oscilloscope 200mm68801 Tektronix TDS694C Oscilloscope 200mm
68889 Tektronix TLA 714 Logic Analyser23084 Tektronix TLS 216 Logic Scope, 16 Channel, 2 GS/s51060 Tektronix P6201 Probe51033 Tektronix Oscilloscope Cameras34039 TEKTRONIX UK LTD TDS784D DIGITAL PHOSPHOR OSCILLOSCOPE opts 13,1F,2F62296 TEL ?-808SC Nitride LPCVD 200mm60405 TEL ?-808SC Nitride LPCVD 200 mm
35698 TEL (Cabinet) HMDS Delivery Cabinet70902 TEL @808SC FURNACE(DIFFUSION)67805 TEL ±-808SC HTO-ONO-HTO Process67806 TEL ±-808SC HTO-ONO-HTO Process60837 TEL 20 SR 6 inch Auto Wafer Prober76011 TEL 480 OXIDE ETCH 200 mm76012 TEL 480 OXIDE ETCH 200 mm76013 TEL 5000 OXIDE ETCH 200 mm67857 TEL 78S Prober67860 TEL 78S Prober60111 TEL 78S WAFER PROBER 150 mm53302 TEL 8500 series Etcher System is missing parts. 200 mm53304 TEL 8500 series Etcher System is missing parts. 200 mm53303 TEL 8500 series Etcher 200 mm34809 TEL a-808SC Nitride LPCVD Furnace 200mm68377 TEL A808SC Furnace (Diffusion) 200mm70903 TEL A808SE DIFF 8"70603 TEL A808SE(FTPS PYRO) VERTICAL FURNACE 200 MM76014 TEL ACE F/P OXIDE ETCH 200 mm75450 TEL ACT 12 Cluster Tool Tracks (Resist Develo 30075451 TEL ACT 12 Cluster Tool Tracks (Resist Develo 30070597 TEL ACT 12 DUV LITHOGRAPHIC COAT AND D 300 MM53354 TEL ACT 12 Coater Developer 300 mm75452 TEL ACT 12 Coater Cluster Tool Tracks (Resist Coater) 30038347 TEL ACT 8 Clean Track Coater Developer75453 TEL ACT 8 Cluster Tool Tracks (Resist Develo 15034189 TEL ACT 8 coat develop
24907 TEL ACT 8 coater and developer track, 248 nm 200 mm70289 TEL ACT 8 DUV COATER AND DEVELOPER T 200 mm70290 TEL ACT 8 DUV COATER AND DEVELOPER T 200 mm70291 TEL ACT 8 DUV COATER AND DEVELOPER T 200 mm70292 TEL ACT 8 DUV COATER AND DEVELOPER T 200 mm70256 TEL ACT 8 DUV COATER AND DEVELOPER T 200 mm71496 TEL ACT 8 DUV COATER AND DEVELOPER T 200 mm70621 TEL ACT 8 DUV COATER AND DEVELOPER T 200 mm70033 TEL ACT 8 DUV DUAL BLOCK COATER AND D 200 MM50286 TEL Act 8 TEL Act 8 SOD Track Photolithograph75455 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 200
Plasma Asher 6"(150mm) Capable
75456 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075457 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075458 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075459 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075460 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075461 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075462 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075463 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075464 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075465 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075466 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075467 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 200
75468 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 20075469 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater 200
63732 TEL ACT-8 1X COAT, 1XDEVELOP, L TO R, SIN 200mm75470 TEL ACT-8 Coater Cluster Tool Tracks (Resist Coater) 150
75471 TEL ACT-8 Coater Cluster Tool Tracks (Resist Coater) 20075472 TEL ACT-8 Coater Cluster Tool Tracks (Resist Coater) 20075473 TEL ACT-8 Coater Cluster Tool Tracks (Resist Coater) 20075474 TEL ACT-8 Coater Cluster Tool Tracks (Resist Coater) 20070904 TEL ACT12 PHOTO 12"67118 TEL ACT8 4C3D 200mm70204 TEL ALPHA 8 SE LPCVD DOPED POLY 200 mm
70205 TEL ALPHA 8 SE LPCVD DOPED POLY 200 mm70206 TEL ALPHA 8 SE NITRIDE 200 mm22778 TEL Alpha 8-SE LPCVD Vertical Diffusion Furnace 200mm11076 TEL Alpha 8-SE-E Small Footprint Oxidation Furnace 200 mm Wafers70203 TEL ALPHA 808-SCN LPCVD NITRIDE 200 mm63683 TEL ALPHA 808SC LPCVD HTO 200mm63685 TEL ALPHA 808SC POLY 200mm34858 TEL Alpha 8S Anneal/Reflow APCVD Furnace - 127 200mm62272 TEL Alpha 8S Anneal/Reflow Furnace 200mm62273 TEL Alpha 8S Anneal/Reflow Furnace 200mm62274 TEL Alpha 8S Anneal/Reflow Furnace 200mm60419 TEL Alpha 8S Anneal/Reflow Furnace 200 mm60428 TEL Alpha 8S Anneal/Reflow Furnace 200 mm60429 TEL Alpha 8S Anneal/Reflow Furnace 200 mm69254 TEL Alpha 8S LPCVD Reactor62275 TEL Alpha 8S Nitride LPCVD Furnace 200mm62276 TEL Alpha 8S Nitride LPCVD Furnace 200mm62277 TEL Alpha 8S Nitride LPCVD Furnace 200mm62278 TEL Alpha 8S Nitride LPCVD Furnace 200mm62279 TEL Alpha 8S Nitride LPCVD Furnace 200mm62280 TEL Alpha 8S Nitride LPCVD Furnace 200mm60420 TEL Alpha 8S Nitride LPCVD Furnace 200 mm60421 TEL Alpha 8S Nitride LPCVD Furnace 200 mm60422 TEL Alpha 8S Nitride LPCVD Furnace 200 mm60423 TEL Alpha 8S Nitride LPCVD Furnace 200 mm60424 TEL Alpha 8S Nitride LPCVD Furnace 200 mm60425 TEL Alpha 8S Nitride LPCVD Furnace 200 mm34758 TEL Alpha 8S Nitride LPCVD Furnace - 127 Wafer S200mm34759 TEL Alpha 8S Nitride LPCVD Furnace - 127 Wafer S200mm
34760 TEL Alpha 8S Nitride LPCVD Furnace (DCS) - 127 200mm34761 TEL Alpha 8S Nitride LPCVD Furnace (DCS) - 127 200mm34762 TEL Alpha 8S Nitride LPCVD Furnace (DCS) - 127 200mm34763 TEL Alpha 8S Nitride LPCVD Furnace (DCS) - 170 200mm62281 TEL Alpha 8S Oxidation APCVD Furnace 200mm60426 TEL Alpha 8S Oxidation APCVD Furnace 200 mm34764 TEL Alpha 8S Oxidation APCVD Furnace - 127 Wafe200mm62282 TEL Alpha 8S Poly LPCVD Furnace 200mm60427 TEL Alpha 8S Poly LPCVD Furnace 200 mm69251 TEL Alpha 8S Vacuum Metal Anneal Furnace34765 TEL Alpha 8S Vertical D-Poly LPCVD Furnace w/ T 200mm34766 TEL Alpha 8S Vertical H2 Anneal/Reflow APCVD Fu200mm34767 TEL Alpha 8S Vertical N2 Anneal/Reflow APCVD Fu200mm75321 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075322 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075323 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075324 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075325 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075326 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075327 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075328 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075329 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075330 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075331 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075332 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075333 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075334 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20075335 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 20062283 TEL Alpha 8S (N2LL) Oxidation APCVD Furnace 200mm62284 TEL Alpha 8S (N2LL) Oxidation APCVD Furnace 200mm60430 TEL Alpha 8S (N2LL) Oxidation APCVD Furnace 200 mm60431 TEL Alpha 8S (N2LL) Oxidation APCVD Furnace 200 mm34769 TEL Alpha 8S (N2LL) Oxidation APCVD Furnace - 127 Wafe200mm70196 TEL ALPHA 8S ZV ALD OX 200 mm70185 TEL Alpha 8s-zv ALD AL OXIDE 200 mm70197 TEL Alpha 8S-ZVF LP ANNEAL P 200 mm
70186 TEL Alpha 8S-ZVF PH3 ANNEAL 200 mm63686 TEL ALPHA 8SE BPSG 200mm63689 TEL ALPHA 8SE DOPED POLY 200mm63690 TEL ALPHA 8SE DOPED POLY 200mm63691 TEL ALPHA 8SE DOPED POLY 200mm63692 TEL ALPHA 8SE DOPED POLY 200mm63693 TEL ALPHA 8SE DOPED POLY 200mm63694 TEL ALPHA 8SE DOPED POLY 200mm63695 TEL ALPHA 8SE DOPED POLY 200mm63687 TEL ALPHA 8SE LPCVD - TEOS 200mm62285 TEL Alpha 8SE LPCVD Furnace 200mm62286 TEL Alpha 8SE LPCVD Furnace 200mm60432 TEL Alpha 8SE LPCVD Furnace 200 mm60433 TEL Alpha 8SE LPCVD Furnace 200 mm63696 TEL ALPHA 8SE NITRIDE PROCESS 200mm62287 TEL Alpha 8SE Oxidation APCVD Furnace 200mm60434 TEL Alpha 8SE Oxidation APCVD Furnace 200 mm63688 TEL ALPHA 8SE TEOS 200mm34770 TEL Alpha 8SE Vertical AlO2/Hafnium LPCVD Furnac200mm34771 TEL Alpha 8SE Vertical AlO2/Hafnium LPCVD Furnac200mm34776 TEL Alpha 8SE Vertical Selective Oxide APCVD Fur 200mm62288 TEL Alpha 8SE (N2 LL) Nitride LPCVD Furnace 200mm60435 TEL Alpha 8SE (N2 LL) Nitride LPCVD Furnace 200 mm34778 TEL Alpha 8SE (N2 LL) Nitride LPCVD Furnace - 170 Wafer 200mm62289 TEL Alpha 8SE (N2 LL) PIX Cure APCVD Furnace 200mm60436 TEL Alpha 8SE (N2 LL) PIX Cure APCVD Furnace 200 mm34780 TEL Alpha 8SE (N2 LL) PIX Cure APCVD Furnace - 150 Wafe200mm
62295 TEL Alpha 8SE (N2LL) Selective Oxide LPCVD 200mm60398 TEL Alpha 8SE (N2LL) Selective Oxide LPCVD 200 mm34810 TEL Alpha 8SE (N2LL) Selective Oxide LPCVD Furnace - 12200mm62290 TEL Alpha 8SE-EVGN (FTPS & Nitride LPCVD Furnace 200mm60437 TEL Alpha 8SE-EVGN (FTPS & Nitride LPCVD Furnace 200 mm34859 TEL Alpha 8SE-EVGN (FTPS & Nitride LPCVD Furnace - 127 Wafer 200mm61428 TEL ALPHA_805C DIFFUSION FURNACE
68378 TEL ALPHA_805C Furnace (Diffusion) 200mm68379 TEL ALPHA_805C Furnace (Diffusion) 200mm68380 TEL ALPHA_808SC Furnace (Diffusion) 200mm68381 TEL ALPHA_808SC Furnace (Diffusion) 200mm68382 TEL ALPHA_808SC Furnace (Diffusion) 200mm70905 TEL ALPHA_808SC FURNACE(DIFFUSION)61432 TEL ALPHA_808SCN DIFFUSION FURNACE61433 TEL ALPHA_808SCN DIFFUSION FURNACE70906 TEL ALPHA_808SCN DIFFUSION FURNACE70907 TEL ALPHA_808SCN DIFFUSION FURNACE68383 TEL ALPHA_808SCN Furnace (Diffusion) 200mm68384 TEL ALPHA_808SCN Furnace (Diffusion) 200mm68385 TEL ALPHA_808SCN Furnace (Diffusion) 200mm68386 TEL ALPHA_808SCN Furnace (Diffusion) 200mm75336 TEL Alpha-303i - LPCVD Vertical LPCVD Furnace 30075338 TEL Alpha-303i - Nitride Vertical Nitride Furnace 30075339 TEL Alpha-303i - Nitride Vertical Nitride Furnace 30075340 TEL Alpha-303i - Nitride Vertical Nitride Furnace 30075341 TEL Alpha-303i - Nitride Vertical Nitride Furnace 30022776 TEL Alpha-303I-H Vertical Poly Furnace 300mm60417 TEL Alpha-303I-H Vertical Poly Furnace 300 mm60965 TEL ALPHA-805C60966 TEL ALPHA-805C60967 TEL ALPHA-805C60968 TEL ALPHA-805C61417 TEL ALPHA-808CN Furnace61419 TEL ALPHA-808CN Furnace61421 TEL ALPHA-808CN Furnace70908 TEL ALPHA-808CN Furnace
70909 TEL ALPHA-808CN Furnace70910 TEL ALPHA-808CN Furnace68387 TEL ALPHA-808CN Furnace-LP 200mm68388 TEL ALPHA-808CN Nitride Dep 200mm68389 TEL ALPHA-808CN Nitride Dep 200mm61416 TEL ALPHA-808SC Furnace61418 TEL ALPHA-808SC Furnace61420 TEL ALPHA-808SC Furnace61422 TEL ALPHA-808SC Furnace61424 TEL ALPHA-808SC Furnace61425 TEL ALPHA-808SC Furnace61426 TEL ALPHA-808SC Furnace70911 TEL ALPHA-808SC Furnace70912 TEL ALPHA-808SC Furnace70913 TEL ALPHA-808SC Furnace70914 TEL ALPHA-808SC Furnace70915 TEL ALPHA-808SC Furnace70916 TEL ALPHA-808SC Furnace70917 TEL ALPHA-808SC Furnace68390 TEL ALPHA-808SC Nitride Dep 200mm68391 TEL ALPHA-808SC Nitride Dep 200mm68392 TEL ALPHA-808SC Poly Dep 200mm68393 TEL ALPHA-808SC TEOS Dep 200mm68394 TEL ALPHA-808SC TEOS Dep 200mm68395 TEL ALPHA-808SC TEOS Dep 200mm68396 TEL ALPHA-808SC TEOS Dep 200mm61423 TEL ALPHA-808SCN Furnace70918 TEL ALPHA-808SCN Furnace68397 TEL ALPHA-808SCN Poly Dep 200mm64334 TEL Alpha-8S (LPCVD Amorph Poly)64335 TEL Alpha-8S (LPCVD Nitride) NITRIDE LPCVD 200 mm 64336 TEL Alpha-8S (LPCVD TEOS)64337 TEL Alpha-8S (oxidation)64338 TEL Alpha-8S (oxidation)61402 TEL ALPHA-8S-C Furnace70919 TEL ALPHA-8S-C Furnace
68398 TEL ALPHA-8S-C Nitride Dep 200mm64196 TEL Alpha-8S-Z (FTPS) HTO, SMIF64197 TEL Alpha-8S-Z (FTPS) NITRIDE, SMIF64198 TEL Alpha-8S-Z (FTPS) POLY, SMIF64202 TEL Alpha-8S-Z (FTPS) POLY, SMIF64199 TEL Alpha-8S-Z (FTPS) PYRO, SMIF64200 TEL Alpha-8S-Z (FTPS) PYRO, SMIF61401 TEL ALPHA-8S-ZD Furnace70920 TEL ALPHA-8S-ZD Furnace68400 TEL ALPHA-8S-ZD Oxide 200mm65985 TEL ALPHA-8SE CVD 200mm63699 TEL ALPHA-8SE LPRO (FTPS WET OXIDE) 200mm63698 TEL ALPHA-8SE 200mm75342 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075343 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075344 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075345 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075346 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075347 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075348 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075349 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075350 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075351 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075352 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075353 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20075354 TEL Alpha-8SE - Anneal Vertical Anneal Furnace 20053356 TEL Alpha-8SE - LPCVD Hafnium High-K ConfigurationSTAND200 mm75355 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075356 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075357 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075358 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075359 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075360 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075361 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 200
75362 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075363 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 200
75364 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075365 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075366 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075367 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20075368 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 20017850 TEL Alpha-8SE-E High Temperature Oxide Furnace 200 mm62291 TEL Alpha-8SE-E-ATPf Vertical Oxide Diffusion Furnace 200mm60418 TEL Alpha-8SE-E-ATPf Vertical Oxide Diffusion Furnace 200 mm68399 TEL ALPHA-8SE-Z (N) Nitride Dep 200mm64221 TEL Alpha-8SE-ZVNS (Alpha-8SE N) HTO, SMIF64203 TEL Alpha-8SE-ZVNS (Alpha-8SE N) POLY, SMIF64204 TEL Alpha-8SE-ZVNS (Alpha-8SE N) POLY, SMIF64205 TEL Alpha-8SE-ZVNS (Alpha-8SE N) POLY, SMIF64222 TEL Alpha-8SE-ZVS (Alpha-8SE Z) HTO, SMIF64219 TEL Alpha-8SE-ZVS (Alpha-8SE Z) NITRIDE, SMIF64211 TEL Alpha-8SE-ZVS (Alpha-8SE) HTO, SMIF64220 TEL Alpha-8SE-ZVS (Alpha-8SE) HTO, SMIF23088 TEL Cellesta 300mm Wafer Clean Tool67827 TEL Clean Track ACT 8 2COATER/2DEVELOPER67823 TEL Clean Track Mark 7 2COATER67824 TEL Clean Track Mark 7 3DEVELOPER67826 TEL Clean Track Mark 8 2COATER/2DEVELOPER67825 TEL Clean Track Mark 8 SOG67821 TEL Clean Track Mark V 2DEVELOPER67822 TEL Clean Track Mark Vz 1COATER/2DEVELOPER68555 TEL CleanTrack Coater/Developer 125mm35943 TEL CT-M8SOG PiQ Etch Back
35961 TEL CT-M8SOG SOG Coat & Oven35962 TEL CT-M8SOG SOG Coat & Oven35963 TEL CT-M8SOG SOG Coat & Oven59842 TEL CT-MK7 Coater 200 mm59843 TEL CT-MK7 Coater 200 mm56926 TEL CT-MK8 (2Block 2C2D) Coater/Developer 200mm70921 TEL DRM ETCHER
68514 TEL DRM Etcher 200mm68642 TEL ED-980 BRUTE ED 125mm
68643 TEL ED-980-482 BRUTE ED 125mm75715 TEL EXPEDIUS Batch Process Cleaner 300
68401 TEL FORMULA LPCVD Furnace 200mm53262 TEL Formula Vertical Nitride Furnace 300mm53263 TEL Formula Vertical Oxide Furnace 300mm56000 Tel Formula - Nitride 300mm Nitride Vertical Nitride Furnace56001 Tel Formula - Oxide 300mm Oxide Vertical Furnace75617 TEL Ingenio Process Control & Measurement N/A75475 TEL LITHIUS Cluster Tool Tracks (Resist Coater 20075476 TEL LITHIUS Cluster Tool Tracks (Resist Coater 30075477 TEL LITHIUS Cluster Tool Tracks (Resist Coater 30075478 TEL LITHIUS Cluster Tool Tracks (Resist Coater 30038339 TEL Mark 7 2Coater/2Developer34819 TEL Mark 7 Coat(2)/Develop(2) Track, Other Stations: 2 X ADH200mm62397 TEL Mark 7 Coat/Develop 200mm60474 TEL Mark 7 Coat/Develop 200 mm72045 TEL MARK 7 PHOTORESIST COAT/DEV TRACK 8"72046 TEL MARK 7 PHOTORESIST COAT/DEV TRACK 8"72047 TEL MARK 7 PHOTORESIST COAT/DEV TRACK 8"72048 TEL MARK 7 (1C2D) PHOTORESIST COAT/DEV TRACK 8"70255 TEL MARK 8 2 COAT 2 DEVELOP TRACK 200 mm70247 TEL MARK 8 COATER DEVELOPER TRACK 200 mm70248 TEL MARK 8 COATER DEVELOPER TRACK 200 mm37768 TEL Mark 8 Coater/Developer34109 TEL Mark 8 Coater/Developer21336 TEL MARK 8 High throughput track72049 TEL MARK 8 PHOTORESIST COAT/DEV TRACK 8"
71224 TEL Mark 8 photresist coater and developer 1 200 MM61454 TEL MARK 8 Track68569 TEL MARK 8 Track, 1c/2d 200mm54881 TEL Mark 8 Photo 200mm72679 TEL MARK II Stand-alone Track Coat(2) 150 mm72680 TEL MARK II Stand-alone Track Coat(2) 150 mm72681 TEL MARK II Stand-alone Track Coat(2) 150 mm72682 TEL MARK II Stand-alone Track Coat(2) 150 mm72683 TEL MARK II Stand-alone Track Coat(2) 150 mm
72684 TEL MARK II Stand-alone Track Coat(2) 150 mm72685 TEL MARK II Stand-alone Track Coat(2) 150 mm
72686 TEL MARK II Stand-alone Track Coat(2) 150 mm72687 TEL MARK II Stand-alone Track Coat(2) 150 mm72688 TEL MARK II Stand-alone Track Coat(2) 150 mm72689 TEL MARK II Stand-alone Track Coat(2) 150 mm72690 TEL MARK II Stand-alone Track Coat(2) 150 mm72691 TEL MARK II Stand-alone Track Coat(2) 150 mm72692 TEL MARK II Stand-alone Track Coat(2) 150 mm72693 TEL MARK II Stand-alone Track Develop(4) 150 mm72694 TEL MARK II Stand-alone Track Develop(4) 150 mm72695 TEL Mark V Stand-alone Track Develop(4) 150 mm72696 TEL Mark V Stand-alone Track Develop(4) 150 mm69944 TEL Mark V Track 15067686 Tel Mark V 200mm75479 TEL MARK-7 Coater Linear Wafer Tracks (Resist Coater 20075480 TEL MARK-7 Coater/Develope Linear Wafer Tracks (Resist Coate 20075481 TEL MARK-7 Coater/Develope Linear Wafer Tracks (Resist Coate 20068698 TEL MARK-7-A Spinner 200mm75482 TEL MARK-8 Coater Cluster Tool Tracks (Resist Coater) 20075483 TEL MARK-8 Developer Cluster Tool Tracks (Resist Develo 20075484 TEL MARK-8 Developer Cluster Tool Tracks (Resist Develo 20075485 TEL MARK-8 Developer Cluster Tool Tracks (Resist Develo 20072697 TEL MARK-VZ Stand-alone Track Coat(2) 150 mm72698 TEL MARK-VZ Stand-alone Track Develop(2) 150 mm
72699 TEL MARK-VZ Stand-alone Track Develop(4) 150 mm68558 TEL Mark5 1C2D Coater/Developer 150mm68559 TEL Mark5 1C2D Coater/Developer 150mm68570 TEL MARK7 Developer 200mm60934 TEL Mark8 Clean Track 70251 TEL MARK8 i line coater and developer 200 mm70252 TEL MARK8 i line coater and developer 200 mm70253 TEL MARK8 i line coater and developer 200 mm56925 TEL Mark8 (1C2D) SMIF Coater/Developer 200mm64224 TEL MARK8 1C1ARC C68556 TEL MARKII Coater 125mm
64225 TEL MARXS 2C 2D70162 TEL MB2 WSI CVD 200 mm
70184 TEL MB2 WSI CVD 200 mm62310 TEL MB2 730 Tungsten PECVD 200mm60400 TEL MB2 730 Tungsten PECVD 200 mm56900 TEL MB2 730 Tungsten PECVD 200mm62311 TEL MB2 730 Tungsten Si PECVD 200mm60399 TEL MB2 730 Tungsten Si PECVD 200 mm34803 TEL MB2 730 WSix CVD System w/ 2 X CVD Chambe200mm64427 TEL MB2-730 DCS WSI 3chamber System MCJ-11964428 TEL MB2-730 DCS Wsix 3chamber System 59706 TEL MB2-730 LPCVD 200 mm68453 TEL MB2-730 (DCS) LP-CVD, Wsi 200mm23138 TEL MK 8 Dual Coat developer75188 TEL P-12XL Automated Wafer Prober 30075189 TEL P-12XL Automated Wafer Prober 30075190 TEL P-12XL Automated Wafer Prober 30075191 TEL P-12XL Automated Wafer Prober 300
75192 TEL P-12XL Automated Wafer Prober 30075193 TEL P-12XL Automated Wafer Prober 30076076 TEL P-12XL Wafer Prober 1261014 TEL P-8 AUTOMATIC WAFER PROBER70922 TEL P-8 PROBER70923 TEL P-8 PROBER70924 TEL P-8 PROBER
70925 TEL P-8 PROBER68845 TEL P-8 Prober68846 TEL P-8 Prober68847 TEL P-8 Prober68848 TEL P-8 Prober68849 TEL P-8 Prober68850 TEL P-8 Prober60980 TEL P-868895 TEL P12XL/P12XLn60835 Tel P12XLm Wafer Prober60836 Tel P12XLm Wafer Prober
61072 TEL P12XLn+38206 TEL P8 Probers
60832 Tel P8 Wafer Prober60833 Tel P8 Wafer Prober70038 TEL P8 PROBER 200 mm
23178 TEL P8 PROBER 200 mm 71743 TEL P8XL automatic PROBER 200 mm
61222 TEL P8XL prober70926 TEL P8XL PROBER "870927 TEL P8XL PROBER "870928 TEL P8XL PROBER "870929 TEL P8XL PROBER "870930 TEL P8XL PROBER "870931 TEL P8XL PROBER "870932 TEL P8XL PROBER "870933 TEL P8XL PROBER "870934 TEL P8XL PROBER "870935 TEL P8XL PROBER "8
70936 TEL P8XL PROBER "870937 TEL P8XL PROBER "870938 TEL P8XL PROBER "870939 TEL P8XL PROBER "861490 TEL P8XL Prober61491 TEL P8XL Prober61492 TEL P8XL Prober61493 TEL P8XL Prober61494 TEL P8XL Prober61495 TEL P8XL Prober61496 TEL P8XL Prober61497 TEL P8XL Prober61498 TEL P8XL Prober61499 TEL P8XL Prober61500 TEL P8XL Prober61501 TEL P8XL Prober61502 TEL P8XL Prober61503 TEL P8XL Prober61504 TEL P8XL Prober61505 TEL P8XL Prober
61506 TEL P8XL Prober61507 TEL P8XL Prober61508 TEL P8XL Prober
61509 TEL P8XL Prober61510 TEL P8XL Prober
61511 TEL P8XL Prober61512 TEL P8XL Prober61513 TEL P8XL Prober61514 TEL P8XL Prober61515 TEL P8XL Prober61516 TEL P8XL Prober61517 TEL P8XL Prober68851 TEL P8XL Prober68852 TEL P8XL Prober
68853 TEL P8XL Prober, VIP 3, Top Loader68854 TEL P8XL Prober, VIP 3, Top Loader
68855 TEL P8XL Prober, VIP 3, Top Loader68856 TEL P8XL Prober, VIP 3, Top Loader68857 TEL P8XL Prober, VIP 3, Top Loader68858 TEL P8XL Prober, VIP 3, Top Loader68859 TEL P8XL Prober, VIP 3, Top Loader68860 TEL P8XL Prober, VIP 3, Top Loader68861 TEL P8XL Prober, VIP 3, Top Loader68862 TEL P8XL Prober, VIP 3, Top Loader68863 TEL P8XL Prober, VIP 3, Top Loader68864 TEL P8XL Prober, VIP 3, Top Loader68865 TEL P8XL Prober, VIP 3, Top Loader68866 TEL P8XL Prober, VIP 3, Top Loader68867 TEL P8XL Prober, VIP 3, Top Loader68868 TEL P8XL Prober, VIP 3, Top Loader68869 TEL P8XL Prober, VIP 3, Top Loader68870 TEL P8XL Prober, VIP 3, Top Loader68871 TEL P8XL Prober, VIP 3, Top Loader68872 TEL P8XL Prober, VIP 3, Top Loader68873 TEL P8XL Prober, VIP 3, Top Loader68874 TEL P8XL Prober, VIP 3, Top Loader68875 TEL P8XL Prober, VIP 3, Top Loader68876 TEL P8XL Prober, VIP 3, Top Loader68877 TEL P8XL Prober, VIP 3, Top Loader
68878 TEL P8XL Prober, VIP 3, Top Loader68879 TEL P8XL Prober, VIP 3, Top Loader
68880 TEL P8XL Prober, VIP 3, Top Loader38283 TEL P8XL Probers
38286 TEL P8XL Probers54606 TEL P8XL Wafer Prober 200 mm60834 Tel P8XL Wafer Prober61070 TEL P8XL53127 TEL P8XL - VIP3 PROBER 200 mm 53128 TEL P8XL - VIP3 PROBER 200 mm 53126 TEL P8XL - VIP3 PROBER 200 mm
23179 TEL P8XL - VIP3 PROBER 200 mm 68896 TEL P8XL ( With chiller -40)
62493 TEL PR 200Z Wet Etch/Strip 200mm60457 TEL PR 200Z Wet Etch/Strip 200 mm
75194 TEL Precio Automated Wafer Prober 30069295 TEL SS-2/4 Double-Sided Wafer Scrubber68660 TEL SS1,4D Elipsometer 125mm67862 TEL TE-8401 Process Kits67863 TEL TE-8401 Process Kits67864 TEL TE-8401 Process Kits67865 TEL TE-8401 Process Kits67866 TEL TE-8401 Process Kits68515 TEL TE-8401 SiN Dry Etching 200mm68516 TEL TE-8401 SiN Dry Etching 200mm68517 TEL TE-8401 SiN Dry Etching 200mm68518 TEL TE-8401 SiN Dry Etching 200mm68519 TEL TE-8401 SiN Dry Etching 200mm67867 TEL TE-8500 Process Kits67868 TEL TE-8500 Process Kits68521 TEL TE-8500S SiO2 Dry Etching 200mm68522 TEL TE-8500S SiO2 Dry Etching 200mm68523 TEL TE-8600 Dry Etching 200mm67869 TEL TE-8600 Process Kits68463 TEL TE480 Dry Etcher Poly 125mm62357 TEL TE5000LEC OXIDE ETCHER 150mm62358 TEL TE5000LEC OXIDE ETCHER 150mm62359 TEL TE5000LEC OXIDE ETCHER 150mm
62360 TEL TE5000LEC OXIDE ETCHER 150mm62361 TEL TE5000LEC OXIDE ETCHER 150mm
62362 TEL TE5000LEC OXIDE ETCHER 150mm62363 TEL TE5000LEC OXIDE ETCHER 150mm62364 TEL TE5000LEC OXIDE ETCHER 150mm68520 TEL TE8500 Dry Etching 200mm68402 TEL TEL_A808S Furnace (Diffusion) 200mm70940 TEL TEL_A808S FURNACE(DIFFUSION)68403 TEL TEL_A808SC Furnace (Diffusion) 200mm68404 TEL TEL_A808SC Furnace (Diffusion) 200mm68405 TEL TEL_A808SC Furnace (Diffusion) 200mm
68406 TEL TEL_A808SC Furnace (Diffusion) 200mm70941 TEL TEL_A808SC FURNACE(DIFFUSION)
70942 TEL TEL_A808SC FURNACE(DIFFUSION)70943 TEL TEL_A808SC FURNACE(DIFFUSION)70944 TEL TEL_A808SC FURNACE(DIFFUSION)70945 TEL TEL_A808SC FURNACE(DIFFUSION)70946 TEL TEL_A808SC FURNACE(DIFFUSION)70947 TEL TEL_A808SC FURNACE(DIFFUSION)70948 TEL TEL_A808SC FURNACE(DIFFUSION)68407 TEL TEL_A808SE_FTPS Furnace (Diffusion) 200mm70949 TEL TEL_A808SE_FTPS FURNACE(DIFFUSION)75369 TEL Telius SP Oxide Etch Oxide Etch System 20075370 TEL Telius SP Oxide Etch Oxide Etch System 20075371 TEL Telius SP Oxide Etch Oxide Etch System 20075372 TEL Telius SP Oxide Etch Oxide Etch System 20075373 TEL Telius SP Oxide Etch Oxide Etch System 20075374 TEL Telius SP Oxide Etch Oxide Etch System 20075375 TEL Telius SP Oxide Etch Oxide Etch System 20075376 TEL Telius SP Oxide Etch Oxide Etch System 20075377 TEL Telius SP Oxide Etch Oxide Etch System 20075378 TEL Telius SP Oxide Etch Oxide Etch System 20075379 TEL Telius SP Oxide Etch Oxide Etch System 20072903 TEL Trias Tandem Metal CVD Liner70950 TEL TRIAS "1253298 TEL Trias Chamber cvd 300 mm
76015 TEL Trias High-K Gates ETCHER 300 MM53357 TEL Trias SPA Dry etcher 300 mm56845 TEL TSP-308888SSSS TEL Chiller for TEL Etch Chamber35937 TEL U-II-85DI BARC Etch35713 TEL U2e-855SS OXIDE ETCH 2CH#35714 TEL U2e-855SS OXIDE ETCH 2CH#35715 TEL U2e-855SS OXIDE ETCH 2CH#62377 TEL U2e-855SS OXIDE ETCHER 200mm62378 TEL U2e-855SS OXIDE ETCHER 200mm62379 TEL U2e-855SS OXIDE ETCHER 200mm60454 TEL U2e-855SS OXIDE ETCHER 200 mm60455 TEL U2e-855SS OXIDE ETCHER 200 mm60456 TEL U2e-855SS OXIDE ETCHER 200 mm70182 TEL UNITY TI N CVD 200 mm70178 TEL UNITY TIN AND TICL4 CVD 200 mm63719 TEL UNITY 2 855 DD 2xDRM CHAMBERS 200mm63720 TEL UNITY 2 855 DD 2xDRM CHAMBERS 200mm63721 TEL UNITY 2 855 DD 2xDRM CHAMBERS 200mm63722 TEL UNITY 2 855 DD 2xDRM CHAMBERS 200mm70223 TEL UNITY 2 8555SSS OXIDE ETCH 200 mm63710 TEL UNITY 2 855DD 200mm70236 TEL UNITY 2 DRM DRY ET 200 mm63723 TEL UNITY 2E 855 DD 2XDRM CHAMBERS 200mm63724 TEL UNITY 2E 855 DD 2XDRM CHAMBERS 200mm63725 TEL UNITY 2E 855 SS 2xSCCM CHAMBERS 200mm68524 TEL Unity 84TM HPC (PolySi) Dry Etching 200mm70238 TEL UNITY 855PP OXIDE ETCH 200 mm70242 TEL UNITY 855PP OXIDE ETCH 200 mm68525 TEL Unity 85D?? Dry Etching 200mm
35629 TEL Unity DRM OXIDE ETCHER 200 mm35646 TEL Unity DRM OXIDE ETCHER 200 MM35647 TEL Unity DRM OXIDE ETCHER 200 mm35649 TEL Unity DRM OXIDE ETCHER 200 MM 35648 TEL Unity DRM OXIDE ETCHER AUG 199935650 TEL Unity DRM OXIDE ETCHER34804 TEL Unity EP CVD TiN System w/ 4 X TiN & 2 X Co 200mm
34805 TEL Unity EP CVD TiN System w/ 4 X TiN & 2 X Co 200mm34806 TEL Unity EP PECVD System w/ 4 X Unity EP TiN, 200mm34807 TEL Unity EP PECVD System w/ 4 X Unity EP TiN, 200mm62312 TEL Unity EP TiN PECVD 200mm62313 TEL Unity EP TiN PECVD 200mm62314 TEL Unity EP TiN PECVD 200mm62315 TEL Unity EP TiN PECVD 200mm60401 TEL Unity EP TiN PECVD 200 mm60402 TEL Unity EP TiN PECVD 200 mm60403 TEL Unity EP TiN PECVD 200 mm60404 TEL Unity EP TiN PECVD 200 mm75380 TEL Unity II 85 DRM Oxide Etch System 20075381 TEL Unity IIE 84 SCCM PolySilicon Etch System 20075382 TEL Unity IIE 84 SCCM PolySilicon Etch System 20075383 TEL Unity IIE 84 SCCM PolySilicon Etch System 20075384 TEL Unity IIE 84 SCCM PolySilicon Etch System 20075385 TEL Unity IIE 84 SCCM PolySilicon Etch System 20075386 TEL Unity IIE 85 DP Oxide Etch System 20075387 TEL Unity IIE 85 DP Oxide Etch System 20075388 TEL Unity IIE 85 DRM Oxide Etch System 20075389 TEL Unity IIE 85 DRM Oxide Etch System 20075390 TEL Unity IIE 85 DRM Oxide Etch System 20075391 TEL Unity IIE 85 DRM Oxide Etch System 20075392 TEL Unity IIE 85 DRM Oxide Etch System 20075393 TEL Unity IIE 85 DRM Oxide Etch System 20075394 TEL Unity IIE 85 DRM Oxide Etch System 20075395 TEL Unity IIE 85 DRM Oxide Etch System 200
75396 TEL Unity IIE 85 DRM Oxide Etch System 20075397 TEL Unity IIE 85 DRM Oxide Etch System 200
75398 TEL Unity IIE 85 DRM Oxide Etch System 20075399 TEL Unity IIE 85 DRM Oxide Etch System 20075400 TEL Unity IIE 85 DRM Oxide Etch System 20075401 TEL Unity IIE 85 DRM Oxide Etch System 20075402 TEL Unity IIE 85 DRM Oxide Etch System 20075403 TEL Unity IIE 85 DRM Oxide Etch System 20075404 TEL Unity IIE 85 DRM Oxide Etch System 20075405 TEL Unity IIE 85 DRM Oxide Etch System 20075406 TEL Unity IIE 85 DRM Oxide Etch System 200
75407 TEL Unity IIE 85 DRM Oxide Etch System 20075408 TEL Unity IIE 85 DRM Oxide Etch System 20075409 TEL Unity IIE 85 DRM Oxide Etch System 20075410 TEL Unity IIE 85 DRM Oxide Etch System 20075411 TEL Unity IIE 85 DRM Oxide Etch System 20075412 TEL Unity IIE 85 DRM Oxide Etch System 20075413 TEL Unity IIE 85 DRM Oxide Etch System 20075414 TEL Unity IIE 85 DRM Oxide Etch System 20075415 TEL Unity IIE 85 DRM Oxide Etch System 20075416 TEL Unity IIE 85 DRM Oxide Etch System 20058028 TEL Unity IIE 85 DRM 200 mm58029 TEL Unity IIE 85 DRM 200 mm
58030 TEL Unity IIE 85 DRM 200 mm58031 TEL Unity IIE 85 DRM 200 mm
58032 TEL Unity IIE 85 DRM 200 mm58033 TEL Unity IIE 85 DRM 200 mm
62381 TEL Unity IIe 85 PPA Nitride Etch 200mm62382 TEL Unity IIe 85 PPA Nitride Etch 200mm60458 TEL Unity IIe 85 PPA Nitride Etch 200 mm60459 TEL Unity IIe 85 PPA Nitride Etch 200 mm75417 TEL Unity IIE 85 SCCM Oxide Etch System 20075418 TEL Unity IIE 85 SCCM Oxide Etch System 20075419 TEL Unity IIE 85 SCCM Oxide Etch System 200
62383 TEL Unity IIe 85 TPA Nitride Etch 200mm60460 TEL Unity IIe 85 TPA Nitride Etch 200 mm62384 TEL Unity IIe 855 IA Nitride Etch 200mm60461 TEL Unity IIe 855 IA Nitride Etch 200 mm62385 TEL Unity IIe 855 II Nitride Etch 200mm60462 TEL Unity IIe 855 II Nitride Etch 200 mm62386 TEL Unity IIe 85DD Oxide Etch 200mm62387 TEL Unity IIe 85DD Oxide Etch 200mm60463 TEL Unity IIe 85DD Oxide Etch 200 mm60464 TEL Unity IIe 85DD Oxide Etch 200 mm56903 TEL Unity IIe 85DD Oxide Etch 200mm75420 TEL Unity IIE 88 DRM Oxide Etch System 20058034 TEL Unity M 85 DRM 200 mm58035 TEL Unity M 85 DRM 200 mm53305 TEL Unity M 85 SCCM Config is available. 200 mm65323 TEL Unity M85 DRM 3 CHAMBER FEOL OXIDE 200 MM 75421 TEL Unity ME 85 DRM Oxide Etch System 20075422 TEL Unity ME 85 DRM Oxide Etch System 20075423 TEL Unity ME 85 DRM Oxide Etch System 20075424 TEL Unity ME 85 DRM Oxide Etch System 20075425 TEL Unity ME 85 DRM Oxide Etch System 20075426 TEL Unity ME 85 DRM Oxide Etch System 20075427 TEL Unity ME 85 SCCM Oxide Etch System 20075428 TEL Unity ME 85 SCCM Oxide Etch System 20075431 TEL Unity ME 85 SCCM Oxide Etch System 20055221 TEL Unity Me 8555 SSS Oxide Etch System 200mm70235 TEL UNITY ME SCCM DRY ETCHER 200 mm64228 TEL UNITY85 IEM (U2E-855IIA), SMIF64231 TEL UNITY85 IEM (U2E-855IIA), SMIF
64230 TEL UNITY85 IEM (U2E-85I), SMIF64229 TEL UNITY85 SCCM63727 TEL UNITY85ME-8555SSS 200mm75706 TEL UW200Z Wet Etching System 20068770 TEL UW300Z WET Bench 200mm70952 TEL UW300Z WET STATION68771 TEL UW300Z Wet Station 200mm68644 TEL UX-1080-3HTW BRUTE UX 125mm68645 TEL UX-1080-4HT BRUTE UX 125mm62269 TEL VCF615 LPHTO VERTICAL FURNACE 150mm62270 TEL VCF615 LPHTO VERTICAL FURNACE 150mm62271 TEL VCF615 LPTEOS VERTICAL FURNACE 150mm18829 TEL ACT 12 Assy STINGER54076 TEL ACT 12 CSB Arm Robot Assembly54065 TEL ACT 12 Develop Spin Unit54102 TEL ACT 12 Interface Unit for Stepper/Scanner54146 TEL ACT 12 Oven Plate, Tower Assembly 54096 TEL ACT 12 Wafer Guide for PRA, CRA54103 TEL ACT 8 Advanced Interface Unit for Stepper/Scanner70254 TEL ACT 8 COATER AND DEVELOPER TRACK 200 mm54074 TEL ACT 8 CSB Arm Robot Assembly54075 TEL ACT 8 CSB Arm Robot Assembly54101 TEL ACT 8 Interface Unit for Stepper/Scanner54145 TEL ACT 8 Oven Plate, Tower Assembly 53993 TEL ACT 8 PCH/Precis ion Chilling Hot Plate54128 TEL ACT 8 PHP/High Precis ion Hot Plate54067 TEL ACT 8 (SMIF) Photo Resist Coater, Developer, CSB/Cassette Stage Block (SMIF)54068 TEL ACT 8 (SMIF) Photo Resist Coater, Developer, CSB/Cassette Stage Block (SMIF)54069 TEL ACT 8 and ACT 12 Photo Resist Coater, Developer, Throughput Upgraded Kit70211 TEL ALPHA 8 SE DIFF 200 mm70212 TEL ALPHA 8 SE DIFF 200 mm70213 TEL ALPHA 8 SE DIFF 200 mm70214 TEL ALPHA 8 SE DIFF 200 mm70215 TEL ALPHA 8 SE DIFF 200 mm54037 TEL Clean Track Act 12 PR/Photo Resist Coater, Developer54038 TEL Clean Track Act 12 PR/Photo Resist Coater, Developer
54039 TEL Clean Track Act 12 PR/Photo Resist Coater, Developer54047 TEL Clean Track Act 8 PR/Photo Resist Coater, Developer54045 TEL Clean Track Act 8 PR/Photo Resist Coater, Developer54046 TEL Clean Track Act 8 PR/Photo Resist Coater, Developer54048 TEL Clean Track Act 8 PR/Photo Resist Coater, Developer54049 TEL Clean Track Act 8 PR/Photo Resist Coater, Developer54040 TEL Clean Track Act 8 (SMIF) PR/Photo Resist Coater, Developer54041 TEL Clean Track Act 8 (SMIF) PR/Photo Resist Coater, Developer54042 TEL Clean Track Act 8 (SMIF) PR/Photo Resist Coater, Developer54043 TEL Clean Track Act 8 (SMIF) PR/Photo Resist Coater, Developer54044 TEL Clean Track Act 8 (SMIF) PR/Photo Resist Coater, Developer54060 TEL Clean Track Mark 7 Coat Track54057 TEL Clean Track Mark 7 Coat/Develop Track54058 TEL Clean Track Mark 7 Coat/Develop Track54061 TEL Clean Track Mark 7 Coat/Develop Track54059 TEL Clean Track Mark 7 Develop Track54052 TEL Clean Track Mark 8 Coat/Develop Track54053 TEL Clean Track Mark 8 Coat/Develop Track54054 TEL Clean Track Mark 8 Coat/Develop Track54055 TEL Clean Track Mark 8 Coat/Develop Track54056 TEL Clean Track Mark 8 Coat/Develop Track54064 TEL Crystal Spinner CS500 FPD Photo Resist Spin Developer54095 TEL Lithius, Lithius i, i+, Pro) Coat Unit - Catch Cup54147 TEL Lithius, Lithius i, i+, Pro) Oven Exhaust Upgrade54129 TEL Lithius, Lithius i, i+, Pro) Wafer Guide for PRA, CRA69721 TEL Mark 7 1C2D67130 TEL Mark 7 1C2D
54124 TEL Mark 7 and Mark 8 ADH/Adhesion Unit54081 TEL Mark 7 and Mark 8 Batteryless Solid State Disk Drive53986 TEL Mark 7 and Mark 8 CPL/Chilling Plate54072 TEL Mark 7 and Mark 8 CSB Arm Robot Assembly54073 TEL Mark 7 and Mark 8 CSB Arm Robot Assembly54120 TEL Mark 7 and Mark 8 DHP/High Hot Temperature Plate56239 TEL Mark 7 and Mark 8 DHP/High Hot Temperature Plate
54117 TEL Mark 7 and Mark 8 HP/Low Temperature Plate54100 TEL Mark 7 and Mark 8 Interface Unit for Stepper/Scanner54071 TEL Mark 7 and Mark 8 Main Arm Robot Assembly56238 TEL Mark 7 and Mark 8 Main Arm Robot Assembly54127 TEL Mark 7 and Mark 8 PEB-COL Plate54097 TEL Mark 7 and Mark 8 Wafer Edge Exposure Unit (i-Line or DUV)54066 TEL Mark 7 and Mark 8 (SMIF) Photo Resist Coater, Developer, CSB/Cassette Stage Block (Non-SMIF)54140 TEL Mark 7 or 8 TFT-LCD Panel Assembly54034 TEL Mark 7/8 and ACT 8/12 Chemical Supply System for Photo Resist, HMDS, Develop & Solvent Chemicals54171 TEL Mark 7/8 and ACT 8/12 Circulator Pump54082 TEL Mark 7/8 and ACT 8/12 Degas Unit Assembly54030 TEL Mark 7/8 and ACT 8/12 Develop Solutions Chemical Supply System54033 TEL Mark 7/8 and ACT 8/12 HMDS Chemical Supply System54077 TEL Mark 7/8 and ACT 8/12 Interface Block Arm Robot Assembly54070 TEL Mark 7/8 and ACT 8/12 Main Arm Robot Overhaul Kit54032 TEL Mark 7/8 and ACT 8/12 Photo Resist Chemical Supply System54031 TEL Mark 7/8 and ACT 8/12 Solvent Chemical Supply System54080 TEL Mark 7/8 and ACT 8/12 Temperature and Humidity Controller54123 TEL Mark 7/8 and ACT 8/12 Thermo Controller54090 TEL Mark 7/8 and ACT 8/12 Develop Nozzle54091 TEL Mark 7/8 and ACT 8/12 Develop Nozzle54092 TEL Mark 7/8 and ACT 8/12 Develop Nozzle54094 TEL Mark 7/8 and ACT 8/12 Fin Flow Sensor for Develop/Solvent54086 TEL Mark 7/8 and ACT 8/12 Flow Monitoring System54093 TEL Mark 7/8 and ACT 8/12 HMDS Floating Ball Sensor Assembly54087 TEL Mark 7/8 and ACT 8/12 Many OEMs54088 TEL Mark 7/8 and ACT 8/12 Photo Resist Nozzle, Tip54089 TEL Mark 7/8 and ACT 8/12 RRC/Reduced Resist Coat Kit54078 TEL Mark 7/8 and ACT 8/12 (LithiuT&H Controller, Temperature and Humidity Controller
54083 TEL Mark 7/8 and ACT 8/12, D Real Time Develop/Solvent Dispense Monitoring/Reduction System54084 TEL Mark 7/8 and ACT 8/12, D Real Time Develop/Solvent Dispense Monitoring/Reduction System54085 TEL Mark 7/8 and ACT 8/12, D Real Time Develop/Solvent Dispense Monitoring/Reduction System38351 TEL Mark 8 Photoresist 200mm54016 TEL Mark 8 Wafer Spin Scrubber71771 TEL Mark 8 Coater Developer Tracks 200mm54126 TEL Mark ACT 12 ADH/Adhesion Unit53992 TEL Mark ACT 12 CHP/Chilling Hot Plate53988 TEL Mark ACT 12 CPL/Chilling Plate53990 TEL Mark ACT 12 HCP/High Speed Chilling Plate54122 TEL Mark ACT 12 HHP/High Hot Temperature Plate54099 TEL Mark ACT 12 Wafer Edge Exposure Unit (i-Line or DUV)54125 TEL Mark ACT 8 ADH/Adhesion Unit53991 TEL Mark ACT 8 CHP/Chilling Hot Plate53987 TEL Mark ACT 8 CPL/Chilling Plate53989 TEL Mark ACT 8 HCP/High Speed Chilling Plate54121 TEL Mark ACT 8 HHP/High Hot Temperature Plate54118 TEL Mark ACT 8 LHP/Low Temperature Plate54098 TEL Mark ACT 8 Wafer Edge Exposure Unit (i-Line or DUV)62751 TEL P-12XLn Prober62752 TEL P-12XLn Prober54150 TEL P-8 Automatic Probe System62212 TEL P-850450 TEL P8XL Prober51874 TEL P8XL Prober53067 TEL P8XL Prober54201 TEL P8XL Prober54050 TEL SMIF CSB for Clean Track SMIF CSB/Cassette Station Block54051 TEL SMIF CSB for Clean Track SMIF CSB/Cassette Station Block54062 TEL SMIF CSB for Clean Track SMIF CSB/Cassette Station Block54015 TEL SS2 Wafer Spin Scrubber54029 TEL TE8500PE ATC Oxide Etch70231 TEL UNITY 2E 855DD DRY ET 200 mm70232 TEL UNITY 2E 855DD DRY ET 200 mm54022 TEL Unity-EP TiN CVD System35912 Tel / Tokyo Electron ALPHA 8 SE-E VERTICAL FURNACE 200 mm
13023 Tel / Tokyo Electron ALPHA 8 SE-E VERTICAL FURNACE, FTP with WAVES200 mm23090 TEL / Tosoh Quartz TEL TEL Furnace Quartz Tubes75920 TEL Corp. Alpha 808SC LPCVD 200 MM75921 TEL Corp. Alpha 808SC LPCVD 200 MM75922 TEL Corp. Alpha 808SCFTPS 200 MM75923 TEL Corp. Alpha 808SCFTPS 200 MM75924 TEL Corp. Alpha 808SECFTPS 200 MM71900 Tel Tokyo P8 Prober 200mm18895 TEL TOKYO ELECTRON 201335 Operations manual18891 TEL TOKYO ELECTRON 201336 Operations manual18894 TEL TOKYO ELECTRON 201339 Operations manual18892 TEL TOKYO ELECTRON 201341 Operations manual18893 TEL TOKYO ELECTRON 201342 Operations manual18896 TEL TOKYO ELECTRON 201342 Operations manual18890 TEL TOKYO ELECTRON 201345 Operations manual18897 TEL TOKYO ELECTRON 201346 Operations manual56916 TEL Tokyo Electron 303i furnace for LPCVD poly - AS and P 300 MM56918 TEL TOKYO ELECTRON 303i furnace for LPCVD poly (As and P d 300 mm56917 TEL TOKYO ELECTRON 303i furnace for LPCVD poly (Asenic an 300 MM56915 TEL TOKYO ELECTRON 303i furnace for Undoped-Flat-Poly (Si 300 mm56878 TEL TOKYO ELECTRON 303iZ TEL 303i furnace for P-doped poly 300 MM72994 TEL TOKYO ELECTRON A808SC VERTICAL FURNACE 200 MM73019 TEL TOKYO ELECTRON A808SE_LL VERTICAL FURNACE 8"73020 TEL TOKYO ELECTRON A808SE_LL VERTICAL FURNACE 8"73021 TEL TOKYO ELECTRON A808SE_LL VERTICAL FURNACE 8"73022 TEL TOKYO ELECTRON A808SE_LL VERTICAL FURNACE 8"73023 TEL TOKYO ELECTRON A808SE_LL VERTICAL FURNACE 8"73024 TEL TOKYO ELECTRON A808SE_LL VERTICAL FURNACE 8"71525 TEL TOKYO ELECTRON A8SE NITR 200 mm71527 TEL TOKYO ELECTRON A8SE TEOS 200 mm75901 Tel Tokyo Electron ACT 12 developer track 300 mm74570 TEL TOKYO ELECTRON ACT 12 DUV Coater and Developer (4 C , 4 12 inch75717 TEL Tokyo Electron ACT 12 DUV DUAL BLOCK COATER AND D 300 MM75735 TEL Tokyo Electron ACT 12 Photoresist Coater and Developer 300 mm
75132 TEL Tokyo Electron ACT 12 Photoresist coater and developer 300 mm72995 TEL TOKYO ELECTRON ACT 8 COAT AND DEVELOP TRACK 8"
72996 TEL TOKYO ELECTRON ACT 8 COAT AND DEVELOP TRACK 8"72997 TEL TOKYO ELECTRON ACT 8 COAT AND DEVELOP TRACK 8"71516 TEL TOKYO ELECTRON ACT 8 COAT/DEV 200 mm75791 TEL Tokyo Electron ACT 8 Coater and developer track, dual bl 200 mm38792 TEL Tokyo Electron Act 8 Developer for scanner 200 mm76047 TEL Tokyo Electron ACT 8 TRACK 2C 2D 200 mm76048 TEL Tokyo Electron ACT 8 TRACK 2C 2D 200 mm76018 TEL Tokyo Electron ALPHA 303i Anneal Furnace 300 MM76020 TEL Tokyo Electron ALPHA 303i Anneal Furnace (Copper Anneal) 300 MM31230 TEL TOKYO ELECTRON ALPHA 8 Furnace 200 mm71806 TEL Tokyo Electron Alpha 8S CVD Furnace 200 mm75792 TEL Tokyo Electron Alpha 8S CVF Vertical furnace, LPCVD Nitride pro 200 mm55962 TEL Tokyo Electron Alpha 8SD Vertical Diffusion Furnace N2 ANNE 200 mm55963 TEL Tokyo Electron Alpha 8SD Vertical Diffusion Furnace N2 ANNE 200 mm55964 TEL Tokyo Electron Alpha 8SD Vertical Diffusion Furnace N2 ANNE 200 mm55959 TEL Tokyo Electron Alpha 8SD Vertical Diffusion Furnace PYRO 200 mm55960 TEL Tokyo Electron Alpha 8SD Vertical Diffusion Furnace PYRO 200 mm55961 TEL Tokyo Electron Alpha 8SD Vertical Diffusion Furnace PYRO 200 mm55965 TEL Tokyo Electron Alpha 8SD Vertical Diffusion Furnace PYRO 200 mm71808 TEL Tokyo Electron Alpha 8SE CVD Furnace 200 mm55966 TEL Tokyo Electron Alpha 8SE-C Vertical Diffusion Furnace D-POLY 200 mm74301 TEL TOKYO ELECTRON Alpha-303-C Low-K Anneal(SOD) 1273164 TEL TOKYO ELECTRON ALPHA-303i Vertical Anneal Furnace 12"32663 TEL TOKYO ELECTRON Alpha-303i - Nitride FURNACE 300 mm73165 TEL TOKYO ELECTRON ALPHA-303i Oxide Vertical Oxide Furnace 12"73166 TEL TOKYO ELECTRON ALPHA-303i Oxide Vertical Oxide Furnace 12"73167 TEL TOKYO ELECTRON ALPHA-303i Oxide Vertical Oxide Furnace 12"
74302 TEL TOKYO ELECTRON Alpha-303i-H D-Poly 1274303 TEL TOKYO ELECTRON Alpha-303i-H D-Poly 1274304 TEL TOKYO ELECTRON Alpha-303i-H D-Poly 1274305 TEL TOKYO ELECTRON Alpha-303i-H D-Poly 1274306 TEL TOKYO ELECTRON Alpha-303i-H LP-N2 Anneal 1274307 TEL TOKYO ELECTRON Alpha-303i-H LP-N2 Anneal 1274308 TEL TOKYO ELECTRON Alpha-303i-H MTO 12
74309 TEL TOKYO ELECTRON Alpha-303i-H MTO 1274310 TEL TOKYO ELECTRON Alpha-303i-H MTO 12
74311 TEL TOKYO ELECTRON Alpha-303i-H Nitride 1274312 TEL TOKYO ELECTRON Alpha-303i-H Nitride 12
74313 TEL TOKYO ELECTRON Alpha-303i-H PI Bake 1274314 TEL TOKYO ELECTRON Alpha-808SC AS-D-Poly 874315 TEL TOKYO ELECTRON Alpha-808SC NITRIDE 874316 TEL TOKYO ELECTRON Alpha-808SC NITRIDE 874317 TEL TOKYO ELECTRON Alpha-808SC Poly 874318 TEL TOKYO ELECTRON Alpha-808SC Poly/ AS-D-Poly 874319 TEL TOKYO ELECTRON Alpha-808SC Poly/ AS-D-Poly 874320 TEL TOKYO ELECTRON Alpha-808SC Poly/ AS-D-Poly 874321 TEL TOKYO ELECTRON Alpha-808SC Si NITRIDE 874322 TEL TOKYO ELECTRON Alpha-808SC TEOS 874323 TEL TOKYO ELECTRON Alpha-808SC TEOS 874324 TEL TOKYO ELECTRON Alpha-808SCN D-Poly 874325 TEL TOKYO ELECTRON Alpha-808SCN D-Poly 874326 TEL TOKYO ELECTRON Alpha-808SCN D-Poly 874327 TEL TOKYO ELECTRON Alpha-808SCN D-Poly 874328 TEL TOKYO ELECTRON Alpha-808SCN D-Poly 874329 TEL TOKYO ELECTRON Alpha-808SCN D-Poly 874330 TEL TOKYO ELECTRON Alpha-808SCN D-Poly 874331 TEL TOKYO ELECTRON Alpha-808SCN NITRIDE 874332 TEL TOKYO ELECTRON Alpha-808SCN NITRIDE 874333 TEL TOKYO ELECTRON Alpha-808SCN NITRIDE 874334 TEL TOKYO ELECTRON Alpha-808SCN NITRIDE 874335 TEL TOKYO ELECTRON Alpha-808SCN NITRIDE 874336 TEL TOKYO ELECTRON Alpha-808SD D-Poly 871528 TEL TOKYO ELECTRON ALPHA-858SC NITR 200 mm
71526 TEL TOKYO ELECTRON ALPHA-858SC TEOS 200 mm74337 TEL TOKYO ELECTRON Alpha-8S-C D-Poly 874338 TEL TOKYO ELECTRON Alpha-8S-C PAD/LINER/ISO 874339 TEL TOKYO ELECTRON Alpha-8S-C PAD/LINER/ISO 874340 TEL TOKYO ELECTRON Alpha-8S-C Poly 874341 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874342 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874343 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874344 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 8
74345 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874346 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 8
74347 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874348 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874349 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874350 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874351 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874352 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874353 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874354 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874355 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874356 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874357 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874358 TEL TOKYO ELECTRON Alpha-8S-E D-Poly 874359 TEL TOKYO ELECTRON Alpha-8S-Z PH3 ANN(Poly) 874360 TEL TOKYO ELECTRON Alpha-8S-Z PH3 ANN(Poly) 874361 TEL TOKYO ELECTRON Alpha-8S-Z PHOS ANN(Poly) 871228 TEL Tokyo Electron alpha-8S-Z (FTPS) Vertical furnace, HTO, FAST RAMPIN 200 mm74362 TEL TOKYO ELECTRON Alpha-8S-ZV Poly/ AS-D-Poly 874363 TEL TOKYO ELECTRON Alpha-8S-ZV Poly/ AS-D-Poly 874364 TEL TOKYO ELECTRON Alpha-8S-ZV Poly/ AS-D-Poly 874365 TEL TOKYO ELECTRON Alpha-8S-ZV TEOS 874366 TEL TOKYO ELECTRON Alpha-8S-ZVN D-Poly 833433 TEL Tokyo Electron ALPHA-8SE Vertical Furnace74367 TEL TOKYO ELECTRON Alpha-8SE-C BCD-Poly 8
74368 TEL TOKYO ELECTRON Alpha-8SE-C BCD-Poly 874369 TEL TOKYO ELECTRON Alpha-8SE-C D-Poly 874370 TEL TOKYO ELECTRON Alpha-8SE-C D-Poly 874371 TEL TOKYO ELECTRON Alpha-8SE-C SIGE 874372 TEL TOKYO ELECTRON Alpha-8SE-C SIGE 874373 TEL TOKYO ELECTRON Alpha-8SE-C SIGE 874374 TEL TOKYO ELECTRON Alpha-8SE-E DGPD 874375 TEL TOKYO ELECTRON Alpha-8SE-E DOPED Poly 874376 TEL TOKYO ELECTRON Alpha-8SE-E DPHDP 874377 TEL TOKYO ELECTRON Alpha-8SE-E SIGE 874378 TEL TOKYO ELECTRON Alpha-8SE-Z SiGe-poly 8
71225 TEL Tokyo Electron alpha-8SE-ZVNS Vertical furnace, poly, SMIF 200 mm71226 TEL Tokyo Electron alpha-8SE-ZVNS Vertical furnace, poly, SMIF 200 mm
71227 TEL Tokyo Electron alpha-8SE-ZVNS Vertical furnace, poly, SMIF 200 mm73025 TEL TOKYO ELECTRON ALPHA303I VERTICAL FURNACE 12"27619 TEL TOKYO ELECTRON CERTAS ISOTROPIC OXIDE ETCH 300 mm73199 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Coat only Track 12"71530 TEL TOKYO ELECTRON DRM OXIDE_ETCH 200 mm71724 TEL Tokyo Electron EP Unity PECVD - SFD/ TiN TiCl4 process 200 mm71725 TEL Tokyo Electron EP Unity PECVD - SFD/ TiN TiCl4 process 200 mm71723 TEL Tokyo Electron EP Unity PECVD - SFD/ TiN TiCl4 process 200 mm73062 TEL TOKYO ELECTRON Expedius Batch Wafer Processing 12"75101 TEL TOKYO ELECTRON EXPEDIUS WET STATION 1275102 TEL TOKYO ELECTRON EXPEDIUS Plus WET STATION 1275103 TEL TOKYO ELECTRON EXPEDIUS UW300 WET STATION 1275104 TEL TOKYO ELECTRON EXPEDIUS UW300 WET STATION 1270075 TEL TOKYO ELECTRON FORMULA Minibatch furnace for ALD 300 MM70076 TEL TOKYO ELECTRON FORMULA Minibatch furnace for ALD 300 MM34983 TEL Tokyo Electron FORMULA Minibatch furnace for Nitride 300 MM
34984 TEL TOKYO ELECTRON FORMULA Minibatch furnace for Oxide 300 MM74379 TEL TOKYO ELECTRON FORMULA Nit 1274380 TEL TOKYO ELECTRON FORMULA Nit 1274381 TEL TOKYO ELECTRON FORMULA SiGe-POLY 1272998 TEL TOKYO ELECTRON FORMULA VERTICAL FURNACE 12"73026 TEL TOKYO ELECTRON FORMULA VERTICAL FURNACE 12"73027 TEL TOKYO ELECTRON FORMULA VERTICAL FURNACE 12"
72999 TEL TOKYO ELECTRON INDY VERTICAL FURNACE 12"75902 Tel Tokyo Electron Lithius DUV Track 300 mm74571 TEL TOKYO ELECTRON LITHIUS Track 874572 TEL TOKYO ELECTRON LITHIUS Track 827630 TEL TOKYO ELECTRON LITHIUS SB Standalone DUV Photoresist coater and develop300 mm55993 TEL Tokyo Electron Mark 5z Photoresist coater and developer tr 150 mm73047 TEL Tokyo Electron Mark 7 BARC Coater track 150 mm75794 TEL Tokyo Electron MARK 7 POLYIMIDE Resist Coater Develope 200 mm75793 TEL Tokyo Electron MARK 7 Resist Coater Developer 200 mm71514 TEL TOKYO ELECTRON MARK 8 COAT/DEV 200 mm71515 TEL TOKYO ELECTRON MARK 8 COAT/DEV 200 mm
75903 Tel Tokyo Electron Mark V i line track 200 mm74573 TEL TOKYO ELECTRON Mark-Vz Developer 6
74574 TEL TOKYO ELECTRON Mark-Vz Photoresist Coater/Developer 674575 TEL TOKYO ELECTRON Mark7 (1C2D) Coater/Developer 874576 TEL TOKYO ELECTRON Mark7 (1C2D) Coater/Developer 8
74577 TEL TOKYO ELECTRON Mark7 (1C2D) Coater/Developer 874578 TEL TOKYO ELECTRON Mark7 (1C2D) Coater/Developer 8
74579 TEL TOKYO ELECTRON Mark7 (1C2D) Coater/Developer 874580 TEL TOKYO ELECTRON Mark7 (1C2D) Coater/Developer 874581 TEL TOKYO ELECTRON Mark7 (1C2D) Coater/Developer 874582 TEL TOKYO ELECTRON Mark7 (1C2D1Scr) Coater/Developer 874583 TEL TOKYO ELECTRON Mark7 (1C2D1Scr) Coater/Developer 874584 TEL TOKYO ELECTRON Mark7 (1C2D1Scr) Coater/Developer 874585 TEL TOKYO ELECTRON Mark7 (1C2D1Scr) Coater/Developer 874586 TEL TOKYO ELECTRON Mark7 (1C2D1Scr) Coater/Developer 874587 TEL TOKYO ELECTRON Mark7 (2C) Coater 874588 TEL TOKYO ELECTRON Mark7 (3C1D) Coater/Developer 874589 TEL TOKYO ELECTRON Mark7 (3D) Coater/Developer 8
74590 TEL TOKYO ELECTRON Mark7 (5D) Coater/Developer 874591 TEL TOKYO ELECTRON Mark7A (1C2D) Coater / Developer 874592 TEL TOKYO ELECTRON Mark8 Coat/Dev 874593 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874594 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874595 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874596 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874597 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874598 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874599 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874600 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874601 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874602 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874603 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874604 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874605 TEL TOKYO ELECTRON Mark8 (1C2D) Coater / Developer 874606 TEL TOKYO ELECTRON Mark8 (2C1D) Coater / Developer 874607 TEL TOKYO ELECTRON Mark8 (2C1D) Coater / Developer 874608 TEL TOKYO ELECTRON Mark8 (2C2D) Coater / Developer 874609 TEL TOKYO ELECTRON Mark8 (2C2D) Coater / Developer 8
74610 TEL TOKYO ELECTRON Mark8 (2C2D) Coater / Developer 874611 TEL TOKYO ELECTRON Mark8 (2D) Developer 674612 TEL TOKYO ELECTRON Mark8(1C2D1Scr) Coat/Dev 6
74613 TEL TOKYO ELECTRON Mark8(2C2D) Coat/Dev 874614 TEL TOKYO ELECTRON Mark8(2C2D) Coat/Dev 8
74615 TEL TOKYO ELECTRON Mark8(2C2D) Coat/Dev 874616 TEL TOKYO ELECTRON Mark8(2C2D) Coat/Dev 874617 TEL TOKYO ELECTRON MARK8(2C2D) Coater / Developer 821064 TEL TOKYO ELECTRON MB2 730 HT HT CVD SYSTEM, 2 CHAMBER WSi Pro 200 mm21270 TEL TOKYO ELECTRON MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Pro 200 mm74925 TEL TOKYO ELECTRON P-12XL Prober 1274906 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274907 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274908 TEL TOKYO ELECTRON P-12XL Wafer Prober 12
74909 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274910 TEL TOKYO ELECTRON P-12XL Wafer Prober 12
74911 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274912 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274913 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274914 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274915 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274916 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274917 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274918 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274919 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274920 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274921 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274922 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274923 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274924 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274926 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274927 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274928 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274929 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274930 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274931 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274932 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274933 TEL TOKYO ELECTRON P-12XL Wafer Prober 1274934 TEL TOKYO ELECTRON P-12XL Wafer Prober 12
74935 TEL TOKYO ELECTRON P-12XL Wafer prober 1274936 TEL TOKYO ELECTRON P-8 Wafer prober 8
74937 TEL TOKYO ELECTRON P-8XL Wafer prober 874938 TEL TOKYO ELECTRON P-8XL Wafer prober 8
74939 TEL TOKYO ELECTRON P-8XL Wafer prober 874940 TEL TOKYO ELECTRON P-8XL Wafer prober 874941 TEL TOKYO ELECTRON P-8XL Wafer prober 874942 TEL TOKYO ELECTRON P-8XL Wafer prober 874943 TEL TOKYO ELECTRON P-8XL Wafer prober 874944 TEL TOKYO ELECTRON P-8XL Wafer prober 874945 TEL TOKYO ELECTRON P-8XL Wafer prober 8
74946 TEL TOKYO ELECTRON P-8XL Wafer prober 874947 TEL TOKYO ELECTRON P-8XL Wafer prober 8
74948 TEL TOKYO ELECTRON P-8XL Wafer prober 874949 TEL TOKYO ELECTRON P-8XL Wafer prober 8
74950 TEL TOKYO ELECTRON P12XL Prober 1274951 TEL TOKYO ELECTRON P12XL Prober 1274952 TEL TOKYO ELECTRON P12XL Prober 1274953 TEL TOKYO ELECTRON P12XL Prober 1274954 TEL TOKYO ELECTRON P12XL Prober 1274955 TEL TOKYO ELECTRON P12XL Prober 1274956 TEL TOKYO ELECTRON P12XL Prober 1274957 TEL TOKYO ELECTRON P12XL Prober 1274958 TEL TOKYO ELECTRON P12XL Prober 1274959 TEL TOKYO ELECTRON P12XL Prober 1274960 TEL TOKYO ELECTRON P12XL Prober 1274961 TEL TOKYO ELECTRON P12XL Prober 1234673 TEL TOKYO ELECTRON P12XLm+ FULL AUTO PROBER 300 mm34069 TEL TOKYO ELECTRON P8 PROBER 200 MM71761 TEL Tokyo Electron P8XL Prober 200 mm71762 TEL Tokyo Electron P8XL Prober 200 mm73000 TEL TOKYO ELECTRON P8XL PROBER 8"71531 TEL TOKYO ELECTRON SCCM OXIDE ETCH 200 mm2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 150 mm74494 TEL TOKYO ELECTRON TE-580 DRY ETCHER 674495 TEL TOKYO ELECTRON TE-580 DRY ETCHER 674496 TEL TOKYO ELECTRON TE-580 DRY ETCHER 674497 TEL TOKYO ELECTRON TE-580 DRY ETCHER 6
74498 TEL TOKYO ELECTRON TE-7400 DRY ETCHER 674499 TEL TOKYO ELECTRON TE-7400 DRY ETCHER 6
74500 TEL TOKYO ELECTRON TE-7500 DRY ETCHER 627651 TEL TOKYO ELECTRON TELIUS Dry Etcher 300 mm73032 TEL TOKYO ELECTRON TRIAS METAL CVD72902 TEL TOKYO ELECTRON Trias Metal cvd system 300 mm27652 TEL TOKYO ELECTRON TRIAS Sputter - Ti / TiN process - 4 chambe 300 mm27653 TEL Tokyo Electron TRIAS Trias Ti / TiN ALD system for MOCV 300 mm73001 TEL TOKYO ELECTRON TRIAS (parts) CVD CLUSTER TOOL - process module 373146 TEL TOKYO ELECTRON Trias Multi-Process Metal CVD (Chemical Vapor Deposit 12"70224 TEL Tokyo Electron UNITY 2 8555SSS OXIDE ETCH 200 mm
74501 TEL TOKYO ELECTRON UNITY 85DI DRY ETCHER 874502 TEL TOKYO ELECTRON UNITY 85DI DRY ETCHER 8
74503 TEL TOKYO ELECTRON UNITY 85DP DRY ETCHER 874504 TEL TOKYO ELECTRON UNITY 85DP DRY ETCHER 874505 TEL TOKYO ELECTRON UNITY 85DP DRY ETCHER 874506 TEL TOKYO ELECTRON UNITY 85DP DRY ETCHER 873188 TEL TOKYO ELECTRON UNITY M 85 SCCM Dielectric Etch 8"73189 TEL TOKYO ELECTRON UNITY M 85 SCCM Dielectric Etch 8"71811 TEL Tokyo Electron Unity M DRM DRY ETCHER 200 mm73190 TEL TOKYO ELECTRON UNITY Me 85 QD Dielectric Etch 8"75429 TEL Tokyo Electron Unity ME 85 SCCM Oxide Etch System 200 MM70230 TEL Tokyo Electron UNITY Me SCCM Oxide Etch System, 4 chamber 200 mm75716 TEL Tokyo Electron Unity Me SCCM (ChamberDry oxide etch chamber 200 mm75740 TEL Tokyo Electron Unity Me SCCM 85S Oxide etcher (3 chamber) 200 mm73028 TEL TOKYO ELECTRON UNITY2 85DD DRY ETCHER 8"73029 TEL TOKYO ELECTRON UNITY2 85DD DRY ETCHER 8"73030 TEL TOKYO ELECTRON UNITY2 85DD DRY ETCHER 8"74507 TEL TOKYO ELECTRON UNITY2 85DP DRY ETCHER 873031 TEL TOKYO ELECTRON UW200Z WET BENCH70951 TEL Tokyo Electron UW300Z WET Bench 300 mm34917 TEL TOKYO ELECTRON 303i furnace for SOG anneal 300 mm50170 Tel Tokyo Electron Ltd TEL P8XL Probers (26 cold chuck and 4 hot ch200mm67858 TEL? 78S S? Prober?67428 Teledyne 1212 SCT SUBSTRATE CONTINUITY TESTER 14422 TELETONE TLS-S TELEPHONE LINE SIMULATOR
62434 TELN / SAGAMI UL2604 HORIZONTAL SINTER/ANNEAL 150mm35748 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35749 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35750 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35751 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35752 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35753 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35754 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35755 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35756 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35757 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D35758 TELTOKYO ELECTRON LTDMark 7 i-LINE TRACK, 1C2D55901 TEMESCAL CV-14 Electron Beam Power Supply71238 Temescal FC 1800 e-beam deposition system75745 Temescal FC1800 E-beam Evaporator 100 mm67467 Temescal HRS 2550 AIRCO TEMESCAL HRS 2550 SPUTTERING SYSTEM 55902 TEMESCAL Sweep Controller XY Sweep Controller55903 TEMESCAL Sweep Controller XY Sweep Controller72900 Temescal VES 2550 Evaporation System21497 Tempress 420 SRD 4"67172 Tempress 1100/Unitek 32 The Tempress 1100/Unitek 32 is a thermocompression die bonder with heated work holder. (Email us for photo) 67949 Tempress 203-6 Diffussion Furnace56801 Tempress 203-6 horizontal 4 stack 150mm wafer furnace 74115 Tempress TS6804 Diffusion 674116 Tempress TS6804 Diffusion 674117 Tempress TS6804 Diffusion 674118 Tempress TS6804 Diffusion 669323 Tempress TS8403 Diffusion Furnace for POCL3 150 mm60690 Tempress? 1100 Wedge Bonders
38787 Temptronic TP04100A Bench Top Thermal Inducing System 68694 Temptronic TP04000A 2B21 2 Thermo Streem 200mm61471 TEMPTRONIC TP04000A_2B21_2 Thermo Streem68731 Temptronic TP04000A_2B21_2 Thermo Streem70953 TEMPTRONIC TP04000A_2B21_2 THERMO STEAM13078 Temptronic TP22-2 Thermal spot heater22780 Temptronic TP452A-1 Thermostream System N/A
69863 Temptronic TPO 4300A-3C32-3 Thermostream Temptronic TPO 4300A-3C32-338518 Temptronic TP-0412A Temperature Forcing System with DUT Temperature Controller38517 Temptronic TP-0412A? Temperature Forcing System18583 Temptronics TP0412A Temperature controller18558 TEMPTRONICS TP0701A-1 temperature controller69892 Temptronics TPO4100A Temperature Forcing System33722 TENCOR AlphaStep 300 Profilometer35603 TENCOR M-Gage 300 Non-Contact Wafer Monitor for Sheet Resistance, 3ea Available69272 Tencor P-1 Long Scan Profiler51016 Tencor SM300 Prometrix Spectramap Auto, Film T 100mm, 125mm, 150mm, 200mm68673 Tencor SONOGUAGE R2 150mm10113 TENCOR Surfscan 4000 Unpatterned Wafer Surface Inspection Tool - Parts Tool Only33723 TENCOR Surfscan 4500 Unpatterned Wafer Surface Inspection Tool, for 75mm-150mm Wafers69296 Tencor Surfscan 620021887 TENCOR TF2 FILM THICKNESS MEASUREMENT 100-200 MM71783 Tencor Power Supply Box 34520 TENCOR, SURFSCAN 7700 200mm67384 Tenney Jr TENNEY JR ID 16" W X 12"H X 11" DEEP 2" DIAMETER PORT TO PASS WIRES THROUGH -70 TO 200 DEG C... 67385 Tenney TEN T10RC-1.5 10 cubic ft / with a VersaTenn III controller (programmable) 71768 Tepla 300E Microwave Plasma cleaner21516 Tepla 600-E Plasma System54448 Tepla Auto 300 Asher59888 Tepla TEPLA 400 150 mm59889 Tepla TEPLA 400 150 mm74510 TePla A.G. 300 Dry Etch 674511 TePla A.G. 300 Dry Etch 674512 TePla A.G. 300 Dry Etch 6
59174 Teradyne 1860 MSVP In Circuit Test System59242 Teradyne 1888
59232 Teradyne 189059233 Teradyne 18xx STF "NIST" Calibrations63775 Teradyne 18xx DR1 & DR2, DR2D, DR2P Cards59175 Teradyne 8852 ICT71602 TERADYNE A360Z Tester72790 Teradyne A535 Test System test62611 Teradyne A567 Mixed Signal Test System72791 Teradyne A567 Test System test72792 Teradyne A567 Test System test
72793 Teradyne A567 Test System test72794 Teradyne A567 Test System test72795 Teradyne A567 Test System test72796 Teradyne A567 Test System test72797 Teradyne A567 Test System test72798 Teradyne A567 Test System test72799 Teradyne A567 Test System test72800 Teradyne A567 Test System test72801 Teradyne A567 Test System test72802 Teradyne A567 Test System test62655 Teradyne A575 Parts Machine62656 Teradyne A575 Parts Machine
75820 Teradyne A580 Test System TEST68092 Teradyne A580
62657 Teradyne A585 Parts Machine66534 TERADYNE A585 Tester
66535 TERADYNE A585 Tester66536 TERADYNE A585 Tester66537 TERADYNE A585 Tester66538 TERADYNE A585 Tester72803 Teradyne A588 Test System test72804 Teradyne A588 Test System test72805 Teradyne A588 Test System test
72806 Teradyne A588 Test System test72807 Teradyne A588 Test System test72808 Teradyne A588 Test System test72809 Teradyne A588 Test System test72810 Teradyne A588 Test System test72811 Teradyne A588 Test System test66619 TERADYNE Catalyst 2 x BBAC option66620 TERADYNE Catalyst 5 x BBAC option66621 TERADYNE Catalyst 7 x BBAC option62610 Teradyne Catalyst D200 Test System with 384 Pins70954 TERADYNE CATALYST TEST SYSTEM "870955 TERADYNE CATALYST TEST SYSTEM "873002 TERADYNE CATALYST TEST SYSTEM TEST68195 Teradyne CATALYST Tester68196 Teradyne CATALYST Tester68197 Teradyne CATALYST Tester68198 Teradyne CATALYST Tester68199 Teradyne CATALYST Tester71601 TERADYNE CATALYST Tester68200 Teradyne CATALYST LC Tester68201 Teradyne CATALYST LC Tester68202 Teradyne CATALYST LC Tester68203 Teradyne CATALYST LC Tester68204 Teradyne CATALYST LC Tester62654 Teradyne Catalyst-RF Parts Machine66622 TERADYNE EXTENDED RANGE TEST Manipulator75689 Teradyne FLEX SOC Test System N/A71546 Teradyne Gen 3 (Microwave) Option option for tester TEST71547 Teradyne GEN 4 (Microwave) Option Option for tester TEST
71548 Teradyne Gen 5 - 12G (Microwave) OOption for tester TEST68208 Teradyne GENESIS Tester68209 Teradyne GENESIS IIEX Mechanical Head Tester68210 Teradyne GII Tester70123 Teradyne iFlex Tester56714 Teradyne iFlex Tester75821 Teradyne J750 Test System TEST62613 Teradyne J937 Memory Test System, 100 MHZ?62612 Teradyne J937 Memory Test System, 50 MHZ Mem Tester62173 Teradyne J971 Test system TEST62615 Teradyne J971 VLSI Logic Test System, 100 Mhz62614 Teradyne J971SP Logic Test System66543 TERADYNE J973 Tester62616 Teradyne J993 Memory Test System54234 Teradyne J994 Memory Tester test54235 Teradyne J994 Memory Tester test54232 Teradyne J994 Memory Tester test54233 Teradyne J994 Memory Tester test54223 Teradyne J994 Memory Tester test62617 Teradyne J995 Memory Test System62618 Teradyne J997 Memory Test System60797 Teradyne L353 Functional Tester60798 Teradyne L393 Functional Tester23092 Teradyne Marlin Chiller for J996 Parametric Tester62994 TERADYNE Microflex Microflex tester66544 TERADYNE Microflex Tester66545 TERADYNE Microflex Tester66546 TERADYNE Microflex Tester66547 TERADYNE Microflex Tester66548 TERADYNE Microflex Tester66549 TERADYNE Microflex Tester66550 TERADYNE Microflex Tester66551 TERADYNE Microflex Tester66552 TERADYNE Microflex Tester66553 TERADYNE Microflex Tester68205 Teradyne Microflex Tester
68206 Teradyne Microflex Tester68207 Teradyne Microflex Tester68732 Teradyne Microflex59243 Teradyne Optima 7300 AOI22781 Teradyne SPARC A540 Automatic Test System N/A66587 Teradyne SPARC A540 Automatic Test System60364 Teradyne SPARC A540 Automatic Test System60799 Teradyne Spectrum 8852 Board Tester60800 Teradyne Spectrum 8855 Board Tester12109 Teradyne Tiger ATE62658 Teradyne Tiger System & Spares68211 Teradyne TIGER Tester68212 Teradyne TIGER Tester68213 Teradyne TIGER Tester63760 Teradyne TS LH In Circuit Test System75021 Teradyne Ultra FLEX SoC Tester63080 Teradyne Ultra Flex Tester Test75690 Teradyne UltraFLEX SOC Test System N/A75691 Teradyne UltraFLEX SOC Test System N/A75692 Teradyne UltraFLEX SOC Test System N/A75693 Teradyne UltraFLEX SOC Test System N/A75694 Teradyne UltraFLEX-BBAC SOC Test System Option N/A75695 Teradyne UltraFLEX-BBAC SOC Test System Option N/A75696 Teradyne UltraFLEX-HDVS SOC Test System Option N/A75697 Teradyne UltraFLEX-HexVS SOC Test System Option N/A75698 Teradyne UltraFLEX-HexVS SOC Test System Option N/A75699 Teradyne UltraFLEX-HexVS SOC Test System Option N/A
75700 Teradyne UltraFLEX-HexVS SOC Test System Option N/A71545 Teradyne VHFAC Option for IntegraF Option for tester TEST60789 Teradyne Z1840 Board Tester60794 Teradyne Z1860 Board Tester60790 Teradyne Z1880-1 Board Tester60791 Teradyne Z1880-2 Board Tester63776 Teradyne Z1880/88-1 (1024 Nodal CICT
60792 Teradyne Z1884 Board Tester59184 Teradyne Z1884 In Circuit Test System60795 Teradyne Z1888 Board Tester60796 Teradyne Z1890 Board Tester38520 Teradyne J127 Circuit Analyzer22782 Teradyne J973 Automatic Test System(s) N/A74037 TERADYNE Catalyst SOC Tester TEST74038 TERADYNE Catalyst SOC Tester TEST74039 TERADYNE Catalyst SOC Tester TEST74040 TERADYNE Catalyst SOC Tester TEST74041 TERADYNE Catalyst SOC Tester TEST74042 TERADYNE Catalyst SOC Tester TEST74043 TERADYNE Catalyst SOC Tester TEST74044 TERADYNE Catalyst SOC Tester TEST74045 TERADYNE Catalyst SOC Tester TEST74046 TERADYNE Catalyst SOC Tester TEST74047 TERADYNE Catalyst SOC Tester TEST74048 TERADYNE Catalyst SOC Tester TEST74049 TERADYNE Catalyst SOC Tester TEST74050 TERADYNE Catalyst SOC Tester TEST74051 TERADYNE Catalyst SOC Tester TEST74052 TERADYNE Catalyst SOC Tester TEST74053 TERADYNE Catalyst SOC Tester TEST38519 Teradyne Catalyst cat02t Tester67600 Teradyne, Inc. A5xx - uWave Double HeigParts/Options31103 TERADYNE, INC. CATALYST TESTER - SOC TEST67601 Teradyne, Inc. J750 - Prober Docking HWParts/Peripherals67602 Teradyne, Inc. J750 - Prober Docking HWParts/Peripherals67603 Teradyne, Inc. J750 - Prober Docking HWParts/Peripherals
67604 Teradyne, Inc. J750 - Prober Docking HWParts/Peripherals67605 Teradyne, Inc. J750 - Prober Docking HWParts/Peripherals67606 Teradyne, Inc. J750 - Prober Docking HWParts/Peripherals67607 Teradyne, Inc. J750 - Prober Docking HWParts/Peripherals57339 Teradyne, Inc. UltraFLEX - Pogo Tower (1Parts/Options57340 Teradyne, Inc. UltraFLEX - Pogo Tower (1Parts/Options60788 Teradyne? Z1820 736 pins Board Tester11078 TERRA UNIVERSAL 1111-988 Desiccator Box33771 TERRA UNIVERSAL 8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks64782 TERRA UNIVERSAL Dessicator Box60729 Terra Universal 1610-94-2 Desiccator60730 Terra Universal 1934-00 Desiccator60731 Terra Universal 3606-32 Screen Frame Storage63605 Terra Universal? 2172-00 Clear Static Dissipative PVC71844 Tesec 8114-KT Tester75135 Tesec 8212-TS SOA Tester 71845 Tesec 8512-PH Handler75136 Tesec 8714 Handler 8714 (from bowl to 1 from 8 tube sorter) 60343 Tesec 9210-IH Ambient / Hot IC Handler60344 Tesec 9210-IH Ambient / Hot IC Handler60345 Tesec 9210-IH Ambient / Hot IC Handler60342 Tesec 9210-IH_2 Ambient / Hot IC Handler66556 Test Innovation TPS1 Tester59185 Testronics 103a Backplane Tester59176 Testronics 401 Backplane Tester59177 Testronics 405 MDA Backplane Tester63777 Testronics Mulitplexer Cards for 40169476 TFS (Top Forward Science) TFS275070956 THERMA WAVE OP2600 THICKNESS MEASUREMENT68636 Therma Wave OP2600 Thickness Measurement 200mm68637 Therma Wave OP2600 Thickness Measurement 200mm68638 Therma Wave OP2600 Thickness Measurement 200mm68639 Therma Wave OP2600 Thickness Measurement 200mm70957 THERMA WAVE OP2600 THICKNESS MEASUREMENT 72050 THERMA WAVE OP2600 DUV METROLOGY 8"70958 THERMA WAVE OP2XXX THICKNESS MEASUREMENT
70959 THERMA WAVE OP2XXX THICKNESS MEASUREMENT68640 Therma Wave OP2XXX Thickness Measurement 200mm68641 Therma Wave OP2XXX Thickness Measurement 200mm61389 THERMA WAVE TP420 TP MEASUREMENT68230 Therma Wave TP420 TP Measurement 200mm68234 Therma Wave TP420 TP Measurement64383 THERMA-PROBE TP420 THERMA-PROBE MODEL 400 XP #169318 Therma-Wave 2600 DUV OptiProbe71621 THERMA-WAVE 2600B METROLOGY61015 THERMA-WAVE 5240 OPTI-PROBE69319 Therma-Wave 5240 DUV OptiProbe61012 THERMA-WAVE OP 2600B OPTI-PROBE71620 THERMA-WAVE TP500 METROLOGY75618 Thermawave Opti-Probe 2600 Thin Film Measurement System 20075619 Thermawave Opti-Probe 2600 Thin Film Measurement System 20075620 Thermawave Opti-Probe 2600 Thin Film Measurement System 20075621 Thermawave Opti-Probe 2600 Thin Film Measurement System 20075622 Thermawave Opti-Probe 2600 Thin Film Measurement System 20075623 Thermawave Opti-Probe 2690 Thin Film Measurement System 20075624 Thermawave Opti-Probe 2690 Thin Film Measurement System 20075625 Thermawave Opti-Probe 2690 Thin Film Measurement System 20075626 Thermawave Opti-Probe 2690 Thin Film Measurement System 20075627 Thermawave Opti-Probe 2690 Thin Film Measurement System 20075628 Thermawave Opti-Probe 2690B Thin Film Measurement System 20075629 Thermawave Opti-Probe 2690B Thin Film Measurement System 20073237 THERMAWAVE Opti-Probe 3260 DUVi Film Thickness Measurement Syste 8"53997 Thermawave TP-400 Dose Measurement System74891 ThermaWave TP-500 Implant dose monitor 834521 THERMAWAVE TP300 200mm69255 Thermco 4504 LPCVD Reactor72496 THERMCO 9000 Furnace 150 mm72497 THERMCO 9000 Furnace 150 mm72498 THERMCO 9000 Furnace 150 mm34191 Thermco TMX-9001 dual 4-stack 200mm furnace
72499 Thermco TMX10K H2 Sinter-MRL 150 mm72500 Thermco TMX10K H2 Sinter-MRL 150 mm
72503 Thermco TMX10K H2 Sinter-MRL 150 mm72504 Thermco TMX10K H2 Sinter-MRL 150 mm72505 Thermco TMX10K Pt Sinter-MRL 150 mm72506 Thermco TMX10K Pt Sinter-MRL 150 mm72501 Thermco TMX10k SOG Dense-MRL 150 mm72502 Thermco TMX10k SOG Dense-MRL 150 mm34068 Thermco 4 stack furnace72904 Thermco 4 tube horizontal furnace 20 mm and 150 mm55904 THERMIONICS BRC-10-1500N-3PH-TL1 15KW E-Gun Power Supply51040 Thermo Scientific Precis ion 280 Microprocessor Controlled Water Bath61210 Thermo / Neslab HX-150 Recirculating Chiller23098 Thermo / Neslab MX-500 Recirculating Chiller / New73238 Thermo Electron MicronX CXR X-ray Fluorescence Spectrometer 12"73239 Thermo Electron MicronX GXRC X-ray Fluorescence Spectrometer n/a60466 Thermo GasTech Safe T Net 2000 Gas Sensor(s) with Rittal Cabinet(s)21502 Thermoco B/A Horizontal Diffusion furnace 56913 THERMOLYNE F6020C-70 Furnace61190 THERMONICS T-2400R Temperature Forcing Unit14593 Thermonics T-2400R Temp Forcing System 71612 THERMONICS T-2420 TEMPERATURE FORCER69890 Thermonics T-2500-7569891 Thermonics T-2500-8567217 Thermonics T2500-75 Temperature Forcing system -80C to 225C74084 Thermotron SE-1000 Environmental Chamber74085 Thermotron SE-1000 Environmental Chamber74086 Thermotron SE-1000 Environmental Chamber74087 Thermotron SE-1000 Environmental Chamber
51019 Thermotron ECA Equipment Cooling Unit63799 Thibert Engineering Concep Custom Metal Evaporator Custom Metal Evaporator with enviroVI 46028946 THK FBA 5 LM System Flat Ball Bearings13011 THK HSR12R Linear Slide and Bearings 13009 THK LWLF24 Linear Bearing and guide19120 THK SR-20V BLOCK PIECE19124 THK VR3-50Hz7z lm system
38349 Thomas Swan CCS-MOCVD Reactor CCS-MOCVD 6x2 Reactor32177 Thorlabs HVM-11 Base Bracket with Lens ( for Laser set up)
32176 Thorslab Misc Bracked ( for Laser)32179 Thorslabs HVM-1i Bracket (for laser)
32180 Thorslabs MT-RS Bracket32178 Thorslabs 11-1300 Bracket with 3x Lens at 355nm34519 TI 880 CONFOCIAL INSPECTRON MICRO 200mm34518 TI K2 IND CONFOCIAL INSPECTRON MICRO 200mm60706 TMC 63-17158 Micro-G Isolation Table53358 TO BE ADDED MANUFACT TO BE ADDED MODEL UVO Cleaner14594 Toddco General RSM 6000 Reflow Station 61191 TODDCO GENERAL RSM-6000 Automatic Hot Bar Reflow Tool75988 Toho Kasei Custom COE ETCH 200 mm75987 Toho Kasei Custom F/S CLEAN 200 mm75986 Toho Kasei Custom NITRIDE ACID WET 200 mm68464 TOK OAPM-301B Dry Etching (Oxide) 125mm68465 TOK OAPM-301B Dry Etching (Oxide) 125mm68248 TOK OPM-A1250 Asher 150mm68236 TOK OPM-EM-1000 Ashing 125mm68237 TOK OPM-EM-1000 Ashing 125mm67679 TOK TCA 250068238 TOK TCA-2600 Ashing 125mm68278 TOK TCA7822S Asher 200mm35761 TOK TR8171 SOG COATER62398 TOK TR8171 SOG COATER 200mm62399 TOK TR8171 SOG COATER 200mm60475 TOK TR8171 SOG COATER 200 mm60476 TOK TR8171 SOG COATER 200 mm35760 TOK TR8171 SOG COATER, 1C
13036 tokimec dg4v-3-oc-m-p2-t-7-50 Directional control valve71580 TOKYO ELECTRON ALPHA 8 SE VERTICAL FURNACE69930 Tokyo Electron Mark 8 2C / 2D 200mm71671 TOKYO ELECTRON UNITY 2 DRY ETCHER71672 TOKYO ELECTRON UNITY 2 DRY ETCHER61280 TOKYO ELECTRON LTD ACT 12-200 DUAL BLOCK TRACK 200mm61281 TOKYO ELECTRON LTD ACT 8 SINGLE BLOCK TRACK 200mm61282 TOKYO ELECTRON LTD ACT 8 SPIN ON GLASS STAND ALONE TR 200mm61267 TOKYO ELECTRON LTD ACT12 DUAL BLOCK FOR ASML AT:1100 300mm
61269 TOKYO ELECTRON LTD ACT12 TRACK, C4 PbSn PROCESS 300mm61270 TOKYO ELECTRON LTD ACT12 TRACK, PDPI, CU 300mm
61268 TOKYO ELECTRON LTD ACT12 TRACK, PDPI, NIKON SF1OO, PDPI, 300mm61271 TOKYO ELECTRON LTD ACT12 TRACK, PI HARD BAKE, CU 300mm50190 Tokyo Electron Ltd e85 DD & 85 TPA TEL Unity II 200mm61272 TOKYO ELECTRON LTD LITHIUS LITHIUS DUAL BLK i+ FOR XT1900i 300mm50177 Tokyo Electron Ltd TEL Alpha 60 TEL Alpha 601 VDF (diffusion furnac 200mm50178 Tokyo Electron Ltd TEL Alpha 601D TEL Alpha 601D VDF (diffusion furn 200mm50176 Tokyo Electron Ltd TEL Alpha 801 TEL Alpha 801 VDF (diffusion furnac 200mm50173 Tokyo Electron Ltd TEL Alpha 8M TEL Alpha 8M SiN 200mm50175 Tokyo Electron Ltd TEL Alpha 8S TEL Alpha 8S LPCVD Polysilicon 200mm50183 Tokyo Electron Ltd TEL Alpha 8S-Z TEL Alpha 8S-Z furnace 200mm50179 Tokyo Electron Ltd TEL Alpha 8S, TEL Alpha 8S, oxide vertical furnace 200mm50181 Tokyo Electron Ltd TEL Alpha 8SE TEL Alpha 8SE vertical SiN 200mm50180 Tokyo Electron Ltd TEL Alpha 8SE-E TEL Alpha 8SE-E furnace 200mm50174 Tokyo Electron Ltd TEL Alpha 8SE-E TEL Alpha 8SE-E Tin 200mm50182 Tokyo Electron Ltd TEL Alpha 8SE-Z TEL Alpha 8SE-Z ATPF ISC-Sin furna 200mm50193 Tokyo Electron Ltd TEL Lithius i-200 TEL Lithius i-200 track 200mm75925 Tokyo Electron Ltd Trias CVD 200 MM66733 TOKYO ELECTRON LTD / T NGT SINGLE BLOCK FOR NIKON S307E 300mm66712 TOKYO ELECTRON LTD / T UNITYII ETCHER 200mm53459 Tokyo Electron Ltd. ALPHA-303i Vertical Diffusion Furnace 300mm56374 Tokyo Electron Ltd. ALPHA-808 Vertical Diffusion Furnace 200mm57141 Tokyo Electron Ltd. ALPHA-8S Vertical Nitride Furnace 200 mm70368 Tokyo Electron Ltd. ALPHA-8SE Vertical Diffusion Furnace 200 mm
56408 Tokyo Electron Ltd. ALPHA-8SE Vertical Diffusion Furnace 200mm30162 TOKYO ELECTRON LTD. ALPHA-8SE VERTICAL FURNACE - OXIDATION57138 Tokyo Electron Ltd. ALPHA-8SE Vertical LPCVD Furnace 200 mm57139 Tokyo Electron Ltd. ALPHA-8SE Vertical LPCVD Furnace 200 mm57140 Tokyo Electron Ltd. ALPHA-8SE Vertical LPCVD Furnace 200 mm70427 Tokyo Electron Ltd. CLEAN TRACK ACT 12 Single Block (Resist Coater/Develo 300 mm67351 Tokyo Electron Ltd. CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer)70430 Tokyo Electron Ltd. CLEAN TRACK ACT 12 S Spin On Dielectric (SOD) 300 mm70431 Tokyo Electron Ltd. CLEAN TRACK ACT 8 SO Spin On Dielectric (SOD) 6"70542 Tokyo Electron Ltd. Expedius Batch Wafer Processing 300 mm37024 Tokyo Electron Ltd. Expedius Batch Wafer Processing 300mm
37025 Tokyo Electron Ltd. Expedius Batch Wafer Processing 300mm37027 Tokyo Electron Ltd. Expedius Batch Wafer Processing 300mm
67580 Tokyo Electron Ltd. Expedius Batch Wafer Processing 300mm70543 Tokyo Electron Ltd. Expedius+ Batch Wafer Processing 300 mm56425 Tokyo Electron Ltd. Telius SP-305 SCCM Dielectric Etch 300mm70360 Tokyo Electron Ltd. Trias Multi-Process Metal CVD (Chemical Vapor Deposit 300 mm67283 Tokyo Electron Ltd. Trias Tandem Metal CVD LMetal CVD (Chemical Vapor Deposit 300mm70358 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposit 300 mm56420 Tokyo Electron Ltd. UNITY II 85DD Dielectric Etch 200mm56422 Tokyo Electron Ltd. UNITY II 85DD Dielectric Etch 200mm56424 Tokyo Electron Ltd. UNITY II e 855DD Dielectric Etch 200mm57149 Tokyo Electron Ltd. UNITY II e 85DD Dielectric Etch 200 mm57150 Tokyo Electron Ltd. UNITY II e 85DD Dielectric Etch 200 mm70395 Tokyo Electron Ltd. UNITY Me 85 TD Dielectric Etch 200 mm70544 Tokyo Electron Ltd. UW200Z Batch Wafer Processing 200 mm67583 Tokyo Electron Ltd. UW200Z Batch Wafer Processing67584 Tokyo Electron Ltd. UW200Z Batch Wafer Processing67585 Tokyo Electron Ltd. UW200Z Batch Wafer Processing
67586 Tokyo Electron Ltd. UW200Z Batch Wafer Processing30126 TOKYO ELECTRON LTD. ALPHA-8SE VERTICAL FURNACE - LPCVD30127 TOKYO ELECTRON LTD. ALPHA-8SE VERTICAL FURNACE - LPCVD50958 TOKYO ELECTRON PTE LT Unity IIe 855 IA Nitride Etch System 200mm50957 TOKYO ELECTRON PTE LT Unity IIe 855 II Nitride Etch System 200mm50953 TOKYO ELECTRON PTE LT UNITY IIE 85DD Oxide Etch System 200mm50951 TOKYO ELECTRON PTE LT UNITY VERSION II 85 PPA Nitride Etch System 200mm
50950 TOKYO ELECTRON PTE LT UNITY VERSION II 85 PPA Nitride Etch System 200mm50952 TOKYO ELECTRON PTE LT UNITY VERSION IIe 855PP Nitride Etch System 200mm13132 tokyo keik i tgmc-3-pt-bk-10-s1 Hydraulic relief valve60305 Tokyo Seimitsu A-WD-4000A Wafer Dicing Saw67143 Tomita Engineering TOM200 Peristaltic type precis ion dispenser with hand held needle, adjustable speed with direction reverse, DIGITAL timer, vacuum control, uses silicon or Teflon tubing with 1.0-5.0mm diameters, dispense rates from 0.7cc/min to 6.5cc/min, 110v 70988 Top ENG TCS2084 WAFER CHIP SORTER74892 Topcon WM-3 wafer partic le detection 667677 Topcon WM-375631 Topcon Technologies MI-5080 CD SEM 20075632 Topcon Technologies MI-5080 CD SEM 20075633 Topcon Technologies WM-5000 Mask Inspection System 300
38521 Toray VE-500? Vacuum Printing Encapsulation System with Unozawa TS300 Tripack Dry Vacuum Pump55905 TOWA CC-S Injection Molding Press, 2ea Available
66699 Towa Y series Mold Press Assembly49858 Towa Y series Molding Equipment53359 Towa Y-PS Model Y-PS
66503 TOWA Y-SERIES Double Pot Molding System74088 TOWA LC1010 Compression Molding assembly
69957 Toyota 0190-28788 Toyota Industries IPUP T100L Dry Vacuum Pump66640 TPS Manufacturing Blue M Mechanical Oven23097 Transfer Engineering ALMCS-2 Controller67436 Trebor Mod 116 Manual pull tester, dial 0-10g with workholder on boom stand w/optics, destruct or non destruct 63817 Trend Communications Aurora Forte +extra module66606 Trigon SMD 9000 Scanner66641 TRIO-TECH PTS REFLOW FCV-35 IR Reflow Oven
67146 203 Bubble Tester 1 Gallon Capacity Digital Readout
67145 481 Bubble Tester 1/2 Gallon Capacity38522 Trio-Tech 486A-2D Grossleak Pressure System
10117 TRION Minilock Single Wafer RIE Etcher with Loadl 200 mm61312 TRION TECHNOLOGIES IN ORION GLOBALS DI-ELECTRIC DEPOSITIO 300mm73191 Trion Technology Minilock III Multi-Process Etch 8"73192 Trion Technology Minilock III Multi-Process Etch 8"
73240 Trioptics, GmbH Imagemaster PRO Wafer Modulation Transfer Function (MTF) 8"73241 Trioptics, GmbH Wavemaster PRO Wafer Wavefront Measurement 8"58056 TSE SM-1000 (SLD-402) LASER MARKING67450 TSK 2500 6" WAFER DICING SAW WITH OPTICS AND HIGH POWER SPINDLE 36626 TSK A-PM-90A AUTOMATIC WAFER PROBER68916 TSK A-PM-90A Fully Automatic Wafer Prober68917 TSK A-PM-90A Fully Automatic Wafer Prober68918 TSK A-PM-90A Fully Automatic Wafer Prober64439 TSK A-PM-90A Fully Automatic Wafer Probing Machine (ST)64440 TSK A-PM-90A Fully Automatic Wafer Probing Machine (ST)64441 TSK A-PM-90A Fully Automatic Wafer Probing Machine (ST)64442 TSK A-PM-90A Fully Automatic Wafer Probing Machine (ST)64443 TSK A-PM-90A Fully Automatic Wafer Probing Machine (ST)64444 TSK A-PM-90A Fully Automatic Wafer Probing Machine (ST)56020 TSK A-WD-208T Substrate Saw71057 TSK A-WD-208T TSK Dicing saw
33787 TSK APM-90A Automatic Wafer Prober, for up to 200mm Wafers56864 TSK APM-90A Automatic Wafer Prober, for up to 200mm Wafers20018 TSK APM90 Automatic Wafer Prober68091 TSK APM9072715 TSK APM90A Automatic Wafer Prober 150 mm72716 TSK APM90A Automatic Wafer Prober 150 mm23177 TSK APM90A PROBER 200 mm 71119 TSK AWD 300T Dicing Saw 12 inch76083 TSK AWD-300T Dicing Saw70960 TSK HA3000 DEFECT INSPECTION70961 TSK HA3000 DEFECT INSPECTION68172 TSK HA3000 Defect Inspection 200mm68173 TSK HA3000 Defect Inspection 200mm64160 TSK PG200 + RM ( Option) Automatic Backgrinder 8"60838 TSK TSK APM 90A 8 inch Wafer Prober60841 TSK TSK APM 90A Parts Machine
72336 TSK UF 200 Prober60839 TSK UF 200 Wafer Prober69386 TSK UF190A Prober 125mm68040 TSK UF190A Wafer Prober
68881 TSK UF200 Auto Probe Station71889 TSK UF200 Prober UF20072197 TSK UF200 Prober69678 TSK UF200A Prober71611 TSK UF200AL PROBER75145 TSK UF3000 Probers 300mm68897 TSK UF300A70962 TSK WIN WIN 50 DEFECT INSPECTION68174 TSK WIN WIN 50 Defect Inspection 200mm61379 TSK WIN-WIN50 DEFECT INSPECTION70963 TSK WIN-WIN50 DEFECT INSPECTION68175 TSK WIN-WIN50 Defect Inspection 200mm68181 TSK WIN-WIN50 Defect Inspection71113 TSK A-WD 208T Dicing Saw50157 TSK A-WD-200T Dicing Saw Refurbished14815 TSK APM-90A Prober 150 MM
70983 TSK AWD5000A Dicing Saw75144 TSK Accretech UF3000 Probers 300mm38524 Turbine Tools 525SV Air Turbine Precis ion Turbo Pencil Style Grinders - Straight Series With Fixturing56786 Tylan 2900 MFC's New68590 UltaraTech 1500 Stepper 125mm68591 UltaraTech 1500 Stepper 125mm62393 Ultra Pointe 1010 Laser Imaging System 150mm62226 Ultra Pointe LIS1000 INSPECTION TOOL 150mm73063 Ultra t Equipment (UTE) SCS1124 Wafer Cleaner 8"73064 Ultra t Equipment (UTE) SCSe133 Wafer Cleaner67429 Ultracis ion 860 PROBES UP TO 200mm OR 8" WAFERS. 14598 Ultracis ion 880 Wafer Prober 61199 ULTRACISION 880 8" Semi-Automatic Analytical Wafer Prober34523 ULTRAPOINT 1000 200mm22784 UltraPointe 1010 Laser Imaging System 150mm60473 UltraPointe 1010 Laser Imaging System 150 mm
38203 Ultrasonic Welder Welder74697 Ultratech 1000 Lithography 574698 Ultratech 1000 Lithography 574699 Ultratech 1000 Lithography 571655 ULTRATECH 1000 STEPPER75153 Ultratech 1500 1x Stepper 150 mm70083 Ultratech 2244I I LINE STEPPER 150 mm61100 Ultratech 2244i50568 Ultratech 605 Mask Cleaner50292 Ultratech 6700 Saturn Wafer Stepper74996 Ultratech LA-W820-A Anneal 8
75739 ULTRATECH SATURN SPECTRUM 300 GHI Line broadband lithographic ex 300 mm75179 Ultratech Saturn Spectrum 3e GHI line lithography exposure tool 200 mm74103 Ultratech Titan Stepper74700 Ultratech Ultratech 1500 Lithography 574701 Ultratech Ultratech 1500 Lithography 574702 Ultratech Ultratech 1500 Lithography 574703 Ultratech Ultratech 1500 Lithography 574704 Ultratech UT 1500 Lithography 674705 Ultratech UT 1500 Lithography 674706 Ultratech UT 1500 Lithography 674707 Ultratech UT 1500 Lithography 674708 Ultratech UT1500 Lithography 674709 Ultratech UT1500 Lithography 674710 Ultratech UT1500 Lithography 674711 Ultratech UT1500 Lithography 674712 Ultratech UT1500 Lithography 674713 Ultratech UT1500 Lithography 674714 Ultratech UT1500 Lithography 674715 Ultratech UT1500 Lithography 674716 Ultratech UT1500 Lithography 674717 Ultratech UT1500 Lithography 674718 Ultratech UT1500 Lithography 674719 Ultratech UT1500 Lithography 6
74720 Ultratech UT1500 Lithography 674721 Ultratech UT1500 Lithography 6
74722 Ultratech UT1500 Lithography 621494 Ultratech 605 Mask Cleaning System 4"
16514 ULTRATECH LA1300 Laser Spike Anneal69796 ULTRATECH LSA100 Laser Spike Anneal 200 MM73202 ULTRATECH AP200 i-Line Stepper 6"61325 ULTRATECH INCORPORATSATURN SPEC 300 GHI EXPOSE TOOL, C4 300mm73065 ULTRON UH114 Wafer Mounter69746 Ultron UH-101C UV Exposure61473 ULVAC CERAUS Sputter68744 ULVAC CERAUS Sputter 200mm64429 ULVAC CERAUS Zi1000 Multi-chamber type Sputtering System, Tin sputter LTS modification for A-B04_(Declare for partial excess, Dry pump & MLP being re-use)
71122 ULVAC CERAUS ZX-1000 Deposition Equipment, PVD (Physica200mm74972 Ulvac CERAUS ZX1000 PVD 8
74973 Ulvac CERAUS ZX1000 PVD 874974 Ulvac CERAUS ZX1000 PVD 874975 Ulvac CERAUS ZX1000 PVD 874976 ULVAC CERAUS ZX1000 PVD 874977 ULVAC CERAUS ZX1000 PVD 874978 Ulvac CERAUS ZX1000 PVD 868661 Ulvac DEKTAK 6M Surface Profiler 125mm68662 Ulvac DEKTAK 6M Surface Profiler 125mm68667 Ulvac EBC-16S Evapolation 125mm68668 Ulvac EBX-16C Evapolation 125mm71773 ULVAC ENTRON metal deposition (Copper process) 300 mm72051 ULVAC ENTRON PVD Cluster tool 3 CHAMBER 12"71777 ULVAC ENTRON74979 Ulvac Entron EX W300T CU PVD 1274980 Ulvac Entron EX W300T CU PVD 1274981 Ulvac Entron EX W300T CU PVD 1270964 ULVAC ENTRON T5 SPUTTER68745 ULVAC ENTRON T5 Sputter 200mm73294 ULVAC Entron W300 Copper Inter PVD (Physical Vapor Deposition) 12"70965 ULVAC ENTRON_S SPUTTER70966 ULVAC ENTRON_S SPUTTER68746 ULVAC ENTRON_S Sputter 200mm68747 ULVAC ENTRON_S Sputter 200mm
74982 Ulvac ENTRON-EX W300 PVD 1271682 ULVAC ENVIRO I ASHER
62243 ULVAC ENVIRO I RESIST STRIPPER 150mm62244 ULVAC ENVIRO I RESIST STRIPPER 150mm33809 ULVAC G-100D Mechanical Vacuum Pump68527 Ulvac IM200MS2 Ion Implanter 125mm71681 ULVAC PHOENIX ASHER75128 Ulvac RISE-200 Asher 874983 Ulvac SMD450B Sputter 400x50074984 Ulvac UEP-12000-2C PVD 1221505 Ulvac EBX-10D Vacuum Evaporator 4"
65958 ULVAC Megcon RC-1000A CO2 Bubbler18574 UMAX Data Systems ASTRA 1200s Flat bed scanner
57058 Umicore AKQ515HECM Sputtering Targets AlCu4 99.9995%64166 Unaxis Corona ZH620 Sputtering system 125 mm38310 UNAXIS - BAK 1131 Large Box Coater Evaporation System38306 UNAXIS - BAK EVO (760) Multiple Source Thermal Evaporator.60930 UNION PEM-6M DOUBLE VIEW MASK ALIGNER27791 Uniphase 314S-1700-4.9-4 Laser Power supply27794 Uniphase 1103P-0187 Laser 60998 UNISEM UN-2000A-FG SCRUBBER60992 UNISEM UN-2000A-FG (MS-WSIX) SCRUBBER60993 UNISEM UN-2000A-FSV (MS-WSIX SCRUBBER60991 UNISEM UN-9500A-R SCRUBBER56787 UNIT MFC MFC's New71833 Unitek 125DP resistance spot welder71753 Unitek Micropull 3 Bond tester assembly67121 UNITEK MicroPull IV Wire Bond Pull Tester w/Leica SZ4 Microscope67437 Unitek MP III Pull tester 67438 Unitek MP IV Pull tester w/100 gram beam, computer and printer 71504 Unitek 250DP Double Single Pulse Resistance Welder 33773 UNITEK MIYACHI 1-124-05 Parallel Gap Welder with 2-152-02 Weld Head & 9-001-01 XFMR71153 Universal 6241D Axial Inserver59278 Universal 6441C Axial Lead Sequen Axial Inserter59279 Universal GSM 163778 Universal GSM Advantis Pick & Place System
59280 Universal R6796 Unimod DIP Inserter59281 Universal VCD8-6241F & Rad 8 Axial and Radial Insertion Machines
69858 Universal GSM 2 M862 Product code 46368504 M4688A62444 UNIVERSAL PLASTIC HF SINK/DI CASCADE, DI RINSE F 150mm63101 Unovis Polaris Die Attach60776 Unozawa TS300A Dry Vacuum Pump31649 USHIO JDC120V-800WB Lamp Bulb57178 Ushio UMA-1002 UV Cure System 200 mm68762 Ushio UMA-1002-HC83SF UV Cure 200mm65920 USI UH102-12(12") Semi Automatic UV CURE65912 USI UH114-12(12") Semi Automatic Tape Mounter
65921 USI UH117 WAFER CLEANING SYSTEM71776 USI UH114-12 Tape Mounter 12 inch
56869 UTI Spectralink 100 PC Controlled Residual Gas Analyzer34532 UTI 2211, EXHAUST GAS ANALYSER, 200mm69751 Vac Long VSG 400 Oven Facilities19334 Vantec 390-00052 Antistatic shipping box 200 mm19335 Vantec 390-00052DR Antistatic shipping box 200 mm
19336 Vantec 390-00052R Antistatic wafer shipping box 200 mm68653 Varian 120XP Pre-Depo 125mm72557 Varian 300XP Medium Current Implanter 150 mm68740 Varian 3180 Sputter (Al) 125mm68741 Varian 3180 Sputter (Al) 125mm27777 Varian 3190 Exhaust Gate Valve34376 Varian 3190 Sputter System34377 Varian 3190 Sputtering tool63645 Varian 3290 Metal Dep 150mm
Trio-Tech
Trio-Tech
63085 Varian 3290 Metal Dep. 150mm74985 Varian 3290 PVD 856031 Varian 3290 Sputtering system 150 mm72558 Varian 350D Medium Current Implanter 150 mm72559 Varian 350D Medium Current Implanter 150 mm23110 Varian 860A Vacuum Gauge Controller55906 VARIAN 936-70 SP Helium Leak Detector33810 VARIAN 936-71 Helium Leak Detector69297 Varian 956 Portatest II14513 Varian CP 3800 Gas Chromatograph 23109 Varian E08148001X Filament Power Supply70967 Varian E1000 IMPLANTER
68531 Varian E1000 Implanter 200mm75736 Varian E1000 Implanter
69801 Varian E1000 implanter 200 mm61262 VARIAN E1000 IMPLANTER 200mm
56823 Varian E1000 Parts 71815 Varian E220 Medium Current Implanter 200 mm74536 Varian E220 Medium Current Implanter 674535 Varian E220 Medium Current Implanter 866410 Varian E220 150mm68532 Varian E220 HP Ion Implanter (Medium Current) 200mm68533 Varian E220 HP Ion Implanter (Medium Current) 200mm
72942 Varian E220HP MEDIUM CURRENT IMPLANTER 8"61263 VARIAN E500 EHP IMPLANTER 200mm71158 VARIAN E500 HP MEDIUM CURRENT IMPLANTER 200 mm61437 VARIAN E500HP Implanter 200mm68534 Varian E500HP Implanter 200mm34087 Varian E500HP Medium Current implanter 200 mm54204 Varian E500HP Medium Current Implanter 200 mm67473 Varian EBEAM 25"X 32" HIGH S/S CHAMBER (FLAT REMOVABLE STAINLESS STEEL TOP) THICKNESS MONITOR AUTOMATIC/MANUAL VALVING ION/DUAL TC GAUGE TEMESCAL CV-14 POWER SUPPLY DIFFUSON PUMP (REBUILT) SINGLE POCKET GUN (REBUILT) 2 STAGE DIRECT DRIVE ROUGHING PUMP (REBUILT) (REFU71229 Varian EHPi500 MEDIUM CURRENT IMPLANTER 200 mm74537 Varian EHPi500 Medium Current Implanter 475737 Varian Kestrel 750 Implanter61264 VARIAN KESTREL 750 MEV IMPLANTER 200 mm56933 Varian Kestrel 750 MEV Implanter 200 mm75912 Varian Kestrel II 750 High current ion implanter 200 mm56934 Varian Kestrel II 750 MEV Implanter 200 mm68748 Varian M2000_8 Sputter 200mm74986 Varian M2i PVD 674987 Varian M2i PVD 670968 VARIAN M2i SPUTTER68749 Varian M2i Sputter 200mm62714 Varian Portatest II33812 VARIAN SD331 Mechanical Vacuum Pump, 2ea Available72604 VARIAN SIMAA-6000 AA SPECTROMETER 150 mm27776 Varian SPares Norcal Valve Part 800-824-416622785 Varian V-1000 Turbo Pump, Controller & Cables N/A22786 Varian V-1000HT Turbo Pump, Controller & Cables N/A6827 VARIAN VACION 55 ION PUMP N.A.70097 Varian Viis ion 200 High Current Implanter 150 mm72560 Varian VIISion 200 High Current Implanter 150 mm
74538 Varian VIISion80 Implanter 861438 VARIAN VIISTA 80 Implanter 200mm68535 Varian VIISTA 80 Implanter 200mm74539 Varian VIISta 80 Implanter 856920 Varian Viista 810HP Medium Current Implanter 300mm23106 Varian XF5239002 Scanner Power Supply60944 Varian XM-9074988 Varian XM90 PVD 574989 Varian XM90 PVD 574990 Varian XM90 PVD 574991 Varian XM90 PVD 571870 Varian E500 and Viista Parts Spare Parts27775 VARIAN L8560-303 Valve Assembly54864 Varian VIISTA 80 Classic High Current Implanter 80keV 200 mm 300 mm bridge tool56773 Varian viista 810 classic Medium Current Implanter 200mm / 300mm60762 Varian Spares34526 VARIAN SPECTRAAA 400 ATOMIC ABSORPTION MASS SPEC 200mm74089 VARIAN VIISion 80 High Current Implanter 150 MM74090 VARIAN VIISion 80 High Current Implanter 200 MM74091 VARIAN VIISion 80 High Current Implanter 200 MM23116 Varian / HP HP6269B / X859500300 Power Supply68454 Varian/TEL MB2-730 (DCS) LP-CVD, Wsi 200mm69304 VCR Group TM200S Ion Beam Sputterer35606 VECTOR/ECOSYS ES-50/8 Scrubber, Parts Only67474 Veeco 400 4 Pocket Sloan Gun Sloan 510 Power Supply 69778 Veeco Dektak profilometer 100 mm55914 VEECO Dektak Profilometer, Parts Tool Only69298 Veeco Dektak 200-Si Profilometer67484 Veeco Dektak 3030 STYLUS TYPE PROFILOMETER FOR MEASURING STEPS ON UP TO 6" WAFERS 360 DEGREE STAGE ROTATION 100 ANGSTROM TO 1310K ANGSTROM RANGE MAXIMUM VERTICAL RESOLUTION OF 1 ANGSTROM SERVICED BY FACTORY TRAINED TECHNICIAN 71871 Veeco Dektak 6M Surface Profiler35607 VEECO Dektak II Profilometer, Controller Only, Controller Tool67480 Veeco Dektak IIA62425 Veeco Dektak V-200 Si Thin Film Metrology System 200mm60488 Veeco Dektak V-200 Si Thin Film Metrology System 200 mm56906 Veeco Dektak V-200 Si Thin Film Metrology System 200 mm54497 VEECO DEKTAK V200 Si THICKNESS MEASUREMENT 150MM AND 200MM
56726 Veeco Dimension 3100 Atomic Force Microscope75129 Veeco E300 MOCVD67485 Veeco Fpp 100 4 POINT PROBE WITH DIGITAL R/O. 67430 Veeco FPP 5000 The FPP-5000 4-Point Probe (Figure 1 1) s implifies the measurement of resistive properties of semiconductor wafers and resistive films. The microprocessor based electronics permits direct computation of V/I, sheet or s lice resistiv ity, metalization thick72601 Veeco FPP-100 4 point probe 150 mm75177 Veeco LL 250 Ion Beam Etcher 150 mm10937 Veeco Monitor & Key for LL250 Monitor & Key board for Veeco LL25056842 VEECO MS-35T Turbopumped Leak Detector71865 Veeco RF350 Ion beam etcher 200 mm72899 Veeco RF350 Ion beam etcher 200 mm72053 VEECO V200SL Surface profile measurement 8"68700 Veeco V320-Si Surface Profiler 300mm60012 VEECO VX200 / Manual Atomic Force Profiler 200 mm74893 VEECO VX340 ATOMIC FORCE PROFILER 1269299 Veeco Wyko NT3300 Profiling System49089 Veeco HD3300 Optical Profiling system70016 Veeco XRF-330AT X-Ray Fluorescence Surface Measurement System74094 VEECO TurboDisc K465i MOCVD 150 mm74092 VEECO TurboDisc K465i MOCVD 6"74093 VEECO TurboDisc K465i MOCVD 6"71453 Veeco / Digital Instruments Dimension 5000 Atomic Force Microscope 200 mm30541 VEECO INSTRUMENTS INC.DIMENSION X1D MICROSCOPE - ATOMIC FORCE (AFM)70441 Veeco Instruments Inc. Dimension X3D Atomic Force Microscope (AFM) 200 mm36870 Veeco Wyko NT 3300 Profiler System 71797 Verigy 9300 PS800 S/W Version71600 VERIGY 93000XP Tester68214 Verigy Agilent Versatest V4000 Tester
54166 Verigy V3000 Parts, Spare Boards54169 Verigy V3000 Parts, Spare Boards54165 Verigy V4400 Parts, Spare Boards54168 Verigy V4400 Parts, Spare Boards75719 VERIGY V93000 TEST SOC TESTER63598 Verigy 93000 SOC Test System67233 Verigy (Agilent) 83000 VLSI Tester
57201 Verigy (Agilent) Audio/Video 8 Analog CardParts/Options57206 Verigy (Agilent) Audio/Video 8 Analog CardParts/Options57208 Verigy (Agilent) Audio/Video 8 Analog CardParts/Options57209 Verigy (Agilent) Audio/Video 8 Analog CardParts/Options57210 Verigy (Agilent) Audio/Video 8 Analog CardParts/Options57211 Verigy (Agilent) Audio/Video 8 Analog CardParts/Options37569 Verigy (Agilent) WDA High Speed Digitzer Parts/Options53906 Verigy (Agilent) WDA High Speed Digitzer Parts/Options75822 Verigy / Agilent M5050VS Test System TEST75823 Verigy / Agilent M5150VF Test System TEST75824 Verigy / Agilent S900A Test System TEST75825 Verigy / Agilent V3308AD Test System TEST75826 Verigy / Agilent V3308AD Test System TEST75827 Verigy / Agilent V3308AD Test System TEST75828 Verigy / Agilent V3308AD Test System TEST75829 Verigy / Agilent V3308AD Test System TEST75830 Verigy / Agilent V3308AD Test System TEST75831 Verigy / Agilent V3308AD Test System TEST75832 Verigy / Agilent V3308AD Test System TEST75833 Verigy / Agilent V3308AD Test System TEST75834 Verigy / Agilent V3308AD Test System TEST75835 Verigy / Agilent V3308AD Test System TEST75836 Verigy / Agilent V3308AD Test System TEST75837 Verigy / Agilent V3308AD Test System TEST75838 Verigy / Agilent V3308AD Test System TEST75839 Verigy / Agilent V3308AD Test System TEST75840 Verigy / Agilent V3308AD Test System TEST75841 Verigy / Agilent V3308AD Test System TEST75842 Verigy / Agilent V3308AD Test System TEST
75843 Verigy / Agilent V3308AD Test System TEST75844 Verigy / Agilent V3308AD Test System TEST75845 Verigy / Agilent V3308AD Test System TEST75846 Verigy / Agilent V3308AD Test System TEST75847 Verigy / Agilent V3308AD Test System TEST75848 Verigy / Agilent V4400 Test System TEST75849 Verigy / Agilent V4400 Test System TEST75850 Verigy / Agilent V4400 Test System TEST75851 Verigy / Agilent V4400 Test System TEST75852 Verigy / Agilent V4400 Test System TEST75853 Verigy / Agilent V4400 Test System TEST75854 Verigy / Agilent V5400 Test System TEST75855 Verigy / Agilent V5400 Test System TEST75856 Verigy / Agilent V5400 Test System TEST75857 Verigy / Agilent V5400 Test System TEST75858 Verigy / Agilent V5400 Test System TEST75859 Verigy / Agilent V5400 Test System TEST75860 Verigy / Agilent V5400 Test System TEST75861 Verigy / Agilent V5400 Test System TEST75862 Verigy / Agilent V5400 Test System TEST75863 Verigy / Agilent V5400 Test System TEST75864 Verigy / Agilent V5400 Test System TEST75865 Verigy / Agilent V5400 Test System TEST75866 Verigy / Agilent V5400 Test System TEST75867 Verigy / Agilent V5400 Test System TEST75868 Verigy / Agilent V5400 Test System TEST75869 Verigy / Agilent V5400 Test System TEST75870 Verigy / Agilent V5400 Test System TEST75871 Verigy / Agilent V5400 Test System TEST75872 Verigy / Agilent V5400 Test System TEST75873 Verigy / Agilent V5400 Test System TEST75874 Verigy / Agilent V5400 Test System TEST75875 Verigy / Agilent V5400 Test System TEST75876 Verigy / Agilent V5400 Test System TEST75877 Verigy / Agilent V5400 Test System TEST75878 Verigy / Agilent V5400 Test System TEST
75879 Verigy / Agilent V5400 Test System TEST75880 Verigy / Agilent V5400 Test System TEST75881 Verigy / Agilent V5400 Test System TEST34746 Verigy/Agilent 83000-F330t Test System72602 Versaprobe VP10 4 point probe 150 mm62600 Versatest VT2104 Memory Tester72766 VERTEQ 1600 Spin Rinse Dry 150 mm72767 VERTEQ 1600 Spin Rinse Dry 150 mm72768 VERTEQ 1600 Spin Rinse Dry 150 mm72769 VERTEQ 1600 Spin Rinse Dry 150 mm72770 VERTEQ 1600 Spin Rinse Dry 150 mm72771 VERTEQ 1600 Spin Rinse Dry 150 mm67465 Verteq 1600-2 Rinser and dryer for wafers up to 6" 50293 Verteq 1600-34 Dual Stack SRD72772 VERTEQ 1600-34 Spin Rinse Dry 150 mm72773 VERTEQ 1600-34 Spin Rinse Dry 150 mm67466 Verteq 1600-55 Spin rinser dryer for up to 6" wafers 72774 Verteq 1600-55M Spin Rinse Dry 150 mm72775 Verteq 1600-55M Spin Rinse Dry 150 mm72776 Verteq 1600-55M Spin Rinse Dry 150 mm72777 Verteq 1600-55M Spin Rinse Dry 150 mm72778 Verteq 160055M Spin Rinse Dry 150 mm72779 Verteq 160055M Spin Rinse Dry 150 mm72780 Verteq 160055M Spin Rinse Dry 150 mm72781 Verteq 160055M Spin Rinse Dry 150 mm72782 Verteq 160055M Spin Rinse Dry 150 mm72783 Verteq 160055M Spin Rinse Dry 150 mm72784 Verteq 160055M Spin Rinse Dry 150 mm72785 Verteq 160055M Spin Rinse Dry 150 mm72786 Verteq 160055M Spin Rinse Dry 150 mm72787 Verteq 160055M Spin Rinse Dry 150 mm72749 Verteq 1800 Spin Rinse Dry 150 mm72750 Verteq 1800 Spin Rinse Dry 150 mm72751 Verteq 1800 Spin Rinse Dry 150 mm
72752 Verteq 1800 Spin Rinse Dry 150 mm72753 Verteq 1800 Spin Rinse Dry 150 mm
72754 Verteq 1800 Spin Rinse Dry 150 mm72755 Verteq 1800 Spin Rinse Dry 150 mm72756 Verteq 1800 Spin Rinse Dry 150 mm72757 Verteq 1800 Spin Rinse Dry 150 mm72758 Verteq 1800 Spin Rinse Dry 150 mm72759 Verteq 1800 Spin Rinse Dry 150 mm72760 Verteq 1800 Spin Rinse Dry 150 mm72761 Verteq 1800 Spin Rinse Dry 150 mm72762 Verteq 1800 Spin Rinse Dry 150 mm72763 Verteq 1800 Spin Rinse Dry 150 mm72764 Verteq 1800 Spin Rinse Dry 150 mm72765 Verteq 1800 Spin Rinse Dry 150 mm69300 Verteq 1800-50 Dual Stack Spin Rinser/Dryer (SRD)69301 Verteq 1800-50 Single Stack Spin Rinser/Dryer72854 Verteq 2600-34C Megasonic stand alone 150 mm72855 Verteq 2600-34C Megasonic stand alone 150 mm72856 Verteq Custom Etch Hood 150 mm72857 Verteq Custom Etch Hood 150 mm15619 VERTEQ FLUOROCARBON RD4500SRD 100 mm68670 Verteq Mark-V Barrel SRD w/Control Panel 150mm72858 Verteq MCS2600-46 Megasonic stand alone 150 mm22787 Verteq S600-42T Megasonic Cleaner 150mm23119 Verteq S800-C2-MC2-NPP Power Supply23120 Verteq ST1200-CC50-MC2-PVDFPower Supply35609 VERTEQ ST800-41TL Sunburst Turbo Megasonic Wafer Cleaner, for up to 200mm Wafers, 2 Cassettes per Load10134 VERTEQ VcS-PPC-SAH Semi-Automatic Wet Process System35671 Verteq VCS-SAH-1800 Wet Hood
19134 VEXTA PH266-01 2-phase 1.8degree/step19177 VEXTA PH266-01B STEPPER MOTOR19144 VEXTA PH566-A 5-phase 0.72"/step69917 Vexta PH566H-A-A21 5 Phase Stepping Motor19185 VEXTA PH569-B 5-phase stepping motor37624 Vexta PH596-A 5-Phase Stepping Motor38868 Vexta PH596-A Stepping Motor 5PH
19182 VEXTA PH596-B STEPPER MOTOR37621 Vexta PMD07U Stepping Motor Driver
19140 VEXTA UPH569H-B 5-phase driver 0.72"/step37627 Vexta UPX245-A 2-Phase 1.8" step stepper motor
71470 VG Semicon / Oxford V90 MBE Molecular beam Epitaxy 50 to 100 mm19195 VICKERS 4211 in line check valve13068 vickers dg4v-3-2a-m-p2-t-7-50 diectional control valve13107 vickers dg4v-3-2b-m-p2-t-7-50 directional control valve60306 View Engineering VOY 612 Inspection System12586 Virtual Industries Vacuum Pen, PEN-VAC by Virtual Industries59234 Viscom S3088XL AOI SMT10135 VISION ENGINEERING Dynascope Projection Micrsoscope64343 Vision Engineering TS-2 Stereo Dynascope 76073 VISTEC INM100 Microscope 870087 Vistec / KLA LDS 3300M Macro defect inspection tool 300 mm70086 Vistec / KLA LDS 3300M Macro defect inspection tool 300 mm71050 Vitronics SC-140 Vitronics Soltec Reflow Oven63780 Vitronics XPM2 1240 Reflow Oven59283 Vitronics Delta Max 6723CC Wave Solder59284 Vitronics Delta Wave 6622 CC Wave Solder59292 Vitronics Magnatherm 730N Reflow Oven66095 Vitronics myReflow 1040 myReflow Soldering System59285 Vitronics myReflow 1040 myReflow Soldering System59282 Vitronics mySelective 6747 Selective Solder59286 Vitronics MySelective 6749 Selective Solder Machine59293 Vitronics XPM 820 Reflow Oven59294 Vitronics XPM2 730 Reflow Oven75680 Vitronics/Soltec SMR400 Convection Oven 20070036 VJ Electronix 2200RS SMT Rework System
17054 VLSI SHS-2000 Step Height Standard 64798 VLSI STANDARDS INC. Various Step Height Standards, Various Models72878 Voetsch 4033 Climate Chamber71263 Voetsch VC 4033 Climate Chamber72881 Voetsch VT6060 Vacuum Chamber 72880 Voetsch VTM 7004 Temperature Chamber72879 Voetsch VTS 4057 Temperature Chamber71711 Votsch NTL 75-125 Drying Oven oven72861 Votsch VT 3050 Climate chamber test
71712 Votsch VTU 60/60 Laboratory Oven oven61132 VTT V7100
60760 VWR 1410 Vacuum Oven - Benchtop34539 VWR 1620 OVEN 200mm38528 VWR? 310 Stir Plate63813 W&G PA2063815 W&G PF14063816 W&G PFA3563814 W&G SF5055859 WAFAB WHRV-5067-2-134A Recirculating Heater/Chiller for Corrosive Liquids61174 WAFAB WHRV-5067-3-134a Recirculating Heater/Chiller for Corrosive Liquids67150 Wakefield Watchman 75 The Wakefield Watchman 75 system comes equipped with digital readout and chart recorder. ID: 2'x2'x4'h, 200 & 250 deg C 2278 WANDEL AND GOLTERMA PF4 BIT ERROR MEASURING SET TEST70137 WATKINS JOHNSON 1000T APCVD 200 mm50172 Watkins Johnson 1500TF CVD system 200mm38810 Watkins Johnson WJ 999 Upgrades WJ999, 999R, Teos999, WJ-1000T, 1500T 63684 WATKINS JOHNSON WJ-1000T TEOS 200mm35771 Watkins Johnson WJ-1000T54186 Watkins Johnson WJ999 CVD57778 Watkins Johnson WJ999 CVD36693 Watkins Johnston WJ1000T Dielectric CVD BPSG 3.0819329 Watkins Johnston WJ1000T-3.0 APCVD Reactor36627 WATKINS-JOHNSON WJ-1000T production eqvipment, DCvD51036 Watlow 935 Polymeter60827 Wavecrest DTS 2077 Digital Time Scope
23124 Wavetek 801 50MHz Pulse Generator69477 WECON SOJ40054110 WED 8602 Wafer Metrology Sorter56724 Weiss Enet Temperature Chamber20020 Weld Argon Dewar Weld Argon Dewar Autoswitching Unit67461 Weltek 68 6X8" PRINT AREA, SEMIAUTOMATIC TABLE TOP MODEL 67144 Wentworth 920 WENTWORTH 920 4" ANALYTICAL PROBE STATION WITH B&L MICRO ZOOM OPTICS AND 2 OBJECTIVES Also available with B&L Stereo Zoom 7 and Stereo Zoom 4 Optics 26522 Wentworth APS80 Prober67874 Wentworth Compass CMP-100 Probe card Builder 18563 Wentworth Laboratories MP1001W prober33628 WENTWORTH LABS HOP "Hands Off Probe" Micropositioner, 2ea Available
60326 Wentworth Labs MM2004 (0-043-0001) Prober 200 mm55913 WENTWORTH LABS MP-2000 Analytical Wafer Prober, for up to 200mm Wafers
60327 Wentworth Labs MP2000 Probe Station 200 mm60328 Wentworth Labs MP2010 Manual Prober 200 mm68022 West Bond 4546 47E Semi automatic wedge and ball bonder68891 West Bond 7200AA Pick & Place Flip Chip w/ Actuator Pump72168 West Instruments 561116 RS232 BUS72167 West Instruments 561118 RS232 BUS60691 West-Bond 5400 Wire Bonder50982 West-Bond 5700 Semi-automatic Thermosonic Ball Bonder51085 West-Bond 5700 Semi-automatic Thermosonic Ball Bonder50985 West-Bond 7400IW Insulated Wire Bonder51088 West-Bond 7400IW Insulated Wire Bonder50988 West-Bond 7416A Thermal-compression Gold-Wire Wedge Bonder51091 West-Bond 7416A Thermal-compression Gold-Wire Wedge Bonder50986 West-Bond 7416A Thermo-compression Gold Wire? Wedge Bonder51089 West-Bond 7416A Thermo-compression Gold Wire? Wedge Bonder50983 West-Bond? 7200A Pick & Place Epoxy Die Bonder
51086 West-Bond? 7200A Pick & Place Epoxy Die Bonder60285 Westbond 2400 Automatic Wedge 45 Wire Bonder71265 Westbond 2400TS Die Bonder67515 Westbond 460067516 Westbond 540067517 Westbond 5400B67518 Westbond 5700
67173 Westbond 7200 The Westbond 7200 is an epoxy die bonder. This is a small footprint version. (Email us for photo) 67174 Westbond 7200A Epoxy dispense and chip pickup tool60277 Westbond 7200B Pick & Place Epoxy Die Bonder60283 Westbond 7300A Eutectic Ultrasonic Die Bonder60282 Westbond 7316A Eutectic Mech. Scrub Die Bonder67175 Westbond 7316A Manual Die Bonder67519 Westbond 7400A Bonder60274 Westbond 7400A Deep Access Vertical Feed Bonder71752 Westbond 7400A Manual Wedge Bonder assembly67099 Westbond 7400A Ultrasonic Manual Wedge Wire Bonder62671 Westbond 7400A Ultrasonic Manual Wedge Wire Bonder
60279 Westbond 7400A Ultrasonic Manual Wedge Wire Bonder (refurbished)67521 Westbond 7400B Bonder
67522 Westbond 741660281 Westbond 7416A Thermocompression Wedge Bonder67523 Westbond 7416A
60275 Westbond 7416A Thermocompression Wedge Bonder60284 Westbond 7440A Insulated Wire Bonder Tacker
67524 Westbond 7443A Ultrasonic Bonder60276 Westbond 7700A Deep Access Ball & Wedge Bonder60280 Westbond 7700A Ultrasonic Ball & Wedge Bonder67525 Westbond 7700A62672 Westbond Ultrasonic Manual Wedge Wire Bonder38305 WESTECH - 472 IPEC Avanti 472 Automated Wafer Polisher.76030 WFAB International WHRV 2122212-134A Temperature Control Circ lator76031 WFAB International WHRV 2133815-134A Temperature Control Circ lator61203 WHITE KNIGHT AT300 Acid Pumps with Check Valve10139 WILD M420 Makrozoom Microscope18642 WILD MPS45 Photoautomat
32226 Wilson Tuxon Hardness Tester34524 WIS 150 WAFER INSPECTION SYSTEM 200mm69478 WJ 999R62316 WJ WJ-1000T-3.08 Dielectric CVD BPSG 200mm62317 WJ WJ-1000T-3.08 Dielectric CVD BPSG 200mm62318 WJ WJ-1000T-3.08 Dielectric CVD BPSG 200mm62319 WJ WJ-1000T-3.08 Dielectric CVD BPSG 200mm62320 WJ WJ-1000T-3.08 Dielectric CVD BPSG 200mm60406 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm60407 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm60408 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm60409 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm60410 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm60411 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm60412 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm60413 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm60414 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm60415 WJ WJ-1000T-3.08(s) Dielectric CVD BPSG 200 mm68420 WJ WJ999 AP-CVD 125mm71121 WJ Aviza TEOS999 V3.5 APCVD TEOS
50198 Woolam Woolam VU-302 Automated ellipsometer 200mm18641 WTB BINDER Oven, 250Deg C,63597 WTS SOT143 Tape & Reel System
66762 WYKO HD 2000 DPC Video Scope34091 Wyko NT 2000 Optical Profiling system 200 MM
75168 WYKO NT 3300 OPTICAL PROFILOMETER 150 mm66084 Wyko NT 8000 Optical Profiler 74097 Wyko NT100 Optical Profiling system 33930 Wyko NT1100 Optical Profiling system 38870 Wyko NT1100 Optical Profiling system71792 WYKO NT3300 Optical 3D profiling system 200mm71432 Wyko NT3300 Profiler refurbished 67687 WYKO NT8000 Optical Profiler51002 Xantrex XTQ 7-6X Regulated DC Power Supplies
14489 XPS WIRELINE SIMULATOR70012 Yamaha YG200 chip shooter SMT
70011 Yamaha YG200 chip shooter SMT52285 Yamaha YV100XF Chip Mounter 70013 Yamaha YV100XG chip shooter SMT52378 Yamaha YV88xg Pick and place machine54520 Yamaha YV100XG SMT Machine20137 Yasakawa UGRMEM-08MB20E RM SERIES ENCODER71710 Yasakawa YR-CRJ3-A00 Robot61381 YASHIMA P-5B-S TEMPERATURE TESTER68215 Yashima P-5B-S Temperature Tester68216 Yashima P-5B-S Temperature Tester19171 YASKAWA SGDA-01BP Servo Pack Motor Driver38821 Yaskawa UGRMEM-02SKD11 Servo Motor34113 Yasunaga LI-2000 Lead Scanner69316 YES 310 HMDS Oven75166 YES LP 3 HDMS OVEN 150 mm69317 YES LP6 HMDS Oven
67209 Yes R372616 YES YES-5 Wafer Surface Prep Batch Oven 150 mm
72617 YES YES-5 Wafer Surface Prep Batch Oven 150 mm62505 YES Primer Oven 125mm34540 YES 1518SM VAPOR PRIME OVEN 200mm63099 YES CV100 Downstream Plasma Stripper 8884059235 YesTech M1 Series AOI59236 YesTech M1 Series AOI59237 YesTech YTV F1/SH AOI59238 YesTech YTV-2000 AOI69924 YIELD ENGINEERING YES-450 PB8-2 Polyimide Vacuum Bake Oven38303 YIELD ENGINEERING - YES- 450PB8-2 Polyimide Vacuum Bake Oven74618 Yield Engineering Systems YES-5 Lithography 474619 Yield Engineering Systems YES-5 Lithography 474620 Yield Engineering Systems YES-5 Lithography 674621 Yield Engineering Systems YES-5E Lithography 674622 Yield Engineering Systems YES-5E Lithography 661465 YJ Display CIS_03
61466 YJ Display CIS_0472953 YOKOGAWA 7045-10 TEST SYSTEM66154 Yokogawa AH9710 HANDLER68217 Yokogawa AL6090 Tester64410 Yokogawa CM-50 AMONIA DETECTOR (ST)64407 Yokogawa CM-50 NH3 MEASUREMENT DEVICE CM-500 #164408 Yokogawa CM-50 NH3 MEASUREMENT DEVICE CM-500 #264409 Yokogawa CM-50 NH3 MEASUREMENT DEVICE CM-500 #354167 Yokogawa L3XXX Series Parts, Spare Boards54170 Yokogawa L3XXX Series Parts, Spare Boards71026 YOKOGAWA TS670 LCD TESTER SYSTEM
71027 YOKOGAWA TS670 LCD TESTER SYSTEM64363 Yokogawa TS6700 LCD Driver Test System EWS Less Type63825 Yokogawa OTDR AQ726038530 Yokogawa TS-70? Test System, 256 Channels63807 Yokogawa VC200 Mobile Phone tester74095 York YS Series Rotary Screw Liquid Chiller n/a74096 York YS Series Rotary Screw Liquid Chiller n/a73046 Zeiss Axio Imager 2 Bilogical Microscope69311 Zeiss AXIOMAT Microscope73242 Zeiss Axiopsect 200 Optical Review System 8"73243 Zeiss Axiopsect 200 Optical Review System 8"71241 Zeiss Axioscop 2 Microscope71619 ZEISS AXIOTRON MICROSCOPE53114 Zeiss Axiotron Reflected Light Microscope70673 ZEISS AXIOTRON SCOPE62502 Zeiss Axiotron MSM 193 Simulation Microscope w/Laser 200mm60542 Zeiss Axiotron MSM 193 Simulation Microscope w/Laser 200 mm36633 Zeiss Axiotron VIS-UV Zeiss Axiotron VIS-UV CSM70674 ZEISS LEO1560XB SEM67784 Zeiss Light-Section Eyepiece Micrometer60541 Zeiss MSM 100 Simulation Microscope 200 mm62503 Zeiss MSM 100 Simulation Microscope 200mm69388 Zeiss Stemi SV 11 Stereomicroscope
29582 Zellweger Analytical Impact pro Gas Detector73004 ZEUS BW3000 WET BENCH 12"
64270 ZEUS (Shibura) BW300060310 Zevatech CT-3000 Pick and Place Machine
60751 Zevatech / ESEC CT-2000 Pick & Place Die Attach System34525 ZIESS UMSP 80 & MANUAL PICS (EFS 163-168) 200mm75305 Zygo ARHS Retic le Stocker/Handler N/A69926 Zygo New View 100 Interferometer74894 ZYGO NEWVIEW600S 3D Inspection66702 Zygo NV 7200 scope Assembly75977 Zygo Zaris RETICLE INSPECTION 200 MM72192 LD33296.01 for AS322393 RF Unit69829 TO220 TO-220 Line
Plasma Cleaner Plasma cleaner which includes Lark sequencer and Yes 500 Generator.