1612

Click here to load reader

Www.testbench.in

Embed Size (px)

Citation preview

WWW.TESTBENCH.IN

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS |

SystemVerilogBasic Constructs Interface OOPS Randomization Functional Coverage Assertion DPI VMM Ethernet Example ... Verification Concepts UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM Easy Labs : AVM

VerilogVerification Concepts Switch Example Basic Constructs ... ... ... ... ... ...

OpenVeraConstructs Switch Example RVM Switch Example RVM Ethernet Sample ... ... ... ... ...

MiscellaniousArticles Specman E Tutorial Interview Questions ... ... ... ... ... ...

New to this site ? Then check these links >What People are saying about this Site >What is on this Site >Table of Contents

copyright 2007 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/[9/26/2012 1:55:44 PM]

WWW.TESTBENCH.IN - SystemVerilog Constructs

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Data Types Literals Strings Userdefined Datatypes Enumarations Structures And Uniouns Typedef Arrays Array Methods Dynamic Arrays Associative Arrays Queues Comparison Of Arrays Linked List Casting Data Declaration Reg And Logic Operators 1 Operators 2 Operator Precedency Events Control Statements Program Block Procedural Blocks Fork Join Fork Control Subroutines Semaphore Mailbox Fine Grain Process ControlReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB VMM Ethernet sample

INDEX............INTRODUCTION ............DATA TYPES ..................... Signed And Unsigned ..................... Void ............LITERALS ..................... Integer And Logic Literals ..................... Time Literals ..................... Array Literals ..................... Structure Literals ............STRINGS ..................... String Methods ..................... String Pattren Match ..................... String Operators ..................... Equality ..................... Inequality. ..................... Comparison. ..................... Concatenation. ..................... Replication. ..................... Indexing. ............USERDEFINED DATATYPES ............ENUMARATIONS ..................... Enumarated Methods ..................... Enum Numerical Expressions ............STRUCTURES AND UNIOUNS ..................... Structure ..................... Assignments To Struct Members ..................... Union ..................... Packed Structures ............TYPEDEF ..................... Advantages Of Using Typedef

VerilogVerification Verilog Switch TB Basic Constructs

OpenVeraConstructs Switch TB RVM Switch TB

............ARRAYS ..................... Fixed Arrays RVM Ethernet sample ..................... Operations On Arrays ..................... Accessing Individual Elements Of Multidimensional ArraysSpecman E Interview Questions

............ARRAY METHODS ..................... Array Methods ..................... Array Querying Functions ..................... Array Locator Methods ..................... Array Ordering Methods ..................... Array Reduction Methods ..................... Iterator Index Querying ............DYNAMIC ARRAYS ..................... Declaration Of Dynmic Array ..................... Allocating Elements ..................... Initializing Dynamic Arrays ..................... Resizing Dynamic Arrays

http://testbench.in/SV_00_INDEX.html[9/26/2012 1:55:53 PM]

WWW.TESTBENCH.IN - SystemVerilog Constructs

..................... Copying Elements ............ASSOCIATIVE ARRAYS ..................... Associative Array Methods ............QUEUES ..................... Queue Operators ..................... Queue Methods ..................... Dynamic Array Of Queues Queues Of Queues ............COMPARISON OF ARRAYS ..................... Static Array ..................... Associative Array ..................... Dynamic Array ..................... Queues ............LINKED LIST ..................... List Definitions ..................... Procedure To Create And Use List ..................... List_iterator Methods ..................... List Methods ............CASTING ..................... Static Casting ..................... Dynamic Casting ..................... Cast Errors ............DATA DECLARATION ..................... Scope And Lifetime ..................... Global ..................... Local ..................... Alias ..................... Data Types On Ports ..................... Parameterized Data Types ..................... Declaration And Initialization ............REG AND LOGIC ............OPERATORS 1 ..................... Operators In Systemverilog ..................... Assignment Operators ..................... Assignments In Expression ..................... Concatenation ..................... Arithmetic ..................... Relational ..................... Equality ............OPERATORS 2 ..................... Logical ..................... Bitwise ..................... Reduction ..................... Shift ..................... Increment And Decrement ..................... Set ..................... Streaming Operator ..................... Re-Ordering Of The Generic Stream ..................... Packing Using Streaming Operator ..................... Unpacking Using Streaming Operator

http://testbench.in/SV_00_INDEX.html[9/26/2012 1:55:53 PM]

WWW.TESTBENCH.IN - SystemVerilog Constructs

..................... Streaming Dynamically Sized Data ............OPERATOR PRECEDENCY ............EVENTS ..................... Triggered ..................... Wait() ..................... Race Condition ..................... Nonblocking Event Trigger ..................... Merging Events ..................... Null Events ..................... Wait Sequence ..................... Events Comparison ............CONTROL STATEMENTS ..................... Sequential Control ..................... Enhanced For Loop ..................... Unique ..................... Priority ............PROGRAM BLOCK ............PROCEDURAL BLOCKS ..................... Final ..................... Jump Statements ..................... Event Control ..................... Always ............FORK JOIN ..................... Fork Join None ..................... Fork Join Any ..................... For Join All ............FORK CONTROL ..................... Wait Fork Statement ..................... Disable Fork Statement ............SUBROUTINES ..................... Begin End ..................... Tasks ..................... Return In Tasks ..................... Functions ..................... Return Values And Void Functions: ..................... Pass By Reference ..................... Default Values To Arguments ..................... Argument Binding By Name ..................... Optional Argument List ............SEMAPHORE ............MAILBOX ............FINE GRAIN PROCESS CONTROL

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/SV_00_INDEX.html[9/26/2012 1:55:53 PM]

WWW.TESTBENCH.IN - Systemverilog Interface

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Interface Ports Interface Methods Clocking Block Virtual Interface Svtb N Verilog DutReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTERFACE ..................... Advantages Of Using Inteface ............PORTS ..................... Interface Ports ..................... Modports ..................... Modport Selection Duing Module Definition. ..................... Modport Selection Duing Module Instance. ............INTERFACE METHODS ..................... Methods In Interfaces ............CLOCKING BLOCK ..................... Clocking Blocks ..................... Skew ..................... Cycle Delay ............VIRTUAL INTERFACE ..................... Virtual Interfaces ..................... Advantages Of Virtual Interface ..................... Multi Bus Interface

............SVTB N VERILOG DUT ..................... Working With Verilog Dut VMM Ethernet sample ..................... Connecting In Top ..................... Connecting Using A Wrapper VerilogVerification Verilog Switch TB Basic Constructs

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/IF_00_INDEX.html[9/26/2012 1:56:01 PM]

WWW.TESTBENCH.IN - Systemverilog Interface

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/IF_00_INDEX.html[9/26/2012 1:56:01 PM]

WWW.TESTBENCH.IN - Systemverilog OOPS

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Class Object This Inheritance Encapsulation Polymorphism Abstract Classes Parameterised Class Nested Classes Constant Static Casting Copy Scope Resolution Operator Null External Declaration Classes And Structures Typedef Class Pure Other Oops Features MiscReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ..................... Brief Introduction To Oop ..................... Class ..................... Object ..................... Methods ..................... Inheritance ..................... Abstraction ..................... Encapsulation ..................... Polymorphism ............CLASS ..................... Class Properties ............OBJECT ..................... Creating Objects ..................... Declaration ..................... Instantiating A Class ..................... Initializing An Object ..................... Constructor ............THIS ..................... Using The This Keyword

............INHERITANCE VMM Ethernet sample ..................... What You Can Do In A Subclass ..................... Overriding ..................... Super ..................... Is Only Method Verilog ..................... Is First Method Verification ..................... Is Also Method Verilog Switch TB ..................... Overriding Constraints. ..................... Overriding Datamembers Basic Constructs OpenVeraConstructs Switch TB RVM Switch TB

............ENCAPSULATION ..................... Access Specifiers ............POLYMORPHISM ............ABSTRACT CLASSES

RVM Ethernet sample ............PARAMETERISED CLASS ..................... Type Parameterised Class ..................... Value Parameterised Class ..................... Generic Parameterised Class Specman E ..................... Extending Parameterised Class Interview Questions

............NESTED CLASSES ..................... Why Use Nested Classes ............CONSTANT ..................... Constant Class ..................... Global Constant ..................... Instance Constants ............STATIC ..................... Static Class Properties

http://testbench.in/CL_00_INDEX.html[9/26/2012 1:56:09 PM]

WWW.TESTBENCH.IN - Systemverilog OOPS

..................... Static Methods ..................... Static Lifetime Method. ............CASTING ............COPY ..................... Shallow Copy ..................... Deep Copy ..................... Clone ............SCOPE RESOLUTION OPERATOR ............NULL ............EXTERNAL DECLARATION ............CLASSES AND STRUCTURES ............TYPEDEF CLASS ..................... Forward Reference ..................... Circular Dependency ............PURE ............OTHER OOPS FEATURES ..................... Multiple Inheritence ..................... Method Overloading ............MISC ..................... Always Block In Classes

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/CL_00_INDEX.html[9/26/2012 1:56:09 PM]

WWW.TESTBENCH.IN - Systemverilog Randomization

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Constrained Random Verification Verilog Crv Systemverilog Crv Randomizing Objects Random Variables Randomization Methods Checker Constraint Block Inline Constraint Global Constraint Constraint Mode External Constraints Randomization Controlability Static Constraint Constraint Expression Variable Ordering Constraint Solver Speed Randcase Randsequence Random Stability Array Randomization Constraint Guards TitbitsReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............CONSTRAINED RANDOM VERIFICATION ..................... Introduction ............VERILOG CRV ..................... Constrained Random Stimulus Generation In Verilog ............SYSTEMVERILOG CRV ..................... Systemverilog Constraint Random Stmulus Generaion ..................... Random Number Generator System Functions ..................... $Urandom_range ..................... Scope Randomize Function ..................... Randomizing Objects ..................... Random Unpacked Structs ..................... Rand Case ..................... Rand Sequence ............RANDOMIZING OBJECTS ..................... Generating Random Stimulus Within Class ............RANDOM VARIABLES ..................... Random Varible Declaration ..................... Rand Modifier ..................... Randc Modifier

VMM Ethernet sample ............RANDOMIZATION METHODS ..................... Randomization Built-In Methods ..................... Randomize() ..................... Pre_randomize And Post_randomize Verilog ..................... Disabling Random Variable Verification ..................... Random Static Variable Verilog Switch TB ..................... Randomizing Nonrand Varible Basic Constructs ............CHECKER

OpenVeraConstructs Switch TB RVM Switch TB

............CONSTRAINT BLOCK ..................... Inheritance ..................... Overrighting Constraints ............INLINE CONSTRAINT

RVM Ethernet sample ............GLOBAL CONSTRAINT

Specman E Interview Questions

............CONSTRAINT MODE ..................... Disabling Constraint Block ............EXTERNAL CONSTRAINTS ..................... Constraint Hiding ............RANDOMIZATION CONTROLABILITY ..................... Controlability ............STATIC CONSTRAINT ............CONSTRAINT EXPRESSION ..................... Set Membership ..................... Weighted Distribution

http://testbench.in/CR_00_INDEX.html[9/26/2012 1:56:18 PM]

WWW.TESTBENCH.IN - Systemverilog Randomization

..................... Implication ..................... If..Else ............VARIABLE ORDERING ..................... Functions ..................... Iterative Constraints ............CONSTRAINT SOLVER SPEED ............RANDCASE ............RANDSEQUENCE ..................... Random Productions ..................... Random Production Weights ..................... If..Else ..................... Case ..................... Repeat Production Statements ..................... Rand Join ..................... Break ..................... Return ..................... Value Passing Between Productions ............RANDOM STABILITY ..................... Srandom ............ARRAY RANDOMIZATION ............CONSTRAINT GUARDS ............TITBITS ..................... Constraining Non Integral Data Types ..................... Saving Memory

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/CR_00_INDEX.html[9/26/2012 1:56:18 PM]

WWW.TESTBENCH.IN - SystemVerilog Functional Coverage

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Cover Group Sample Cover Points Coverpoint Expression Generic Coverage Groups Coverage Bins Explicit Bin Creation Transition Bins Wildcard Bins Ignore Bins Illegal Bins Cross Coverage Coverage Options Coverage Methods System Tasks Cover PropertyReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ..................... Systemverilog Functional Coverage Features ............COVER GROUP ............SAMPLE ............COVER POINTS ..................... Commands To Simulate And Get The Coverage Report ............COVERPOINT EXPRESSION ..................... Coverpoint Expression ..................... Coverage Filter ............GENERIC COVERAGE GROUPS ............COVERAGE BINS ..................... Implicit Bins ............EXPLICIT BIN CREATION ..................... Array Of Bins ..................... Default Bin

............TRANSITION BINS VMM Ethernet sample ..................... Single Value Transition ..................... Sequence Of Transitions ..................... Set Of Transitions ..................... Consecutive Repetitions Verilog ..................... Range Of Repetition Verification ..................... Goto Repetition Verilog Switch TB ..................... Non Consecutive Repetition Basic Constructs ............WILDCARD BINS OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

............IGNORE BINS ............ILLEGAL BINS ............CROSS COVERAGE ..................... User-Defined Cross Bins ............COVERAGE OPTIONS ..................... Weight ..................... Goal ..................... Name ..................... Comment ..................... At_least ..................... Detect_overlap ..................... Auto_bin_max ..................... Cross_num_print_missing ..................... Per_instance ..................... Get_inst_coverage ............COVERAGE METHODS ............SYSTEM TASKS

Specman E Interview Questions

http://testbench.in/CO_00_INDEX.html[9/26/2012 1:56:27 PM]

WWW.TESTBENCH.IN - SystemVerilog Functional Coverage

............COVER PROPERTY ..................... Cover Property Results ..................... Cover Sequence Results ..................... Comparison Of Cover Property And Cover Group.

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/CO_00_INDEX.html[9/26/2012 1:56:27 PM]

WWW.TESTBENCH.IN - System Verilog Assertion - SVA

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Event Simulation Assertion Types Assertion System Tasks Concurrent Assertion Layers Sequences Properties Verification DirectiveReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial

INDEX............INTRODUCTION ..................... Advantages Of Assertion ..................... What Assertions Can Verify ............EVENT SIMULATION ............ASSERTION TYPES ............ASSERTION SYSTEM TASKS ..................... Assertion Control System Tasks ..................... Boolean System Function ............CONCURRENT ASSERTION LAYERS ..................... Boolean Expressions

............SEQUENCES ..................... Fixed Delay Easy Labs : SV ..................... Zero Delay Easy Labs : UVM ..................... Constant Range Delay ..................... Unbounded Delay Range Easy Labs : OVM ..................... Repetation Operators Easy Labs : VMM ..................... Consecutive Repetition ..................... Goto Repetition AVM Switch TB ..................... Nonconsecutive Repetition VMM Ethernet sample ..................... Sequence And ..................... Sequence Or ..................... Sequence Intersect ..................... Sequence Within Verilog ..................... Sequence First_match Verification ..................... Sequence Throughout Verilog Switch TB ..................... Sequence Ended ..................... Operator Precedence Associativy Basic Constructs OpenVeraConstructs Switch TB

............PROPERTIES ..................... Overlap Implication ..................... Non Overlapping Implication

............VERIFICATION DIRECTIVE ..................... Assert RVM Switch TB ..................... Assume RVM Ethernet sample ..................... Cover Statement ..................... Expect Statement ..................... BindingSpecman E Interview Questions

http://testbench.in/AS_00_INDEX.html[9/26/2012 1:56:34 PM]

WWW.TESTBENCH.IN - System Verilog Assertion - SVA

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/AS_00_INDEX.html[9/26/2012 1:56:34 PM]

WWW.TESTBENCH.IN - Systemverilog DPI

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introductions Layers Import Naming Export Pure And Context Data Types Arrays Passing Structs And Unions Arguments Type DisablieReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTIONS ..................... What Is Dpi-C ? ............LAYERS ..................... Two Layers Of Dpi-C ..................... Dpi-C Systemverilog Layer ..................... Dpi-C Foreign Language Layer ............IMPORT ..................... Import Methods ..................... Steps To Write Import Metyhods ..................... Standard C Functions ............NAMING ..................... Global Name ..................... Local Name ..................... Sv Keyword As Linkage Name ............EXPORT ..................... Export Methods ..................... Steps To Write Export Methods ..................... Blocking Export Dpi Task

............PURE AND CONTEXT VMM Ethernet sample ..................... Pure Function ..................... Context Function VerilogVerification Verilog Switch TB Basic Constructs

............DATA TYPES ..................... Passing Logic Datatype ............ARRAYS ..................... Open Arrays ..................... Packed Arrays ..................... Linearized And Normalized ..................... Array Querying Functions ............PASSING STRUCTS AND UNIONS ..................... Passing Structure Example ..................... Passing Openarray Structs ..................... Passing Union Example ............ARGUMENTS TYPE ..................... What You Specify Is What You Get ..................... Pass By Ref ..................... Pass By Value ..................... Passing String ..................... Example Passing String From Sv To C ..................... Example Passing String From C To Sv ............DISABLIE ..................... Disable Dpi-C Tasks And Functions ..................... Include Files

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/DP_00_INDEX.html[9/26/2012 1:56:42 PM]

WWW.TESTBENCH.IN - Systemverilog DPI

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/DP_00_INDEX.html[9/26/2012 1:56:42 PM]

WWW.TESTBENCH.IN

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS |

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB VMM Ethernet sample

VMM EthernetThis testbench is developed in VMM (Systemverilog) for the Ethernet core available from opencores.org. My intension here is to explore the VMM methodology but not to verify the Ethernet core, as a result there are many bugs in the environment. I dont remember the versions of VMM but I developed these in the third quarter of 2007. To simulate this testbench some dependencies on libraries has to be removed from RTL files. It takes bit time for these changes in RTL. Feauters: Full support of automatic random, constrained random, and directed testcase creation. Supports injuction of random errored packets. Supports 1G Fullduplex modeled both in RX and TX paths. Protocol Checker/Monitor for self checking. Built in function coverage support for packets. Developed in Systemverilog using Synopsys VMM base classes. NOTE: All trademarks are the property of their respective owners. Download vmm.tar Browse the code in vmm_eth.tar BLOCK DIAGRAM OF ETHERNET VERIFICATION ENVIRONMENT

VerilogVerification Verilog Switch TB Basic Constructs

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/ethernet_vmm.html[9/26/2012 1:56:49 PM]

WWW.TESTBENCH.IN

copyright 2007 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/ethernet_vmm.html[9/26/2012 1:56:49 PM]

WWW.TESTBENCH.IN - Systemverilog for Verification

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Asic Design Bottle Neck In Asic Flow Functional Verification Need Testbench Linear Testbench Linear Random Testbench How To Check The Results Self Checking Testbenchs How To Get Scenarios Which We Never Thought How To Check Whether The Testbench Has Satisfactorily Exercised The Design Types Of Code Coverage Statement Coverage Block Coverage Conditional Coverage Branch Coverage Path Coverage Toggle Coverage Fsm Coverage Make Your Goal 100 Percent Code Coverage Nothing Less Functional Coverage Coverage Driven Constraint Random Verification Architecture Phases Of Verification Ones Counter Example Verification PlanReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............ASIC DESIGN ..................... Mrd ..................... Architecture Specification ..................... Design Specification ..................... Verification Plan ..................... Rtl Design ..................... Functional Verification ..................... Synthesis ..................... Physical Design ..................... Timing Analysis ..................... Tapeout ............BOTTLE NECK IN ASIC FLOW ............FUNCTIONAL VERIFICATION NEED ............TESTBENCH ............LINEAR TESTBENCH ............LINEAR RANDOM TESTBENCH ............HOW TO CHECK THE RESULTS

VMM Ethernet sample ............SELF CHECKING TESTBENCHS

VerilogVerification Verilog Switch TB Basic Constructs

............HOW TO GET SCENARIOS WHICH WE NEVER THOUGHT ............HOW TO CHECK WHETHER THE TESTBENCH HAS SATISFACTORILY EXERCISEDTHE DESIGN

............TYPES OF CODE COVERAGE ............STATEMENT COVERAGE

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

............BLOCK COVERAGE ............CONDITIONAL COVERAGE ............BRANCH COVERAGE ............PATH COVERAGE ............TOGGLE COVERAGE ............FSM COVERAGE ..................... State Coverage ..................... Transition Coverage ............MAKE YOUR GOAL 100 PERCENT CODE COVERAGE NOTHING LESS ..................... Dont Be Fooled By The Code Coverage Report ..................... When To Stop Testing? ............FUNCTIONAL COVERAGE ..................... Introduction To Functional Coverage ..................... Item

Specman E Interview Questions

http://testbench.in/TS_00_INDEX.html[9/26/2012 1:56:57 PM]

WWW.TESTBENCH.IN - Systemverilog for Verification

..................... Cross ..................... Transitional ..................... Assertion Coverage ............COVERAGE DRIVEN CONSTRAINT RANDOM VERIFICATION ARCHITECTURE ..................... Verification Components Required For Cdcrv ..................... Stimulus ..................... Stimulus Generator ..................... Transactor ..................... Driver ..................... Monitor ..................... Assertion Based Monitor ..................... Data Checker ..................... Scoreboard ..................... Coverage ..................... Utilities ..................... Environment ..................... Tests ............PHASES OF VERIFICATION ..................... Verification Plan ..................... Building Testbench ..................... Writing Tests ..................... Integrating Code Coverage ..................... Analyze Coverage ............ONES COUNTER EXAMPLE ..................... Specification ..................... Test Plan ..................... Block Diagram ..................... Verification Environment Hierarchy ..................... Testbench Components ..................... Stimulus ..................... Driver ..................... Monitor ..................... Assertion Coverage ..................... Scoreboard ..................... Environment ..................... Top ..................... Tests ............VERIFICATION PLAN ..................... Verification Plan Contains The Following ..................... Overview ..................... Feature Extraction ..................... Resources, Budget And Schedule ..................... Verification Environment ..................... System Verilog Verification Flow ..................... Stimulus Generation Plan ..................... Checker Plan ..................... Coverage Plan ..................... Details Of Reusable Components

>

http://testbench.in/TS_00_INDEX.html[9/26/2012 1:56:57 PM]

WWW.TESTBENCH.IN - Systemverilog for Verification

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/TS_00_INDEX.html[9/26/2012 1:56:57 PM]

WWW.TESTBENCH.IN - UVM Tutorial

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Uvm Testbench Uvm Reporting Uvm Transaction Uvm Configuration Uvm Factory Uvm Sequence 1 Uvm Sequence 2 Uvm Sequence 3 Uvm Sequence 4 Uvm Sequence 5 Uvm Sequence 6 Uvm Tlm 1 Uvm Tlm 2 Uvm CallbackReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM

INDEX............INTRODUCTION ..................... Installing Uvm Library ............UVM TESTBENCH ..................... Uvm_env ..................... Verification Components ..................... About Uvm_component Class ..................... Uvm_test ..................... Top Module ............UVM REPORTING ..................... Reporting Methods ..................... Actions ..................... Configuration ............UVM TRANSACTION ..................... Core Utilities ..................... User Defined Implementations ..................... Shorthand Macros

............UVM CONFIGURATION ..................... Set_config_* Methods ..................... Automatic Configuration AVM Switch TB ..................... Manual Configurations VMM Ethernet sample ..................... Configuration Setting Members VerilogVerification Verilog Switch TB Basic Constructs

............UVM FACTORY ..................... Registration ..................... Construction ..................... Overriding

............UVM SEQUENCE 1 ..................... Introduction ..................... Sequence And Driver Communication ..................... Simple Example OpenVera ..................... Sequence Item Constructs ..................... Sequence ..................... Sequencer Switch TB ..................... Driver RVM Switch TB ..................... Driver And Sequencer Connectivity RVM Ethernet sample ..................... Testcase ............UVM SEQUENCE 2 ..................... Pre Defined Sequences ..................... Sequence Action Macro ..................... Example Of Pre_do,Mid_do And Post_do ..................... List Of Sequence Action Macros ..................... Examples With Sequence Action Macros ............UVM SEQUENCE 3 ..................... Body Callbacks ..................... Hierarchical Sequences ..................... Sequential Sequences ..................... Parallel Sequences ............UVM SEQUENCE 4

Specman E Interview Questions

http://testbench.in/UT_00_INDEX.html[9/26/2012 1:57:04 PM]

WWW.TESTBENCH.IN - UVM Tutorial

..................... Sequencer Arbitration ..................... Setting The Sequence Priority ............UVM SEQUENCE 5 ..................... Sequencer Registration Macros ..................... Setting Sequence Members ............UVM SEQUENCE 6 ..................... Exclusive Access ..................... Lock-Unlock ..................... Grab-Ungrab ............UVM TLM 1 ..................... Port Based Data Transfer ..................... Task Based Data Transfer ..................... Operation Supported By Tlm Interface ..................... Methods ..................... Tlm Terminology ..................... Tlm Interface Compilation Models ..................... Interfaces ..................... Direction ..................... All Interfaces In Uvm ............UVM TLM 2 ..................... Analysis ..................... Tlm Fifo ..................... Example ............UVM CALLBACK ..................... Driver And Driver Callback Class Source Code ..................... Testcase Source Code ..................... Testcase 2 Source Code ..................... Testcase 3 Source Code ..................... Testcase 4 Source Code ..................... Methods ..................... Macros

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/UT_00_INDEX.html[9/26/2012 1:57:04 PM]

WWW.TESTBENCH.IN - VMM Tutorial

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Vmm Log Vmm Env Vmm Data Vmm Channel Vmm Atomic Generator Vmm Xactor Vmm Callback Vmm Test Vmm Channel Record And Playback Vmm Scenario Generator Vmm OptsReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ............VMM LOG ..................... Vmm Message Type ..................... Message Severity ..................... Vmm Log Macros ..................... Message Handling ..................... Counting Number Of Messages Based Of Message Severity ............VMM ENV ............VMM DATA ..................... Complete Packet Class ..................... Vmm_data Methods ............VMM CHANNEL ..................... Complete Example ..................... Vmm Channel Methods. ............VMM ATOMIC GENERATOR ..................... Completed Example

............VMM XACTOR ..................... Complete Vmm_xactor Example VMM Ethernet sample ..................... Vmm_xactor Members VerilogVerification Verilog Switch TB Basic Constructs

............VMM CALLBACK ..................... Complete Source Code ..................... Testcase 1 Source Code ..................... Testcase 2 Source Code ..................... Testcase 3 Source Code ..................... Testcase 4 Source Code ............VMM TEST ..................... Writing A Testcase ..................... Example Of Using Vmm_test ............VMM CHANNEL RECORD AND PLAYBACK ..................... Recording ..................... Playing Back ............VMM SCENARIO GENERATOR ..................... Example ..................... Scenario Code ..................... Testcase ............VMM OPTS

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/VM_00_INDEX.html[9/26/2012 1:57:13 PM]

WWW.TESTBENCH.IN - VMM Tutorial

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/VM_00_INDEX.html[9/26/2012 1:57:13 PM]

WWW.TESTBENCH.IN - OVM Tutorial

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Ovm Testbench Ovm Reporting Ovm Transaction Ovm Factory Ovm Sequence 1 Ovm Sequence 2 Ovm Sequence 3 Ovm Sequence 4 Ovm Sequence 5 Ovm Sequence 6 Ovm ConfigurationReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ............OVM TESTBENCH ..................... Ovm_env ..................... Verification Components ..................... About Ovm_component Class ..................... Ovm_test ..................... Top Module ............OVM REPORTING ..................... Reporting Methods ..................... Actions ..................... Configuration ............OVM TRANSACTION ..................... Core Utilities ..................... User Defined Implementations ..................... Shorthand Macros ............OVM FACTORY ..................... Registration ..................... Construction ..................... Overriding

VMM Ethernet sample ............OVM SEQUENCE 1 ..................... Introduction ..................... Sequence And Driver Communication ..................... Simple Example Verilog ..................... Sequence Item Verification ..................... Sequence Verilog Switch TB ..................... Sequencer ..................... Driver Basic Constructs ..................... Driver And Sequencer Connectivity ..................... Testcase

OpenVera

............OVM SEQUENCE 2 ..................... Pre Defined Sequences ..................... Sequence Action Macro Switch TB ..................... Example Of Pre_do,Mid_do And Post_do RVM Switch TB ..................... List Of Sequence Action Macros RVM Ethernet sample ..................... Examples With Sequence Action MacrosConstructs

Specman E Interview Questions

............OVM SEQUENCE 3 ..................... Body Callbacks ..................... Hierarchical Sequences ..................... Sequential Sequences ..................... Parallel Sequences ............OVM SEQUENCE 4 ..................... Sequencer Arbitration ..................... Setting The Sequence Priority ............OVM SEQUENCE 5 ..................... Sequencer Registration Macros ..................... Setting Sequence Members

http://testbench.in/OT_00_INDEX.html[9/26/2012 1:57:21 PM]

WWW.TESTBENCH.IN - OVM Tutorial

............OVM SEQUENCE 6 ..................... Exclusive Access ..................... Lock-Unlock ..................... Grab-Ungrab ............OVM CONFIGURATION ..................... Set_config_* Methods ..................... Automatic Configuration ..................... Manual Configurations ..................... Configuration Setting Members

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/OT_00_INDEX.html[9/26/2012 1:57:21 PM]

WWW.TESTBENCH.IN - Easy Labs : SV

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Specification Verification Plan Phase 1 Top Phase 2 Environment Phase 3 Reset Phase 4 Packet Phase 5 Driver Phase 6 Receiver Phase 7 Scoreboard Phase 8 Coverage Phase 9 TestcaseReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB VMM Ethernet sample

INDEX............INTRODUCTION ............SPECIFICATION ..................... Switch Specification ..................... Packet Format ..................... Packet Header ..................... Configuration ..................... Interface Specification ..................... Memory Interface ..................... Input Port ..................... Output Port ............VERIFICATION PLAN ..................... Overview ..................... Feature Extraction ..................... Stimulus Generation Plan ..................... Coverage Plan ..................... Verification Environment ............PHASE 1 TOP ..................... Interfaces ..................... Testcase ..................... Top Module ..................... Top Module Source Code ............PHASE 2 ENVIRONMENT ..................... Environment Class ..................... Run ..................... Environment Class Source Code ............PHASE 3 RESET ............PHASE 4 PACKET ..................... Packet Class Source Code ..................... Program Block Source Code ............PHASE 5 DRIVER ..................... Driver Class Source Code ..................... Environment Class Source Code

VerilogVerification Verilog Switch TB Basic Constructs

OpenVeraConstructs Switch TB RVM Switch TB

RVM Ethernet sample ............PHASE 6 RECEIVER ..................... Receiver Class Source Code ..................... Environment Class Source Code Specman E Interview Questions

............PHASE 7 SCOREBOARD ..................... Scoreboard Class Source Code ..................... Source Code Of The Environment Class ............PHASE 8 COVERAGE ..................... Source Code Of Coverage Class ..................... Source Code Of The Scoreboard Class ............PHASE 9 TESTCASE ..................... Source Code Of Constraint Testcase

http://testbench.in/SL_00_INDEX.html[9/26/2012 1:57:28 PM]

WWW.TESTBENCH.IN - Easy Labs : SV

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/SL_00_INDEX.html[9/26/2012 1:57:28 PM]

WWW.TESTBENCH.IN - Easy Labs : UVM

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Specification Verification Plan Phase 1 Top Phase 2 Configuration Phase 3 Environment N Testcase Phase 4 Packet Phase 5 Sequencer N Sequence Phase 6 Driver Phase 7 Receiver Phase 8 ScoreboardReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ..................... Installing Uvm Library ............SPECIFICATION ..................... Switch Specification ..................... Packet Format ..................... Configuration ..................... Interface Specification ............VERIFICATION PLAN ..................... Overview ..................... Feature Extraction ..................... Stimulus Generation Plan ..................... Verification Environment ............PHASE 1 TOP ..................... Interface ..................... Top Module ............PHASE 2 CONFIGURATION ..................... Configuration ..................... Updates To Top Module

............PHASE 3 ENVIRONMENT N TESTCASE VMM Ethernet sample ..................... Environment ..................... Testcase VerilogVerification Verilog Switch TB Basic Constructs

............PHASE 4 PACKET ..................... Packet ..................... Test The Transaction Implementation ............PHASE 5 SEQUENCER N SEQUENCE ..................... Sequencer ..................... Sequence ............PHASE 6 DRIVER ..................... Driver ..................... Environment Updates ..................... Testcase Updates

OpenVeraConstructs Switch TB RVM Switch TB

RVM Ethernet sample ............PHASE 7 RECEIVER ..................... Receiver ..................... Environment Class Updates Specman E Interview Questions

............PHASE 8 SCOREBOARD ..................... Scoreboard ..................... Environment Class Updates

http://testbench.in/UL_00_INDEX.html[9/26/2012 1:57:36 PM]

WWW.TESTBENCH.IN - Easy Labs : UVM

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/UL_00_INDEX.html[9/26/2012 1:57:36 PM]

WWW.TESTBENCH.IN - Easy Labs : OVM

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Specification Verification Plan Phase 1 Top Phase 2 Configuration Phase 3 Environment N Testcase Phase 4 Packet Phase 5 Sequencer N Sequence Phase 6 Driver Phase 7 Receiver Phase 8 ScoreboardReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ............SPECIFICATION ..................... Switch Specification ..................... Packet Format ..................... Configuration ..................... Interface Specification ............VERIFICATION PLAN ..................... Overview ..................... Feature Extraction ..................... Stimulus Generation Plan ..................... Verification Environment ............PHASE 1 TOP ..................... Interface ..................... Top Module ............PHASE 2 CONFIGURATION ..................... Configuration ..................... Updates To Top Module

............PHASE 3 ENVIRONMENT N TESTCASE ..................... Environment VMM Ethernet sample ..................... Testcase VerilogVerification Verilog Switch TB Basic Constructs

............PHASE 4 PACKET ..................... Packet ..................... Test The Transaction Implementation ............PHASE 5 SEQUENCER N SEQUENCE ..................... Sequencer ..................... Sequence ............PHASE 6 DRIVER ..................... Driver ..................... Environment Updates ..................... Testcase Updates

OpenVeraConstructs Switch TB RVM Switch TB

............PHASE 7 RECEIVER RVM Ethernet sample ..................... Receiver ..................... Environment Class UpdatesSpecman E Interview Questions

............PHASE 8 SCOREBOARD ..................... Scoreboard ..................... Environment Class Updates

http://testbench.in/CM_00_INDEX.html[9/26/2012 1:57:46 PM]

WWW.TESTBENCH.IN - Easy Labs : OVM

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/CM_00_INDEX.html[9/26/2012 1:57:46 PM]

WWW.TESTBENCH.IN - Easy Labs : VMM

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Specification Verification Plan Phase 1 Top Phase 2 Environment Phase 3 Reset Phase 4 Packet Phase 5 Generator Phase 6 Driver Phase 7 Receiver Phase 8 Scoreboard Phase 9 CoverageReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ............SPECIFICATION ..................... Switch Specification ..................... Packet Format ..................... Configuration ..................... Interface Specification ............VERIFICATION PLAN ..................... Overview ..................... Feature Extraction ..................... Stimulus Generation Plan ..................... Coverage Plan ..................... Verification Environment ............PHASE 1 TOP ..................... Interfaces ..................... Testcase ..................... Top Module ..................... Top Module Source Code

............PHASE 2 ENVIRONMENT ..................... Environment Class ..................... Run VMM Ethernet sample ..................... Environment Class Source Code VerilogVerification Verilog Switch TB Basic Constructs

............PHASE 3 RESET ............PHASE 4 PACKET ..................... Packet Class Source Code ..................... Program Block Source Code ............PHASE 5 GENERATOR ..................... Environment Class Source Code ............PHASE 6 DRIVER ..................... Driver Class Source Code ..................... Environment Class Source Code

OpenVeraConstructs Switch TB RVM Switch TB

............PHASE 7 RECEIVER RVM Ethernet sample ..................... Receiver Class Source Code ..................... Environment Class Source CodeSpecman E Interview Questions

............PHASE 8 SCOREBOARD ..................... Scoreboard Class Source Code ..................... Source Code Of The Environment Class ............PHASE 9 COVERAGE ..................... Source Code Of Coverage Class

http://testbench.in/VL_00_INDEX.html[9/26/2012 1:57:53 PM]

WWW.TESTBENCH.IN - Easy Labs : VMM

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/VL_00_INDEX.html[9/26/2012 1:57:53 PM]

WWW.TESTBENCH.IN - AVM Switch TB

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Avm Introduction Dut Specification Rtl Top Interface Environment Packet Packet Generator Configuration Driver Reciever ScoreboardReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB VMM Ethernet sample

INDEX............AVM INTRODUCTION ..................... Tlm ..................... Building Blocks ..................... Avm_transactors ..................... Avm_env ..................... Avm_messaging ............DUT SPECIFICATION ..................... Configuration ..................... Interface Specification ..................... Memory Interface ..................... Input Interface ..................... Output Interface ............RTL ............TOP ..................... Verilog Top ............INTERFACE ............ENVIRONMENT ............PACKET ............PACKET GENERATOR

VerilogVerification Verilog Switch TB Basic Constructs

............CONFIGURATION ............DRIVER ............RECIEVER ............SCOREBOARD

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/AV_00_INDEX.html[9/26/2012 1:58:01 PM]

WWW.TESTBENCH.IN - AVM Switch TB

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/AV_00_INDEX.html[9/26/2012 1:58:01 PM]

WWW.TESTBENCH.IN - Verilog for Verification

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Linear Tb File Io Tb State Machine Based Tb Task Based Tb Self Checking Testbench Verification Flow Clock Generator Simulation Incremental Compilation Store And Restore Event Cycle Simulation Time Scale And Precision Stimulus Generation System Function Random A Myth Race Condition Checker Task And Function Process Control Disableing The Block Watchdog Compilation N Simulation Switchs Debugging About Code Coverage Testing Stratigies File Handling Verilog Semaphore Finding Testsenarious Handling Testcase Files Terimination Error Injuction Register Verification Parameterised Macros White Gray Black Box Regression TipsReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial

INDEX............INTRODUCTION ..................... Test Bench Overview ............LINEAR TB ..................... Linear Testbench ............FILE IO TB ..................... File I/O Based Testbench ............STATE MACHINE BASED TB ............TASK BASED TB ..................... Task And Function Based Tb

............SELF CHECKING TESTBENCH ..................... Stimulus Generator ..................... Bus Functional Models Easy Labs : SV ..................... Driver Easy Labs : UVM ..................... Reciver ..................... Protocol Monitor Easy Labs : OVM ..................... Scoreboard Easy Labs : VMM ..................... Checker ..................... Coverage AVM Switch TB ..................... Code Coverage VMM Ethernet sample ..................... Functional Coverage VerilogVerification Verilog Switch TB Basic Constructs

............VERIFICATION FLOW ..................... Planning ..................... Feature Extraction ..................... Verification Environment Architecture Plan ............CLOCK GENERATOR ..................... Timescale And Precision Enlightment

OpenVera

............SIMULATION ..................... Simulation Steps Constructs ..................... Macro Preprocessing ..................... Compilation (Analyzer) Switch TB ..................... Elaboration RVM Switch TB ..................... Optimization RVM Ethernet sample ..................... Initialization ..................... Execution ..................... Simulation ProcessSpecman E Interview Questions

............INCREMENTAL COMPILATION ............STORE AND RESTORE ............EVENT CYCLE SIMULATION ..................... Event Based Simulation ..................... Cycle Based Simulation ............TIME SCALE AND PRECISION ..................... Time Scale And Time Precision ..................... $Time Vs $Realtime ..................... System Task Printtimescale

http://testbench.in/TB_00_INDEX.html[9/26/2012 1:58:09 PM]

WWW.TESTBENCH.IN - Verilog for Verification

..................... System Task Timeformat ............STIMULUS GENERATION ............SYSTEM FUNCTION RANDOM A MYTH ............RACE CONDITION ..................... What Is Race Condition? ..................... Why Race Condition? ..................... When Race Is Visible? ..................... How To Prevent Race Condition? ..................... Types Of Race Condition ..................... Write-Write Race ..................... Read-Write Race ..................... More Race Example ..................... Event Terminology ..................... The Stratified Event Queue ..................... Determinism ..................... Nondeterminism ..................... Guideline To Avoid Race Condition ..................... Avoid Race Between Testbench And Dut ............CHECKER ..................... Protocol Checker ..................... Data_checker ..................... Modularization ............TASK AND FUNCTION ..................... Functions ..................... Task ..................... Task And Function Queries ..................... Constant Function ..................... Reentrant Tasks And Functions ............PROCESS CONTROL ..................... Nonblocking Task ..................... Fork/Join Recap ..................... Fork/Join None ..................... Fork/Join Any ............DISABLEING THE BLOCK ..................... Disable ..................... Goto ..................... Break ..................... Continue ............WATCHDOG ............COMPILATION N SIMULATION SWITCHS ..................... Compilation And Simulation Directives ..................... Example ............DEBUGGING ..................... Pass Or Fail ..................... Waveform Viewer ..................... Log File ..................... Message Control System ..................... Message Severity Levels ..................... Message Controlling Levels ..................... Passing Comments To Waveform Debugger ..................... $Display N $Strobe ..................... Who Should Do The Rtl Debugging? ............ABOUT CODE COVERAGE

http://testbench.in/TB_00_INDEX.html[9/26/2012 1:58:09 PM]

WWW.TESTBENCH.IN - Verilog for Verification

..................... Types Of Coverage ..................... Code Coverage ..................... Statement Coverage /Line Coverage ..................... Block/Segment Coverage ..................... Branch / Decision / Conditional Coverage ..................... Path Coverage ..................... Expression Coverage ..................... Toggle Coverage ..................... Variable Coverage ..................... Triggering / Event Coverage ..................... Parameter Coverage ..................... Functional Coverage ..................... Fsm Coverage ..................... State Coverage ..................... Transition Coverage ..................... Sequence Coverage ..................... Tool Support ..................... Limitation Of Code Coverage ............TESTING STRATIGIES ..................... Bottom-Up ..................... Unit Level ..................... Sub-Asic Level ..................... Asic Level ..................... System Level ..................... Flat ............FILE HANDLING ..................... Fopen And Fclose ..................... Fdisplay ..................... Fmonitor ..................... Fwrite ..................... Mcd ..................... Formating Data To String ............VERILOG SEMAPHORE ..................... Semaphore In Verilog ............FINDING TESTSENARIOUS ..................... Register Tests ..................... System Tests ..................... Interrupt Tests ..................... Interface Tests ..................... Functional Tests ..................... Error Tests ..................... Golden Tests ..................... Performance Tests ............HANDLING TESTCASE FILES ............TERIMINATION ............ERROR INJUCTION ..................... Value Errors ..................... Temporal Errors ..................... Interface Error ..................... Sequence Errors ............REGISTER VERIFICATION ..................... Register Verification ..................... Register Classification ..................... Features ............PARAMETERISED MACROS ............WHITE GRAY BLACK BOX ..................... Black Box Verification ..................... White Box Verification ..................... Gray Box Verification ............REGRESSION ............TIPS ..................... How To Avoid "Module Xxx Already Defined" Error

http://testbench.in/TB_00_INDEX.html[9/26/2012 1:58:09 PM]

WWW.TESTBENCH.IN - Verilog for Verification

..................... Colourful Messages ..................... Debugging Macros

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/TB_00_INDEX.html[9/26/2012 1:58:09 PM]

WWW.TESTBENCH.IN - Verilog Switch TestBench

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Dut Specification Rtl Top Packet Driver Reciever Scoreboard EnvReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB VMM Ethernet sample

INDEX............DUT SPECIFICATION ..................... Configuration ..................... Interface Specification ..................... Memory Interface ..................... Input Interface ..................... Output Interface ............RTL ............TOP ..................... Verification Environment ..................... Top Module ............PACKET ............DRIVER ............RECIEVER ............SCOREBOARD ............ENV

VerilogVerification Verilog Switch TB Basic Constructs

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/VS_00_INDEX.html[9/26/2012 1:58:17 PM]

WWW.TESTBENCH.IN - Verilog Switch TestBench

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/VS_00_INDEX.html[9/26/2012 1:58:17 PM]

WWW.TESTBENCH.IN - Verilog Basic Constructs

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Syntax Data Types Operators Assignments Control Constructs Procedural Timing Controls Structure Block Statements Structured ProceduresReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ..................... Introduction ............SYNTAX ............DATA TYPES ..................... Value Set ..................... Net ..................... Variable Or Reg ..................... Vectors ..................... Memories ..................... Net Types ............OPERATORS ..................... Binary Arithmetic Operators ..................... Unary Arithmetic Operators ..................... Relational Operators ..................... Logical Operators ..................... Bitwise Operators ..................... Unary Reduction Operators ..................... Other Operators ..................... Operator Precedence

............ASSIGNMENTS VMM Ethernet sample ..................... Blocking Procedural Assignments ..................... The Nonblocking Procedural Assignment ..................... Procedural Continuous Assignments ..................... Assign And Deassign Procedural Statements Verilog ..................... Force And Release Procedural Statements Verification ..................... Delays Verilog Switch TB ..................... Inter Assignmnet Delay . ..................... Intra-Assignment Delay Control Basic Constructs OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

............CONTROL CONSTRUCTS ..................... If And If Else Statements ..................... Case ..................... Forever ..................... Repeat ..................... While ..................... For ............PROCEDURAL TIMING CONTROLS ..................... Delay Control ..................... Event Control ..................... Named Events ............STRUCTURE ..................... Module ..................... Ports ..................... Signals ............BLOCK STATEMENTS ..................... Sequential Blocks ..................... Parallel Blocks ............STRUCTURED PROCEDURES

Specman E Interview Questions

http://testbench.in/VT_00_INDEX.html[9/26/2012 1:58:27 PM]

WWW.TESTBENCH.IN - Verilog Basic Constructs

..................... Initial ..................... Always ..................... Functions ..................... Task

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/VT_00_INDEX.html[9/26/2012 1:58:27 PM]

WWW.TESTBENCH.IN - Vera Constructs

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Data Types Linked List Operators Part 1 Operators Part 2 Operators Part 3 Operator Precedence Control Statements Procedures And Methods Interprocess Fork Join Shadow Variables Fork Join Control Wait Var Event Sync Event Trigger Semaphore Regions Mailbox Timeouts Oop Casting Randomization Randomization Methods Constraint Block Constraint Expression Variable Ordaring Aop Predefined Methods String Methods Queue Methods Dut Communication Functional CoverageReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ..................... Introduction ..................... Comments In Openvera ..................... Numbers In Openvera ............DATA TYPES ..................... Basic Data Types ..................... Integer ..................... Register ..................... String ..................... Event ..................... Enumerated Types ..................... Virtual Ports ..................... Arrays ..................... Fixed-Size Arrays ..................... Dynamic Arrays ..................... Associative Arrays ..................... Smart Queues ..................... Class ............LINKED LIST ..................... Linked List ..................... List Methods

VMM Ethernet sample ............OPERATORS PART 1 ..................... Operators ..................... Concatenation ..................... Arithmetic Verilog ..................... Relational Verification ..................... Equality Verilog Switch TB ............OPERATORS PART 2 Basic Constructs ..................... Logical ..................... Bitwise ..................... Reduction

OpenVera

Constructs Switch TB

............OPERATORS PART 3 ..................... Shift ..................... Bit-Reverse RVM Switch TB ..................... Increment And Decrement RVM Ethernet sample ..................... Conditional ..................... Set ..................... ReplicationSpecman E Interview Questions

............OPERATOR PRECEDENCE ..................... Operator Precedence ............CONTROL STATEMENTS ..................... Sequential Statements ............PROCEDURES AND METHODS ..................... Procedures And Methods ..................... Pass By Value ..................... Pass By Reference ..................... Default Arguments ..................... Optional Arguments

http://testbench.in/OV_00_INDEX.html[9/26/2012 1:58:37 PM]

WWW.TESTBENCH.IN - Vera Constructs

............INTERPROCESS ..................... Interprocess Synchronization And Communication ............FORK JOIN ..................... Fork Join ............SHADOW VARIABLES ..................... Shadow Variables ............FORK JOIN CONTROL ..................... Fork And Join Control ..................... Wait_chiled() ..................... Terminate ..................... Suspend_thread ............WAIT VAR ..................... Wait_var ............EVENT SYNC ..................... Event Methods ............EVENT TRIGGER ..................... Event Trigger ..................... Event Variables ............SEMAPHORE ..................... Semaphore ............REGIONS ..................... Regions ............MAILBOX ..................... Mailbox ............TIMEOUTS ..................... Timeouts ............OOP ..................... Object Oriented Programming ..................... Properties ..................... This ..................... Class Extensions ..................... Polymorphism ..................... Super ..................... Abstract Class ............CASTING ............RANDOMIZATION ..................... Constrained Random Verification ..................... Random Varible Declaration ..................... Rand Modifier ..................... Randc Modifier ............RANDOMIZATION METHODS ..................... Randomization Built-In Methods ..................... Randomize() ..................... Pre_randomize And Post_randomize

http://testbench.in/OV_00_INDEX.html[9/26/2012 1:58:37 PM]

WWW.TESTBENCH.IN - Vera Constructs

............CONSTRAINT BLOCK ..................... Constraint Block ..................... Inline Constraints ..................... Disabling Constraint Block ............CONSTRAINT EXPRESSION ..................... Constraint Expressions ..................... Set Membership ..................... Weighted Distribution ..................... Implication ..................... If..Else ..................... Iterative ............VARIABLE ORDARING ..................... Variable Ordaring ............AOP ..................... Aspect Oriented Extensions ............PREDEFINED METHODS ..................... Predefined Methods ..................... New() ..................... Finalize() ..................... Object_print ..................... Deep Object Compare ..................... Deep Object Copy ..................... Pack And Unpack ............STRING METHODS ............QUEUE METHODS ............DUT COMMUNICATION ..................... Connecting To Hdl ..................... Interface Declaration ..................... Direct Hdl Node Connection ..................... Blocking And Non-Blocking Drives ............FUNCTIONAL COVERAGE ..................... Functional Coverage ..................... Coverage Group ..................... Sample_event ..................... Coverage_point ..................... Cross Coverage

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/OV_00_INDEX.html[9/26/2012 1:58:37 PM]

WWW.TESTBENCH.IN - Vera Switch TestBench

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Dut Specification Rtl Top Interface Packet Packet Generator Cfg Driver Driver Reciever Scoreboard EnvReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB VMM Ethernet sample

INDEX............DUT SPECIFICATION ..................... Configuration ..................... Interface Specification ..................... Memory Interface ..................... Input Interface ..................... Output Interface ............RTL ............TOP ..................... Verification Environment ..................... Top Module ............INTERFACE ............PACKET ............PACKET GENERATOR ............CFG DRIVER ............DRIVER ............RECIEVER ............SCOREBOARD

VerilogVerification Verilog Switch TB Basic Constructs

............ENV

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/OS_00_INDEX.html[9/26/2012 1:58:44 PM]

WWW.TESTBENCH.IN - Vera Switch TestBench

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/OS_00_INDEX.html[9/26/2012 1:58:44 PM]

WWW.TESTBENCH.IN - RVM Switch TB

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction Rtl Top Interface Program Block Environment Packet Configuration Driver Reciever ScoreboardReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB VMM Ethernet sample

INDEX............INTRODUCTION ..................... Dut Specification ..................... Configuration ..................... Interface Specification ..................... Memory Interface ..................... Input Interface ..................... Output Interface ............RTL ............TOP ............INTERFACE ............PROGRAM BLOCK ..................... Testbench Program ............ENVIRONMENT ............PACKET ............CONFIGURATION ............DRIVER ............RECIEVER

VerilogVerification Verilog Switch TB Basic Constructs

............SCOREBOARD

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/RV_00_INDEX.html[9/26/2012 1:58:52 PM]

WWW.TESTBENCH.IN - RVM Switch TB

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/RV_00_INDEX.html[9/26/2012 1:58:52 PM]

WWW.TESTBENCH.IN

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS |

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB VMM Ethernet sample

RVM EthernetThis testbench is developed in RVM (Vera) for the Ethernet core available from opencores.org. My intension here is to explore the RVM methodology but not to verify the Ethernet core, as a result there are many bugs in the environment. I dont remember the versions of RVM but I developed these in the third quarter of 2007. To simulate this testbench some dependencies on libraries has to be removed from RTL. It takes bit time for these changes in RTL. Feauters: Full support of automatic random, constrained random, and directed testcase creation. Supports injuction of random errored packets. Supports 1G Fullduplex modeled both in RX and TX paths. Protocol Checker/Monitor for self checking. Built in function coverage support for packets. Developed in Vera using Synopsys RVM base classes. NOTE: All trademarks are the property of their respective owners. Download rvm.tar Browse the code in rvm_eth.tar BLOCK DIAGRAM OF ETHERNET VERIFICATION ENVIRONMENT

VerilogVerification Verilog Switch TB Basic Constructs

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/ethernet_rvm.html[9/26/2012 1:58:59 PM]

WWW.TESTBENCH.IN

copyright 2007 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/ethernet_rvm.html[9/26/2012 1:58:59 PM]

WWW.TESTBENCH.IN

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS |

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : VMM Easy Labs : OVM AVM Switch TB VMM Ethernet sample Whats new in Systemverilog 2009 ? by Ankit Shah.

UVM/OVM Killing Sequences on Sequencer Abruptly by Vishnu Prashant. Sometimes you may need to drive input until you see come condition or some timer expires. Read ...

Do not rely on illegal_bins for checking purpose. by Ankit Gopani. If you rely on cover group where you have written illegal_bins, what happens when you turn off the coverage?? Read ...

PASS and FAIL Messages with Colors...! by Ankit Gopani. How many among you know that you can actually display color messages using Verilog and SystemVerilog? Read ...

VMM 1.2 and VMM_sb_ds example by Ankit Shah. This example contains VMM 1.2 based layered testbench architeracture. My intensation here is to demonstrate different component of testbench using different base class of VMM. Read ...

VerilogVerification Verilog Switch TB Basic Constructs

The SystemVerilog working group worked hard in the past four years on improving the language and in 2009 Systemverilog LRM was released. There are 30+ noticeable new constructs and 25+ system task are introduced in SystemVerilog 2009. Read ...

Introduction To Ethernet Frames: Part 1 by Bhavani shankar. The Ethernet protocol basically implements the bottom two layers of the Open Systems Interconnection (OSI) 7layer model, i.e., the data link and physical sub layers. Read ...

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Introduction To Ethernet Frames: Part 2 by Bhavani shankar. we will see a simple testplan for 10G Ethernet Frames. Read ...

Specman E Interview Questions

Introduction To PCI Express by Arjun Shetty. We will start with a conceptual understanding of PCI Express. This will let us appreciate the importance of PCI Express. This will be followed by a brief study of the PCI Express protocol. Then we will look at the enhancements and improvements of the protocol in the newer 3.0 specs. Read ...

VCSMX Separate compilation example by Emmanuelle Chu. When I started to use VCSMX along with system Verilog, one main problem came up: I had to generate one executable for each program. Read ...

Psychology of Verification Engineer by Gopi Krishna.

http://testbench.in/art.html[9/26/2012 1:59:07 PM]

WWW.TESTBENCH.IN

Soft skills are extremely important for the people in Verification and this is something that is often found to be neglected by the upcoming Verification engineers. Read ...

Graphical TestBench Generation by Donna Mitchell. Test Benches can be generated from language independent timing diagrams, which are a natural way to design and display the parallel activity that occurs in within test benches. Read ...

Verilog Basic Examples by Nithin Singani. Verilog examples with output: and,or,not,halfadder,fulladder etc Read ...

testbench.in was started in June 2007. This website gets 1,00,000 page visits per month. If you are interested in sharing your knowledge and get noticed in VLSI WORLD, we are happy to host your thoughts. If you are interested, please drop us a mail at [email protected] .

copyright 2007 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/art.html[9/26/2012 1:59:07 PM]

WWW.TESTBENCH.IN - Specman E

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Introduction E Basics Data Types Operators Struct Units List Methods Concurrency Actions Constraints Extend When And Like Events Temporal Expressions Temporal Operators 1 Temporal Operators 2 Synchronizing With The Simulator Wait And Sync Physical Virual Feilds Packing N Unpacking Pre Run N On The Fly Coverage Commands Extendable Methods Non Extendable Methods And Gate EvcReport a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............INTRODUCTION ............E BASICS ..................... Code Segments ..................... Comments ..................... Literals And Constants ..................... Sized Numbers ..................... PredeNed Constants ............DATA TYPES ..................... Enumerated Types ............OPERATORS ..................... Unary Bitwise Operators ..................... Binary Bitwise Operations ..................... Shift Operators ..................... Boolean Operators ..................... Arithmetic Operators ..................... Comparison Operators ..................... Extraction And Concatenation Operators ..................... Special-Purpose Operators ............STRUCT ..................... Units Vs Structs ............LIST ..................... Regular List ..................... List Operations ..................... Keyed List ............METHODS ..................... Time-Consuming Methods(Tcms) ..................... Invoking Tcms ..................... Execution Flow ............Concurrency Actions ..................... All Of ..................... First Of ............CONSTRAINTS ............EXTEND ..................... Is Also ..................... Is First ..................... Is Only ............When and Like ..................... Like ..................... When ............EVENTS ............TEMPORAL EXPRESSIONS ..................... Basic Temporal Expressions

VMM Ethernet sample ............UNITS

VerilogVerification Verilog Switch TB Basic Constructs

OpenVeraConstructs Switch TB RVM Switch TB RVM Ethernet sample

Specman E Interview Questions

http://testbench.in/SP_00_INDEX.html[9/26/2012 1:59:15 PM]

WWW.TESTBENCH.IN - Specman E

..................... Temporal Checking ............Temporal operators 1 ..................... Not ..................... Fail ..................... And ..................... Or ..................... { Exp ; Exp } ..................... Eventually ..................... [ Exp ] ..................... [ Exp..Exp ] ..................... ~[ Exp..Exp ] ..................... Temporal Yield Operator ............TEMPORAL OPERATORS 2 ..................... Detach ..................... Delay ..................... @ Unary Event Operator ..................... @ Sampling Operator ..................... Cycle ..................... True(Exp) ..................... Change(Exp), Fall(Exp), Rise(Exp) ..................... Consume ..................... Exec ............SYNCHRONIZING WITH THE SIMULATOR ............WAIT AND SYNC ..................... Wait Action ..................... Sync Action ..................... Difference Between Wait And Sync ............PHYSICAL VIRUAL FEILDS ..................... Physical Fields ..................... Ungenerated Fields ............PACKING N UNPACKING ..................... Packing.High ..................... Packing.Low ............PRE RUN N ON THE FLY ..................... Pre-Run Generation ..................... On-The-Fly Generation ............COVERAGE ..................... Coverage Groups ..................... Cover Group Options ..................... Cross-Coverage ............COMMANDS ............Extendable Methods

http://testbench.in/SP_00_INDEX.html[9/26/2012 1:59:15 PM]

WWW.TESTBENCH.IN - Specman E

............Non Extendable Methods ............AND GATE EVC

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/SP_00_INDEX.html[9/26/2012 1:59:15 PM]

WWW.TESTBENCH.IN - Systemverilog Interview Questions

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS | Index Functional Verification Questions Functional Verification Questions 2 Test Your Systemverilog Skills 1 Test Your Systemverilog Skills 2 Test Your Systemverilog Skills 3 Test Your Systemverilog Skills 4 Test Your Sva Skills Test Your Verilog Skills 1 Test Your Verilog Skills 2 Test Your Verilog Skills 3 Test Your Verilog Skills 4 Test Your Verilog Skills 5 Test Your Verilog Skills 6 Test Your Verilog Skills 7 Test Your Verilog Skills 8 Test Your Verilog Skills 9 Test Your Verilog Skills 10 Test Your Verilog Skills 11 Test Your Verilog Skills 12 Test Your Verilog Skills 13 Test Your Verilog Skills 14 Test Your Verilog Skills 15 Test Your Verilog Skills 16 Test Your Verilog Skills 17 Test Your Specman Skills 1 Test Your Specman Skills 2 Test Your Specman Skills 3 Test Your Specman Skills 4 Test Your Sta Skills 1 Test Your Sta Skills 2 Test Your Sta Skills 3 Test Your Sta Skills 4 Test Your Sta Skills 5 Test Your Sta Skills 6 Test Your Sta Skills 7 Test Your Dft Skills 1 Test Your Dft Skills 2 Test Your Dft Skills 3 Test Your Dft Skills 4 Test Your Uvm Ovm Skills

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB

INDEX............FUNCTIONAL VERIFICATION QUESTIONS ............FUNCTIONAL VERIFICATION QUESTIONS 2 ............TEST YOUR SYSTEMVERILOG SKILLS 1 ............TEST YOUR SYSTEMVERILOG SKILLS 2 ............TEST YOUR SYSTEMVERILOG SKILLS 3 ............TEST YOUR SYSTEMVERILOG SKILLS 4 ............TEST YOUR SVA SKILLS ............TEST YOUR VERILOG SKILLS 1 ............TEST YOUR VERILOG SKILLS 2 ............TEST YOUR VERILOG SKILLS 3 ............TEST YOUR VERILOG SKILLS 4 ............TEST YOUR VERILOG SKILLS 5

VMM Ethernet sample ............TEST YOUR VERILOG SKILLS 6

VerilogVerification Verilog Switch TB Basic Constructs

............TEST YOUR VERILOG SKILLS 7 ............TEST YOUR VERILOG SKILLS 8 ............TEST YOUR VERILOG SKILLS 9 ............TEST YOUR VERILOG SKILLS 10 ............TEST YOUR VERILOG SKILLS 11 ............TEST YOUR VERILOG SKILLS 12 ............TEST YOUR VERILOG SKILLS 13

OpenVeraConstructs Switch TB RVM Switch TB

RVM Ethernet sample ............TEST YOUR VERILOG SKILLS 14

............TEST YOUR VERILOG SKILLS 15Specman E Interview Questions

............TEST YOUR VERILOG SKILLS 16 ............TEST YOUR VERILOG SKILLS 17 ............TEST YOUR SPECMAN SKILLS 1 ............TEST YOUR SPECMAN SKILLS 2 ............TEST YOUR SPECMAN SKILLS 3 ............TEST YOUR SPECMAN SKILLS 4

http://testbench.in/IQ_00_INDEX.html[9/26/2012 1:59:22 PM]

WWW.TESTBENCH.IN - Systemverilog Interview Questions

............TEST YOUR STA SKILLS 1 ............TEST YOUR STA SKILLS 2 ............TEST YOUR STA SKILLS 3 ............TEST YOUR STA SKILLS 4 ............TEST YOUR STA SKILLS 5 ............TEST YOUR STA SKILLS 6 ............TEST YOUR STA SKILLS 7 ............TEST YOUR DFT SKILLS 1 ............TEST YOUR DFT SKILLS 2 ............TEST YOUR DFT SKILLS 3 ............TEST YOUR DFT SKILLS 4 ............TEST YOUR UVM OVM SKILLS

Report a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!

>

copyright 2007-2017 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/IQ_00_INDEX.html[9/26/2012 1:59:22 PM]

WWW.TESTBENCH.IN

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS |

SystemVerilogBasic Constructs Interface OOPS Randomization Functional Coverage Assertion DPI VMM Ethernet Example ... Verification Concepts UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM Easy Labs : AVM

VerilogVerification Concepts Switch Example Basic Constructs ... ... ... ... ... ...

OpenVeraConstructs Switch Example RVM Switch Example RVM Ethernet Sample ... ... ... ... ...

MiscellaniousArticles Specman E Tutorial Interview Questions ... ... ... ... ... ...

New to this site ? Then check these links >What People are saying about this Site >What is on this Site >Table of Contents

copyright 2007 :: all rights reserved www.testbench.in:: Disclaimer

http://testbench.in/index.html[9/26/2012 1:59:34 PM]

WWW.TESTBENCH.IN

Search

| HOME | ABOUT | ARTICLES | ACK | FEEDBACK | TOC | LINKS | BLOG | JOBS |

TUTORIALS SystemVerilogVerification Constructs Interface OOPS Randomization Functional Coverage Assertion DPI UVM Tutorial VMM Tutorial OVM Tutorial Easy Labs : SV Easy Labs : UVM Easy Labs : OVM Easy Labs : VMM AVM Switch TB VMM Ethernet sample

Gopikrishna He is working with Infinera as Verification Engineer. He has 4 years of experience as ASIC Design Verification Engineer. Prior to Infinera, he worked with Synopsys,Axiom Design Automation,Syschip and Ample Communication. He is expertise in various Hardware verification languages and methodologies. He has experience in Verification of Ethernet,PCI Express,I2C and Interlaken. He is an M.Tech in VLSI Design From Sathyabama University, Chennai. You can reach him at: [email protected] Connect to Gopi @ Linkedin : http://in.linkedin.com/in/systemverilog Naresh You can