43
Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, San Diego CA USA June 18th, 2015 | EUVL Workshop 2015 Public

Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

  • Upload
    others

  • View
    11

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Status and outlook of LPP light sources for HVM EUVL

Igor Fomenkov, ASML Fellow

ASML, Cymer, San Diego CA USA

June 18th, 2015 | EUVL Workshop 2015

Public

Page 2: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Outline

June 18, 2015

Slide 2

• NXE3300 and NXE3350B progress and status

• Roadmap, Layout, Performance

• EUV source architecture and performance

• EUV source power scaling beyond 100W

• EUV LPP technologies

• Pre-pulse technology

• EUV source Drive laser

• Droplet generator

• Collector: protection, lifetime

• Summary

Public

Page 3: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

EUV technology roadmap, source architecture and performance

Public

Page 4: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

NXE technology roadmap

Under study

Resolution [nm] 32 27 22 16 13 10 7 <7

layo

utNA 0.25 0.33

>0.5NA

13.5

Lensflare 8% 6% 4%

IlluminationFlex-OAIs=0.8 Extended Flex-OAI

reduced pupil fill ratio

0.33NA DPT

s=0.5 s=0.2-0.9coherence

Wavelength [nm]

4.07 3.0DCO [nm]

MMO [nm] 7.0- 5.0

1.41.5 1.2

2.02.5 1.7

pupil fill ratio defined as the

bright fraction of the pupilOverlay

105 15Dose [mJ/cm2]

Power [W] 10 - 1053 80 - 250

2020

250250TPT(300mm)

Throughput [W/hr] 6 - 60- 50 - 125 125125

20

500

165

2.0- 1.7CDU [nm] 1.11.3 1.0Imaging

1.0

1.4

0.9

Extend NA 0.33

to below 10nm

Improved lens

and illuminator

performance

Imaging / Overlay

performance

match node

requirements

Increased

throughput at

increasing doses

June 18, 2015

Public

Slide 4

Page 5: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

NXE:3350B: 2x overlay improvement at 16nm resolutionSupporting 7nm logic, ~15nm DRAM requirements

Feb. 2015

Public

Slide 5

Resolution 16nm

Full wafer CDU < 1.3nm

DCO < 1.5nm

MMO < 2.5nm

Focus control < 70nm

Productivity ≥ 125 WPH

Overlay

Imaging/Focus

Productivity

Reticle StageBetter thermal control

increased servo bandwidth

Projection OpticsHigher lens transmission improved

aberrations and distortion

Off-Axis Illuminator

FlexPupil

Overlay set upSet-up and modeling

improvements

SMASH sensorImproved alignment

sensor

Spotless NXEAutomated wafer table

cleaning

New UV level

sensor

Wafer StageImproved thermal

control

Improved air mounts

Page 6: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Slide 6

Public

1000 wafers per day capability demonstratedOn a field system, using customer exposure conditions

January 22, 2015:

1,022 wafers exposed in 24 hours

February 8, 2015:

970 wafers exposed in 24 hours

June 18, 2015

1200

1000

800

600

400

200

0

0:00 6:00 12:00 18:00 0:00

Hours from startE

xp

ose

d w

afe

rs

1200

1000

800

600

400

200

0

0:00 6:00 12:00 18:00 0:00

Hours from start

Ex

po

se

d w

afe

rs

Page 7: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Multiple UP2 systems delivering >100W EUV power

June 18, 2015

Slide 7

Public

Pilot 6

110W, 1 hour run

Cymer 2

~110W, 1 hour run

Page 8: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

EUV Source Architecture, Sn LPP MOPA PPPublic

Source Pedestal

Scanner Pedestal

Fab FloorFab Floor

Sub-fab Floor

Scanner

metrology for

source to

scanner

alignment

CO2 system

Tin catch

Vessel

Vanes

Tin Droplet

Generator

Collector

Beam

Tra

nsp

ort

Power Amplifiers PP&MP Seed unit

Inte

rmed

iate

Fo

cu

s U

nit

xz

Collector

On-droplet Gain Optimization

High Power

Seed System

Laser / EUV dose

Controls

High Power

Amplification Chain

June 18, 2015

Slide 8

Laser Metrology,

MP PP Focusing

Page 9: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

3

12

EUV LPP Source Key Technologies

June 18, 2015

Public

Slide 9

Page 10: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

EUV source power scaling beyond 100W

Public

Page 11: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Source power

Drive laser power

Conversion efficiency

Dose margin

Optical transmission

Source availability

Drive laser reliability

Droplet generator reliability & lifetime

Automation

Collector protection

Source power and availability drive productivityTechnology development work is ongoing to improve all aspects

Public

June 18, 2015

Slide 11

Page 12: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

0

5

10

15

20

25

30

NOMO and 3100 drivelaser

MOPA+PP and 3100drive laser

MOPA+PP and 3300Drive Laser

MOPA+PP HighPower Seed Systemresearch platform

MOPA+PP HighPower Drive Laser

with High Power SeedSystem

La

se

r P

ow

er

(kW

)

Introduction: EUV Power Scaling

June 18, 2015

Slide 12

Public

EUV power at the intermediate focus (W)

CO2 power (W) * Conversion Efficiency (%) * (1-Dose Overhead (%))

Architectural evolution of Seed

System and Drive Laser

enable higher CO2 power

CO2 power (W)

NXE:3100

NXE:3300B

Page 13: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

0

0.5

1

1.5

2

2.5

3

3.5

4

4.5

5

NOMO and 3100 drivelaser

MOPA+PP and 3100drive laser

MOPA+PP and 3300Drive Laser

MOPA+PP HighPower Seed Systemresearch platform

MOPA+PP HighPower Seed System

with High Power DriveLaser

Co

nve

rsio

n E

ffic

ien

cy (

) (%

)

Introduction: EUV Power Scaling

June 18, 2015

Slide 13

Public

EUV power at the intermediate focus (W)

CO2 power (W) * Conversion Efficiency (%) * (1-Dose Overhead (%))

Improved

understanding of

plasma physics and

key parameters for

EUV generation

enable higher CE

NXE:3100

NXE:3300B

Page 14: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

0

5

10

15

20

25

30

35

40

45

NOMO and 3100 drivelaser

MOPA+PP and 3100drive laser

MOPA+PP and 3300Drive Laser

MOPA+PP HighPower Seed Systemresearch platform

MOPA+PP HighPower Seed System

with High Power DriveLaser

Do

se

Ove

rhe

ad

(%

)

Introduction: EUV Power Scaling

June 18, 2015

Slide 14

Public

EUV power at the intermediate focus (W)

CO2 power (W) * Conversion Efficiency (%) * (1-Dose Overhead (%))

Many factors, from

control techniques to

seed architecture,

impact overhead

NXE:3100

NXE:3300B

Page 15: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

0

25

50

75

100

NOMO and NXE3100drive laser

MOPA+PP andNXE3100 drive laser

MOPA+PP andNXE3300 Drive Laser

La

se

r P

ow

er

(kW

)E

UV

Po

we

r (W

)D

os

e O

ve

rhe

ad

(%

)

Recap of EUV power scaling through 2014

June 18, 2015

Slide 15

Public

EUV power at the intermediate focus (W)

CO2 power (W) * Conversion Efficiency (%) * (1-Dose Overhead (%))

15kW

CE=3.5%

CE=2.5%CE=0.8%

12kW

8kW

10W

50W

100W

45%

30%

17%

Page 16: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

EUV Pulse Energy and PowerSlide 16

Public

0 2 4 6 8 10 12 14 16 180.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

4.5

5.0

5.5

6.0

E

UV

pu

lse

en

erg

y (

mJ

)

Peak instantaneous laser power (MW)

HPSS

research

platform

NXE:3100

MOPA+PP

NXE:3300B

100W EUV

Targeted HPSS+HPDL

Performance

June 18, 2015Current state

• 3.5% EUV CE

• 16kW on-droplet laser power

• 2 – 2.5mJ EUV pulse energy

• 80 – 100W dose controlled EUV power

Development platform

• 4% EUV CE

• 2.5-4mJ EUV pulse energy

Next performance level 250 W

• higher EUV CE

• Increased peak / average CO2 power

Page 17: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Pre-pulse technology

Public

Page 18: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Conversion efficiency: Optimizing pre-pulse to create a

more efficient target

Target expansion fills main

pulse beam waist

Public

Prepulse(low energy)

Mainpulse(high energy)

Target shape changes

from droplet to disk

June 18, 2015

Slide 18

Page 19: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

0

1

2

3

4

5

6

No prepulse Disk Disk Disk Cloud

Conversion Efficiency

Increased conversion efficiency with Pre-pulseEnabled by optimized target shape and size Public

Slide 19

CE

(%

)

Examples of target formation capabilities utilizing various Pre-pulse

techniques – CE >5% demonstrated on research platforms

June 18, 2015

Page 20: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Increased conversion efficiency with Pre-pulseEnabled by optimized target shape and size

Pre-pulse enhances CE via reduced target density for better CO2 absorption,

increased EUV emitting volume, reduced EUV absorption

Public

Slide 20

June 18, 2015

Page 21: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

EUV Source, Drive Laser Development Progress

Public

Page 22: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

EUV source progress, NXE3100, NOMO

June 18, 2015

Slide 22

Public

EUV power scaling with NXE:3100 NOMO

architecture limited by:

• low conversion efficiency (<1%)

• uncontrollable spontaneous emission for

increased laser gain

NXE:3100 NOMO Principle: Laser

cavity forms between grating and

droplet, making plasma

Droplets

NOMO

Grating

Beam Transport &

Final Focus

VesselNXE:3100 Drive Laser

3-stage power amplification

2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year

Page 23: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

MOPA

Seed System

with pre-amplification

EUV source progress, MOPA

June 18, 2015

Slide 23

Public

EUV power scaling with NXE:3100 MOPA

architecture limited by:

• relatively low conversion efficiency (<1.5%)

• excessive tin debris generation

NXE:3100 MOPA Principle: Seeded laser

amplifiers enable higher gain storage

Droplets

NOMO

Grating

Beam Transport &

Final Focus

VesselNXE:3100 Drive Laser

3-stage power amplification

2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

3100 MOPA (research)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year

Page 24: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

MOPA + Pre-pulse

Seed System

with pre-amplification

EUV source progress, MOPA Pre-Pulse

June 18, 2015

Slide 24

Public

NXE:3100 MOPA Pre-pulse Principle:

increased efficiency and reduced

debris via precise target formation

Droplets

MOPA

Seed System

with pre-amplification

Beam Transport &

Final Focus

VesselNXE:3100 Drive Laser

3-stage power amplification

2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

3100 MOPA (research)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year

Page 25: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

MOPA + Pre-pulse

Seed System

with pre-amplification

EUV source progress, MOPA Pre-Pulse

June 18, 2015

Slide 25

Public

EUV power scaling with NXE:3100 MOPA Pre-

pulse architecture limited by:

• Thermal performance of focusing optics

• Available laser power too low

NXE:3100 MOPA Pre-pulse Principle:

increased efficiency and reduced

debris via precise target formation

Droplets

Beam Transport &

Final Focus

VesselNXE:3100 Drive Laser

3-stage power amplification

2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year

Page 26: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

MOPA + Pre-pulse

Seed System

with pre-amplification

EUV source progress

June 18, 2015

Slide 26

Public

Early 2014 EUV power scaling limited by:

• Low CE from problems with laser pulse shaping that

resulted in excessive “pedestal” energy

• Pedestal containment: reduce laser gain

Beam Transport &

Final Focus

Vessel

NXE:3300B MOPA Pre-pulse Principle:

increased laser gain and improved

focusing optics enable high EUV

NXE:3100 Drive Laser

3-stage power amplification

NXE:3300B Drive Laser

4-stage power amplificationImproved thermal

management

2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

3300 MOPA+PP (shipped)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year

Page 27: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

MOPA + Pre-pulse

Seed System

with pre-amplification

Historical perspective on EUV source progress

June 18, 2015

Slide 27

Public

Progress during 2014: From 30W to 80W via improved pedestal extinction

Data collected on Cymer 1, stand alone

test source in San Diego, NXE:3300B

0 500 1000 1500 2000 2500 3000 35000

1

2

time [sec]

EU

V(M

ea

n+

/-99

.7%

) [m

J]

0 500 1000 1500 2000 2500 3000 3500

70

80

90

time [sec]

Pow

er

(Me

an)

[W]

0 500 1000 1500 2000 2500 3000 35000

10

20

30

time [sec]

Ove

rhea

d(M

ea

n+

/-99

.7%

) [%

]

EUV energy ~ 2.2mJ

Power ~ 80W

Overhead ~ 27%

Die Yield

=100%

Beam Transport &

Final Focus

VesselNXE:3300B Drive Laser

4-stage power amplificationImproved thermal

management

2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

3300 MOPA+PP (shipped)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

3300 MOPA+PP (shipped)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year

Page 28: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

MOPA + Pre-pulse

Seed System

with pre-amplification

Historical perspective on EUV source progress

June 18, 2015

Slide 28

PublicProgress during 2014: From 30W to 80W via improved pedestal extinction

Progress during 2014: 100+W in-spec demonstrated

via reduced overhead in field and in house systems

Beam Transport &

Final Focus

VesselNXE:3300B Drive Laser

4-stage power amplificationImproved thermal

management

2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

3300 MOPA+PP (shipped)

Do

se c

on

tro

lled

EU

V p

ow

er

(W)

Year

2008 2010 2012 2014 20160

20

40

60

80

100

3100 NOMO (delivered)

3100 MOPA (not shipped)

3100 MOPA+PP (not shipped)

3300 NOMO (delivered)

3300 MOPA+PP (delivered)

Do

se

co

ntr

olle

d E

UV

pow

er

(W)

Year

Die Yield

=99.9%

0 500 1000 1500 2000 25000

1

2

time [sec]

EU

V(M

ea

n+

/-99

.7%

) [m

J]

0 500 1000 1500 2000 250080

100

time [sec]

Pow

er

(Me

an)

[W]

0 500 1000 1500 2000 25000

10

20

time [sec]

Ove

rhea

d(M

ea

n+

/-99

.7%

) [%

]

EUV energy ~ 2.4mJ

Power ~ 100W

Overhead ~ 17%

Page 29: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

EUV power scaling beyond 100W

June 18, 2015

Slide 29Next Generation Architecture Developments Public

Two architectural improvements to the laser are under development:

The high power seed system

Improved pulse shaping and greater pre-amplification

MOPA + Pre-pulse

Seed System with high

power pre-amplification

Beam Transport &

Final Focus

VesselNXE:3100 Drive Laser

3-stage power amplification

The high power drive laser

Greater power amplification capabilities

MOPA + Pre-pulse

Seed System

with pre-amplification

Beam Transport &

Final Focus

VesselHigh Power Drive Laser

Enhanced 4-stage power amplificationImproved thermal

management

HPSS research configuration only

Page 30: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Droplet Generator

Public

Page 31: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Droplet Generator, Principle of Operation

• Tin is loaded in a vessel & heated above melting point

• Pressure applied by an inert gas

• Tin flows through a filter prior to the nozzle

• Tin jet is modulated by mechanical vibrations

June 18, 2015

Public

Slide 31

Nozzle

Filter

ModulatorGas

Sn

0 5 10 15 20 25 30-10

-5

0

5

10

Dro

ple

t p

ositio

n, m

Time, sec

140 m 50 m 30 mPressure: 1005 psi Frequency: 30 kHz Diameter: 37 µm Distance: 1357 µm Velocity: 40.7 m/s

Pressure: 1025 psi Frequency: 50 kHz Diameter: 31 µm Distance: 821 µm Velocity: 41.1 m/s

Pressure: 1025 psi Frequency: 500 kHz Diameter: 14 µm Distance: 82 µm Velocity: 40.8 m/s

Pressure: 1005 psi Frequency: 1706 kHz Diameter: 9 µm Distance: 24 µm Velocity: 41.1 m/s

Fig. 1. Images of tin droplets obtained with a 5.5 μm nozzle. The images on the left were obtained in

frequency modulation regime; the image on the right – with a simple sine wave signal. The images

were taken at 300 mm distance from the nozzle.

Short term droplet position stability σ~1m

16 m

Page 32: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Forces on Droplets during EUV Generation

June 18, 2015

Public

Slide 32

High EUV power at high repetition rates drives requirements for

higher speed droplets with large space between droplets

Page 33: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

High Speed Droplet Generation

June 18, 2015

Public

Slide 33

Tin droplets at 80 kHz and at different applied pressures.

Images taken at a distance of 200 mm from the nozzle

Pressure (Speed)

3.5 MPa (26 m/s)

6.9 MPa (40 m/s)

13.8 MPa (58 m/s)

27.6 MPa (84 m/s)

41.4 MPa (104 m/s)

55.2 MPa (121 m/s)

1.5 mm

Page 34: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Collector Lifetime

Public

Page 35: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

EUV Collector: Normal Incidence Public

June 18, 2015

Slide 35

• Ellipsoidal design

• Plasma at first focus

• Power delivered to exposure tool at second focus (intermediate focus)

• 650 mm diameter

• Collection solid angle: 5 sr

• Average reflectivity: > 40%

• Wavelength matching across the entire collection area 5sr Normal Incidence Graded

Multilayer Coated Collector

Page 36: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Collector ProtectionPublic

June 18, 2015

Slide 36

Sn droplet /

plasma

H2 flow

Reaction of H radicals with Sn

to form SnH4, which can be

pumped away.

Sn (s) + 4H (g) SnH4 (g)

• Hydrogen buffer gas causes

deceleration of ions

• Hydrogen flow away from collector

reduces atomic tin deposition rate

Laser beam

IF

Sn

catcher

DG

EUV collector

Temperature controlled

• Vessel with vacuum pumping to

remove hot gas and tin vapor

• Internal hardware to collect micro

particles

Page 37: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

NXE 3300 Source Operation at 80WPublic

50%

60%

70%

80%

90%

100%

0 2 4 6 8 10 12 14 16 18 20

Co

llect

or

Ref

lect

ivit

y (%

)

Pulse Counts (Gp)

Relative Collector Reflectivity

• ~ 0.5% reflectivity loss per Gigapulse

• Enables collector lifetime ~ 0.1 Terapulse at 80W

June 18, 2015

Slide 37

Page 38: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

In-situ collector cleaningEffectiveness of product configuration confirmed

Public

June 18, 2015

Slide 38

Off-line cleaning using NXE:3300B source

vessel with product configuration hardwareReflectivity restored within 0.8% of original

Cleaning in off-line MOPA Prepulse development vessel

Field collector

cleaned in

NXE:3300 source

vessel test rig

Start End

Start End

Page 39: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Public

June 18, 2015

Slide 39

NXE 3300 In-situ Collector Cleaning

Page 40: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Summary: EUV towards production insertion

February 2015

Public

Slide 40

More than 1000 wafers per day demonstrated during endurance

test on one NXE:3300B

At customers8 NXE:3300B systems shipped, 7 exposing customer wafers

Stable 40W performance, 80W configuration being

transferred to customers

At ASML4th generation NXE system (NXE:3350B)

integration ongoing

EUV cleanroom extension is under construction

NXE:3300B initial imaging performance is in line with

requirements for logic 7nm, DRAM 15nm

250W source architecture definition completed

Page 41: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Acknowledgements:

June 18, 2015

Slide 41

Public

David Brandt, Daniel Brown, Klaus Schuegraf, Rick Sandstrom, Rob Rafac, Alexander Schafgans, Yezheng Tao,

Michael Purvis, Alex Ershov, Georgiy Vaschenko, Slava Rokitski, Daniel Riggs, Wayne Dunstan, Mathew Abraham

Matthew Graham,

Cymer LLC, 17075 Thornmint Ct, San Diego, CA 92127 USA

Alberto Pirati, Rudy Peeters, Daniel Smith, Uwe Stamm, Sjoerd Lok, Arthur Minnaert, Martijn van Noordenburg,

Joerg Mallmann, Noreen Harned, David Ockwell, Henk Meijer, Judon Stoeldraijer, Christian Wagner, Carmen Zoldesi,

Eelco van Setten, Jo Finders, Koen de Peuter, Chris de Ruijter, Milos Popadic, Roger Huang, Roderik van Es, Marcel

Beckers, Hans Meiling, Ron Kool

ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands

Page 42: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI

Acknowledgements:

June 18, 2015

Slide 42

Public

Page 43: Status and outlook of LPP light sources for HVM EUVL · Status and outlook of LPP light sources for HVM EUVL Igor Fomenkov, ASML Fellow ASML, Cymer, ... s=0.8 Flex-OAI Extended Flex-OAI