12
JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 19, NO. 1, FEBRUARY 2010 137 Piezoresistive Cantilever Performance—Part I: Analytical Model for Sensitivity Sung-Jin Park, Member, IEEE, Joseph C. Doll, Student Member, IEEE, and Beth L. Pruitt, Member, IEEE Abstract—An accurate analytical model for the change in resistance of a piezoresistor is necessary for the design of sili- con piezoresistive transducers. Ion implantation requires a high- temperature oxidation or annealing process to activate the dopant atoms, and this treatment results in a distorted dopant profile due to diffusion. Existing analytical models do not account for the concentration dependence of piezoresistance and are not accurate for nonuniform dopant profiles. We extend previous analytical work by introducing two nondimensional factors, namely, the efficiency and geometry factors. A practical benefit of this ef- ficiency factor is that it separates the process parameters from the design parameters; thus, designers may address requirements for cantilever geometry and fabrication process independently. To facilitate the design process, we provide a lookup table for the efficiency factor over an extensive range of process conditions. The model was validated by comparing simulation results with the ex- perimentally determined sensitivities of piezoresistive cantilevers. We performed 9200 TSUPREM4 simulations and fabricated 50 devices from six unique process flows; we systematically ex- plored the design space relating process parameters and cantilever sensitivity. Our treatment focuses on piezoresistive cantilevers, but the analytical sensitivity model is extensible to other piezoresistive transducers such as membrane pressure sensors. [2009-0104] Index Terms—Analytical model, force sensor, piezoresistance, piezoresistive cantilever. I. I NTRODUCTION P IEZORESISTIVITY is a commonly used transduction mechanism for microelectromechanical systems [1] such as force sensors [2]–[7], pressure sensors [8]–[12], stress sen- sors [13]–[15], microphones [16], accelerometers [17], [18], temperature sensors [19], [20], and chemical sensors [21]–[23]. Piezoresistive sensors have several desirable characteristics such as straightforward fabrication, simple signal-conditioning circuitry, relatively small size, and large dynamic range. Al- though optical readout is a more widely used technique to mea- sure cantilever deflection, piezoresistive cantilevers are ideal Manuscript received April 24, 2009; revised October 5, 2009. First published December 8, 2009; current version published February 3, 2010. This work was supported in part by the National Science Foundation (NSF) under CAREER Award ECS-0449400 and Grant CTS-0428889, in part by the Center of Integrated Nanomechanical Systems under the NSF National Science and Engineering Center Grant ECS-0425914, in part by Nanoscale Exploratory Research under Grant ECCS-0708031, and in part by the National Institutes of Health under Grant R01 EB006745-01A1. The work of S.-J. Park was supported by a Samsung Fellowship. The work of J. C. Doll was supported in part by a National Defense Science and Engineering Graduate Fellowship and in part by an NSF Graduate Fellowship. Subject Editor K. E. Petersen. The authors are with the Department of Mechanical Engineering, Stanford University, Stanford, CA 94305 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/JMEMS.2009.2036581 for measurements where optical access is not possible or is inconvenient [7]. Many researchers have focused on improving the resolution of piezoresistive sensors [3]–[5], [8]–[11], [14]–[16], [18], [22], [23]. However, to simplify the analysis, previous work ignores the variation in dopant profile through the device thickness and approximates the dopant atoms as being concentrated at the surface [3], [5], [6], [8], [11]. However, this assumption leads to inaccurate device sensitivity predictions, particularly for thin devices where the diffusion length during processing is not negligible. In this paper, we present an analytical model for piezoresis- tive cantilevers with nonuniform doping. To address the dif- ference between theoretical estimates and experimental results of sensitivity, we define two nondimensional numbers: an effi- ciency factor β and a geometry factor γ . The efficiency factor captures the reduction in sensitivity due to the dopant atoms being spread across the thickness, while the geometry factor ad- dresses the reduction in sensitivity due to parasitic resistances. The concept of an efficiency factor was first introduced by Tortonese et al. [2] and Harley and Kenny [4], but in this paper, we show, for the first time, how to directly relate an efficiency factor to sensitivity. Prior work assumed a constant piezoresis- tive coefficient throughout the device thickness; however, the piezoresistivity of silicon is a function of dopant concentration. Thus, the prior methods are only applicable to piezoresistors formed by epitaxy with negligible diffusion during processing and are not ideal for nonuniform profiles formed by ion implan- tation or diffusion. We previously extended the concept of an efficiency factor to nonuniform dopant profiles [24]. In this paper, we validate the analytical model by fabricating and characterizing piezoresis- tive cantilevers and introduce the geometry factor which should also be considered in the design of real devices. In addition, we analyze the effect of design and process parameters on the efficiency factor and overall force sensitivity via simulation (9200 cases) with extensive experimental validation (50 devices from six unique process flows). Finally, we provide a lookup table to easily calculate the efficiency factor and sensitivity so that a process simulation tool is no longer necessary to predict the sensitivity. We present results for an ion-implanted piezoresistive cantilever, but the model is extensible to diffused piezoresistors [25] or 2-D stress distributions as found in mem- brane pressure sensors. II. METHODS To validate the analytical sensitivity model, we designed and fabricated silicon piezoresistive cantilevers as described 1057-7157/$26.00 © 2009 IEEE Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 19, NO. 1, FEBRUARY 2010 137

Piezoresistive Cantilever Performance—Part I:Analytical Model for Sensitivity

Sung-Jin Park, Member, IEEE, Joseph C. Doll, Student Member, IEEE, and Beth L. Pruitt, Member, IEEE

Abstract—An accurate analytical model for the change inresistance of a piezoresistor is necessary for the design of sili-con piezoresistive transducers. Ion implantation requires a high-temperature oxidation or annealing process to activate the dopantatoms, and this treatment results in a distorted dopant profiledue to diffusion. Existing analytical models do not account for theconcentration dependence of piezoresistance and are not accuratefor nonuniform dopant profiles. We extend previous analyticalwork by introducing two nondimensional factors, namely, theefficiency and geometry factors. A practical benefit of this ef-ficiency factor is that it separates the process parameters fromthe design parameters; thus, designers may address requirementsfor cantilever geometry and fabrication process independently. Tofacilitate the design process, we provide a lookup table for theefficiency factor over an extensive range of process conditions. Themodel was validated by comparing simulation results with the ex-perimentally determined sensitivities of piezoresistive cantilevers.We performed 9200 TSUPREM4 simulations and fabricated50 devices from six unique process flows; we systematically ex-plored the design space relating process parameters and cantileversensitivity. Our treatment focuses on piezoresistive cantilevers, butthe analytical sensitivity model is extensible to other piezoresistivetransducers such as membrane pressure sensors. [2009-0104]

Index Terms—Analytical model, force sensor, piezoresistance,piezoresistive cantilever.

I. INTRODUCTION

P IEZORESISTIVITY is a commonly used transductionmechanism for microelectromechanical systems [1] such

as force sensors [2]–[7], pressure sensors [8]–[12], stress sen-sors [13]–[15], microphones [16], accelerometers [17], [18],temperature sensors [19], [20], and chemical sensors [21]–[23].Piezoresistive sensors have several desirable characteristicssuch as straightforward fabrication, simple signal-conditioningcircuitry, relatively small size, and large dynamic range. Al-though optical readout is a more widely used technique to mea-sure cantilever deflection, piezoresistive cantilevers are ideal

Manuscript received April 24, 2009; revised October 5, 2009. First publishedDecember 8, 2009; current version published February 3, 2010. This work wassupported in part by the National Science Foundation (NSF) under CAREERAward ECS-0449400 and Grant CTS-0428889, in part by the Center ofIntegrated Nanomechanical Systems under the NSF National Science andEngineering Center Grant ECS-0425914, in part by Nanoscale ExploratoryResearch under Grant ECCS-0708031, and in part by the National Institutesof Health under Grant R01 EB006745-01A1. The work of S.-J. Park wassupported by a Samsung Fellowship. The work of J. C. Doll was supportedin part by a National Defense Science and Engineering Graduate Fellowshipand in part by an NSF Graduate Fellowship. Subject Editor K. E. Petersen.

The authors are with the Department of Mechanical Engineering, StanfordUniversity, Stanford, CA 94305 USA (e-mail: [email protected]).

Color versions of one or more of the figures in this paper are available onlineat http://ieeexplore.ieee.org.

Digital Object Identifier 10.1109/JMEMS.2009.2036581

for measurements where optical access is not possible or isinconvenient [7].

Many researchers have focused on improving the resolutionof piezoresistive sensors [3]–[5], [8]–[11], [14]–[16], [18], [22],[23]. However, to simplify the analysis, previous work ignoresthe variation in dopant profile through the device thicknessand approximates the dopant atoms as being concentrated atthe surface [3], [5], [6], [8], [11]. However, this assumptionleads to inaccurate device sensitivity predictions, particularlyfor thin devices where the diffusion length during processing isnot negligible.

In this paper, we present an analytical model for piezoresis-tive cantilevers with nonuniform doping. To address the dif-ference between theoretical estimates and experimental resultsof sensitivity, we define two nondimensional numbers: an effi-ciency factor β∗ and a geometry factor γ. The efficiency factorcaptures the reduction in sensitivity due to the dopant atomsbeing spread across the thickness, while the geometry factor ad-dresses the reduction in sensitivity due to parasitic resistances.

The concept of an efficiency factor was first introduced byTortonese et al. [2] and Harley and Kenny [4], but in this paper,we show, for the first time, how to directly relate an efficiencyfactor to sensitivity. Prior work assumed a constant piezoresis-tive coefficient throughout the device thickness; however, thepiezoresistivity of silicon is a function of dopant concentration.Thus, the prior methods are only applicable to piezoresistorsformed by epitaxy with negligible diffusion during processingand are not ideal for nonuniform profiles formed by ion implan-tation or diffusion.

We previously extended the concept of an efficiency factor tononuniform dopant profiles [24]. In this paper, we validate theanalytical model by fabricating and characterizing piezoresis-tive cantilevers and introduce the geometry factor which shouldalso be considered in the design of real devices. In addition,we analyze the effect of design and process parameters on theefficiency factor and overall force sensitivity via simulation(9200 cases) with extensive experimental validation (50 devicesfrom six unique process flows). Finally, we provide a lookuptable to easily calculate the efficiency factor and sensitivityso that a process simulation tool is no longer necessary topredict the sensitivity. We present results for an ion-implantedpiezoresistive cantilever, but the model is extensible to diffusedpiezoresistors [25] or 2-D stress distributions as found in mem-brane pressure sensors.

II. METHODS

To validate the analytical sensitivity model, we designedand fabricated silicon piezoresistive cantilevers as described

1057-7157/$26.00 © 2009 IEEE

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 2: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

138 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 19, NO. 1, FEBRUARY 2010

Fig. 1. Piezoresistive cantilever. (a) Geometry of cantilever. (b) Geometryof piezoresistor. (c) Strained region (piezoresistor) and unstrained regions(interconnects and contact pads) of the total resistance. (d) Coordinate changeand dopant concentration profiles. (e) For maximum force sensitivity (alldopant atoms exist only at the surface). (f) For ideal epitaxial growth (dopantconcentration is uniform within the junction depth). (g) For ion implantation,diffusion, or epitaxial growth with annealing process (dopant concentration hasan approximately Gaussian distribution).

previously [26]. Briefly, the cantilevers were oriented in the〈110〉 direction (E = 169 GPa) of a silicon-on-insulator wafer.P-type piezoresistors were formed by boron ion implantation,with doses ranging from 5 · 1015 to 5 · 1016 cm−2. We includeddesigns of varying cantilever dimensions (length lc of 1.5–6 mm, width wc of 30–200 μm, and thickness tc of 7–50 μm)and varying piezoresistor dimensions (length lp of 200–350 μmand width wp of 10–20 μm) in Fig. 1. A wet oxidation at1000–1150 ◦C (15–45 min) followed by an anneal in N2 at1000–1150 ◦C (5–32 min) electrically activates the dopant anddiffuses the dopant to varying extents.

We attached the cantilevers to custom printed circuit boards(Imagineering Inc., Elk Grove Village, IL) with epoxy (Devcon,Glenview, IL) and wirebonded them with aluminum wire. Thepiezoresistor resistance change was transduced with a 1/4-active Wheatstone bridge and amplified with an instrumenta-tion amplifier (Analog Devices AD8221 or Texas InstrumentsINA103, depending on the piezoresistor resistance) before dataacquisition. Also, 1/4 of the bridge is an unstrained matchedpiezoresistor for temperature compensation.

We measured the spring constant, force sensitivity, and first-mode resonant frequency of each cantilever using a laserDoppler vibrometer (LDV) (Polytec OFV3001) [26]. The can-tilever and printed circuit board were mounted on a piezoelec-tric shaker (Jodon, Ann Arbor, MI). The vibrometer laser waspointed at the tip of the cantilever to measure the velocity of thecantilever tip. We determined the resonant frequency f0 fromthe power spectral density of the LDV output while the shakerwas driven with white noise; shaker drive signal and LDVmeasurement were accomplished with a vector signal analyzer(HP 89441A). We determined cantilever stiffness kc from theresonant frequency and dimensions of cantilever

kc =Ewct

3c

4l3c(1)

which can also be written as

kc = 0.24ρclcwctc(2πf0)2 (2)

where ρc is the density of the cantilever. We calibrated thepiezoresistor displacement sensitivity by shaking the can-tilevers at their resonant frequency. Displacement voltage sen-sitivity is extracted from the tip displacement output of thevibrometer (SvibroVvibro/2πf0), and the voltage across thepiezoresistors (Vpiezo) is measured from the Wheatstone bridge

SdV,measured =2πf0Vpiezo

SvibroVvibro(3)

where Svibro and Vvibro are the velocity sensitivity and velocityvoltage signal of the vibrometer, respectively.

We simulated dopant profiles using TSUPREM4 (Synopsys,Mountain View, CA) (Fig. 2). To compute the efficiency factorand sensitivity of each cantilever, we used the fabricationprocess steps in Table I. We compared the simulation resultswith dopant profiles measured by spreading the resistanceanalysis (Solecon Laboratories, Reno, NV) of test structures(5 mm × 5 mm) fabricated during the piezoresistive can-tilever process [Fig. 2(d)]. The geometry factor γ is calculatedfrom finite-element analysis using COMSOL (COMSOL, Inc.,Burlington, MA).

III. ANALYTICAL MODEL FOR SENSITIVITY

A. Change in Resistance Due to Applied Stress

Piezoresistivity describes the change in the electrical resis-tance of a material due to the applied mechanical stress [27],[28]. To calculate the change in resistance due to the mechanicalstress, we consider arbitrary profiles of both electrical resis-tance and mechanical stress, i.e., dopant concentration profile(p) and stress distribution (σ). Additionally, because resistivityρ, carrier mobility μ, and piezoresistivity π are a function ofdopant concentration, p, σ, ρ, μ, and π are defined locally.Therefore, it is necessary to average the change in resistanceby integrating all local variables over the three dimensions.

In this paper, we derive the analytical solution for the sen-sitivity of a typical device with a U-shaped piezoresistor. Wemake four simplifying assumptions. First, we consider resistiv-ity (ρl) only in the longitudinal direction of the piezoresistor.Current flow in a U-shaped piezoresistor can be assumed 1-D,because the piezoresistor length is much greater than its width.Second, we assume that the doping method is uniform acrossthe wafer so that the dopant concentration varies only across thethickness of the piezoresistor. Third, lateral diffusion is negligi-ble compared with diffusion in the thickness direction, becausethe width of the piezoresistor is much larger than the junc-tion depth. Fourth, we neglect shear piezoresistivity, becauselongitudinal piezoresistors are insensitive to shear stress. As-suming plane stress [28], the change in resistance is a functionof the longitudinal piezoresistance coefficient (πl), transversepiezoresistance coefficient (πt), normal stress parallel to thecurrent (σl), and normal stress perpendicular to the current (σt)

Δρ

ρ=

Δρl(x, y, z)ρl(z)

(4)

or

Δρ

ρ= πl(z)σl(x, y, z) + πt(z)σt(x, y, z) (5)

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 3: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

PARK et al.: PIEZORESISTIVE CANTILEVER PERFORMANCE PART I 139

Fig. 2. Dopant concentration profiles for the cantilever shown are simulated using TSUPREM4. The plots compare epitaxial and ion-implanted distributions.(a) Inert N2 anneal at 1000 ◦C for epitaxial deposition (dopant concentration: 1018 cm−3). (b) For low-dose ion implantation (dopant dose: 2 · 1014 cm−2).(c) For high-dose ion implantation (dopant dose: 5 · 1015 cm−2). (d) Comparison of dopant profiles between TSUPREM4 result and spreading resistanceanalysis data.

TABLE IPROCESS PARAMETERS OF TSUPREM4 SIMULATION

where x, y, and z are oriented parallel to the width, length, andthickness of the device, respectively (Fig. 1).

We model the piezoresistor as a composite of many thinslices connected in parallel, where the resistivity and piezore-sistance coefficient of each thin slice are constant. We calculatethe change in resistance of each slice, then integrate the conduc-tance, which is the inverse of resistance, to compute the overallresistance change (ΔR/R) due to the applied stress. Thechange in resistance (see Appendix for detailed calculations) is

ΔR

R=

πl,max

∫ tc/2

−tc/2 qμpPlσ̄ldz∫ tc/2

−tc/2 qμpdz+

πt,max

∫ tc/2

−tc/2 qμpPtσ̄tdz∫ tc/2

−tc/2 qμpdz

(6)

where σ̄l and σ̄t are the averages of longitudinal and transversestresses in longitudinal direction, respectively (

∫ lp0 σldy/lp

and∫ lp0 σtdy/lp). Pl and Pt are the longitudinal and transverse

piezoresistance factors, obtained by dividing the localpiezoresistance coefficient by the maximum obtainable, i.e.,πl,max and πt,max. The piezoresistance factor P varies withtemperature and dopant concentration, while πmax varies withpiezoresistor orientation. Both Kanda’s theoretical model [28]based on Smith’s data [27] and Harley and Kenny’s empiricalfit [4] based on experimental results [29]–[31] are availablefor the piezoresistance factor. A recent theoretical model byRichter et al. [32] analyzed the gap between Kanda’s modeland Harley’s fit (Fig. 3). They achieved a good agreementbetween a theoretical model and experimental results if thefull range of possible scattering mechanisms are considered,including acoustic phonon, nonpolar optical phonon, andionized impurity scattering. Thus, we use the values obtainedby Richter et al. for P and the maximum value for πmax, i.e.,72 · 10−11 Pa−1 in the [110] direction for silicon with a p-typedopant. In addition, carrier mobility is calculated as in [33].Equation (6) is an analytical solution of the change in resistancefor a piezoresistive device due to applied stress. If we knowthe dopant concentration profile p(z) and stress distributionσ(x, y, z) of the device, then we can calculate the change inresistance and, thus, the force and displacement sensitivity.

B. Sensitivity of a Piezoresistive Cantilever

The stress distribution is readily calculated from Euler beamtheory based upon the assumptions noted earlier. An externalforce F applied to the tip of the cantilever induces a uniaxialstress field

σl =12(lc − x)z

wct3cF. (7)

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 4: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

140 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 19, NO. 1, FEBRUARY 2010

Fig. 3. Piezoresistance factor as a function of boron concentration. We usedthe model presented by Richter et al. [32] to calculate β∗. The models presentedby Harley et al. [4] and Kanda [28] are shown for comparison and are accurateat low and high dopant concentrations, respectively.

The sensitivity can be expressed in terms of force or displace-ment and in terms of change in resistance or voltage. We indi-cate the sensitivity (S) with subscripts (force F , displacementd,resistance Ω, and voltage V ). From (6) and (7), the forcesensitivity ((ΔR/R)/F ) for an end-loaded beam is

SFΩ =12(lc − 0.5lp)πl,max

wct3c

∫ tc/2

−tc/2 qμpPzdz∫ tc/2

−tc/2 qμpdz. (8)

This exact solution for force sensitivity captures both dopantand stress profile effects.

C. Geometry Factor γ

γ is a geometry factor defined as the ratio of the resistanceof the strained region in the piezoresistor to the total resistanceincluding unstrained regions, interconnects, and contact pads[Fig. 1(c)], which act to reduce force sensitivity (γ < 1). Wecan estimate the ratio of the resistance of the strained region tothe total resistance by calculating the voltage distribution of apiezoresistor using finite-element analysis (Fig. 4).

D. Efficiency Factor β∗

We introduce an efficiency factor β∗ by dividing the forcesensitivity for an arbitrary dopant concentration profile by thetheoretical maximum force sensitivity. The theoretical maxi-mum force sensitivity can be achieved if the dopant atoms existonly at the surface [z = t/2, Fig. 1(e)], and the dopant con-centration is small enough to maintain the maximum piezore-sistance factor (P = 1). If P = 1, then the force sensitivitybecomes

SFΩ,max =6(lc − 0.5lp)πl,max

wct2c. (9)

Fig. 4. Normalized voltage distribution of the typical cantilever which issimulated from finite-element analysis. The geometry factor γ addresses the re-duction in sensitivity due to parasitic resistances of unstrained regions (0.33 oftotal resistance in the typical cantilever). Our typical cantilever has a U-shapedpiezoresistor where both strained and unstrained regions have the same sheetresistance.

The efficiency factor β∗ is defined as

β∗ =SFΩ

SFΩ,max=

2tc

∫ tc/2

−tc/2 qμpPzdz∫ tc/2

−tc/2 qμpdz. (10)

Using this efficiency factor, the force sensitivity (8) for anyarbitrary dopant profile may be expressed as

SFΩ =6(lc − 0.5lp)πl,max

wct2cβ∗. (11)

Considering a balanced 1/4-active Wheatstone bridge con-figuration ((Vout/Vbridge) ≈ (ΔR/4R)), voltage force sensi-tivity is

SFV =3(lc − 0.5lp)πl,max

2wct2cγVbridgeβ

∗ (12)

where Vbridge is the Wheatstone bridge bias voltage. From theforce sensitivity (12) and spring constant kc (1), the displace-ment sensitivity is

SdV =3Et(lc − 0.5lp)πl,max

8l3cγVbridgeβ

∗. (13)

In summary, we can calculate the force and displacementsensitivities [(12) and (13)] from the lateral cantilever dimen-sions (lc and wc), piezoresistor length (lp), and β∗, which is afunction of dopant profile and cantilever thickness (tc).

IV. EFFECT OF FABRICATION PROCESSES ON β∗

β∗ describes how the dopant profile affects the sensitivity ofthe device. If dopants are close to the surface and the dopantconcentration is low enough to maintain a high piezoresistancefactor across the resistor, then β∗ is close to one. If the dopantsare uniformly distributed through the thickness of the cantileveror the dopant concentration is very high, then β∗ approacheszero. β∗ is a function of only cantilever thickness and process

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 5: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

PARK et al.: PIEZORESISTIVE CANTILEVER PERFORMANCE PART I 141

Fig. 5. β∗ of epitaxial (a, c, and e) and ion-implanted (b, d, and f) cantilevers with various cantilever thicknesses (a and b), dopant concentration (c), implantationdose (d), epitaxial layer thickness (e), and implantation energy (f). Lines are discontinuous because the solid solubility limit depends upon the maximum processingtemperature.

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 6: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

142 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 19, NO. 1, FEBRUARY 2010

Fig. 6. β∗ and junction depth of piezoresistor formed by B and BF2 ion implantation. Both low-power implantation and heavier ion implantation can yield betterβ∗ and force sensitivity. However, the low dose and short diffusion lengths needed to fabricate shallow piezoresistors with BF2 require a longer annealing processto achieve better β∗ and force sensitivity than elemental boron. The cross indicates diffusion lengths where β∗ of piezoresistors with BF2 is the same as β∗ ofpiezoresistors with B.

parameters; therefore, devices from the same wafer and processhave a single β∗ independent of cantilever length and width.The practical significance of this is that we can separate theprocess parameters from the design parameters, making it pos-sible to design the cantilever geometry and fabrication processseparately. Additionally, β∗ can be used for other piezoresistivedevices besides cantilevers: The β∗ of an arbitrary device isthe same as the β∗ of a piezoresistive cantilever (10) if σ in thedevice is linearly proportional to z in (6).

A. β∗ for Ideal Epitaxial Growth

In an ideal epitaxial piezoresistor [Fig. 1(f)] where dopantatom diffusion is negligible, the dopant concentration and thepiezoresistance factor P are constant within the junction depthtp. Thus, the efficiency factor of an ideal epitaxial cantilever is

β∗ = P

(1 − tp

tc

). (14)

B. β∗ for Nonuniform Doping Profiles

Diffusion of dopant atoms during high-temperature proces-ses leads to a nonuniform dopant profile. The electricallyactive dopant concentration profile can be simulated withTSUPREM4 [Fig. 2(a)–(c)] or experimentally measured usingspreading resistance analysis [Fig. 2(d)]. In Fig. 5, we cal-culated β∗ for epitaxial (A, C, and E) and ion-implanted(B, D, and F) cantilevers in various conditions such asdifferent cantilever thickness (A and B), dopant concentration(C), implantation dose (D), epitaxial layer thickness (E), andimplantation energy (F). To investigate how diffusion affects β∗

with various process conditions, β∗ in Fig. 5 was also calculatedwith various annealing conditions (temperature T and timet) and was plotted in terms of diffusion length (

√Dt). The

diffusion coefficient is defined as D = Dioexp(−Eia/kBT ),where for boron Dio = 0.037 cm2/s and Eia = 3.46 eV [34].

Each line corresponds to each annealing temperature plot withvarious times (15–180 min).

1) Effect of Cantilever Thickness on β∗: Epitaxial piezore-sistors can be approximated with (14) until the diffusion lengthis comparable to the cantilever thickness [Fig. 5(a)]. Similarly,ion-implanted piezoresistors have constant β∗ values until thediffusion length becomes significant [Fig. 5(b)]. The transitionpoint depends on cantilever thickness; β∗ decreases in thecase of thin cantilevers (5 and 7 μm), while β∗ increaseswith diffusion length in the case of thick cantilevers (15, 25,and 50 μm). The trend of β∗ with diffusion length dependsupon two competing mechanisms: the depth effect and thepiezoresistance factor effect. During diffusion, dopant atomsmove from the top surface of the cantilever toward the neutralaxis. Bending stress decreases linearly with depth; therefore,β∗ decreases with diffusion length due to the depth effect.However, the piezoresistance factor is inversely proportional todopant concentration; therefore, diffusion simultaneously actsto increase β∗ by the piezoresistive factor effect. These twoeffects compete to determine the trend of β∗ with diffusionlength. In relatively thin cantilevers, [Fig. 5(a) and (b)], thepiezoresistance factor effect is negligible, and the depth effectdominates. However, for relatively thick cantilevers [15, 25, and50 μm, Fig. 5(b)], the piezoresistance factor effect dominatesuntil the junction depth is comparable to the half-thickness ofthe cantilever.

2) Effect of Concentration and Dose on β∗: For epitaxialcantilevers of varied initial dopant concentration [Fig. 5(c)],β∗ decreases as the dopant concentration is increased. Ion-implanted cantilevers of varied doses [Fig. 5(d)] show a sim-ilar trend; β∗ of low-dose cantilevers is greater than thatof cantilevers with a high implant dose. However, β∗ con-verges for high-dose minimal-

√Dt processes because the ef-

fects of dopant activation and solid solubility limit becomesubstantial. β∗ diverges with increasing diffusion length asdopant atoms are activated and diffuse away. Note that lightlydoped piezoresistors have large β∗ values, but can lead to

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 7: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

PARK et al.: PIEZORESISTIVE CANTILEVER PERFORMANCE PART I 143

Fig. 7. β∗ and oxide thickness of ion-implanted cantilever with different annealing methods. β∗ is greater for oxidizing environments because dopant segregationinto the oxide acts to reduce the dopant concentration in the piezoresistor and increase β∗ by the piezoresistance factor effect. Note that β∗ versus

√Dt of dry

and wet oxidation is discontinuous for the different annealing temperature ranges, because of dopant segregation in the oxide.

large drift and electrical noise and may not yield optimalresolution.

3) Effect of Initial Junction Depth on β∗: Junction depthis defined as the depth where the doping concentration of apiezoresistor drops to background concentration. In the caseof epitaxial piezoresistors with varying initial thickness ratios(tp/tc) [Fig. 5(e)], β∗ is inversely proportional to the thicknessratio as expected. Ion-implanted cantilevers show a similartrend [Fig. 5(f)]; the formation of shallow piezoresistors byreducing implantation energy tends to improve β∗ for a givendose. However, there is an exception to this trend in the caseof low-dose low-diffusion-length piezoresistors where β∗ isactually improved with implantation energy because of thepiezoresistance factor effect.

The effect of increasing ion mass for a fixed energy is similarto that of decreasing implantation energy [Fig. 5(f)] becausethe initial dopant depth is reduced. Bergaud et al. [35] demon-strated that ultrashallow piezoresistors can be fabricated by theimplantation of more massive ions such as BF2. We calculatedβ∗ for cantilevers with boron and BF2 dopant atoms in Fig. 6.The competition between the depth and piezoresistance factoreffects is evident in the results.

4) Effect of Annealing Atmosphere on β∗: Next, we investi-gated the effect of the postimplantation annealing atmosphereon β∗ (Fig. 7). The trend of β∗ for dry and wet oxidationis similar to the trend for inert annealing. However, β∗ isgreater for oxidizing environments because dopant segrega-tion into the oxide acts to reduce the dopant concentrationin the piezoresistor and increase β∗ by the piezoresistancefactor effect. Oxide thickness is greater for wet growth thandry growth at any

√Dt, and the thicker oxide leads to

additional dopant segregation and oxidation-enhanced diffu-sion [36].

C. Comparison With Other Analytical Models

We compare the predicted force sensitivity from the idealizedmodel [3], [5], [6], the Tortonese model [2], [4], [22], and our

Fig. 8. Comparison with other analytical models. P (max(p)),P (max(p))β, and β∗ indicate the simplified model [5], the Tortonesemodel [2], [4], and our model, respectively. The disagreement in sensitivitybetween the three models depends on the fabrication process and cantileverthickness.

model in Fig. 8. The idealized model ignores the dopant profileand assumes that all of the dopant atoms exist at the top surface.This model is useful to estimate force sensitivity, but divergesfrom the exact solution for cantilevers with large diffusionlengths. Tortonese et al. [2] later considered the dopant profileby modifying the model to include an efficiency factor β, whichaccounts for the junction-depth effect only

β =2tc

∫ tc/2

−tc/2 qμpPzdz∫ tc/2

−tc/2 qμpPdz. (15)

Comparing (10) and (15), the Tortonese model ignores thepiezoresistance factor effect because the piezoresistance factorsin the denominator and numerator cancel. The Tortonese modeluses P (max(p)), taken as the piezoresistance factor at the

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 8: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

144 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 19, NO. 1, FEBRUARY 2010

Fig. 9. β∗1 and β∗

2 for boron-implanted piezoresistors (50-keV energy and 2 · 1015 cm−2 dose) with various inert (N2) annealing temperatures and times.β∗1 (piezoresistance factor effect) and β∗

2 (depth effect) depend only on processing parameters.

maximum dopant concentration. As seen in Fig. 8, theTortonese model underestimates force sensitivity.

D. β∗ Lookup Table

If we separate the dependence of β∗ from the thickness of thecantilever, then we can build a lookup table of β∗ for variousprocessing conditions. Changing the coordinate system fromthe neutral axis to the surface of the cantilever [z′ = tc/2 − z,Fig. 1(d)], we write

β∗ =2tc

∫ tc

0

(tc

2 − z′)qμpPdz′∫ t

0 qμpdz′. (16)

If we assume that most dopants exist within the junctiondepth tp

β∗ = β∗1 −

2tc

β∗2 (17)

where β∗1 and β∗

2 are defined as

β∗1 =

∫ tp

0 qμpPdz′∫ tp

0 qμpdz′(18)

β∗2 =

∫ tp

0 qμpPz′dz′∫ tp

0 qμpdz′. (19)

As defined, β∗1 (piezoresistance factor effect) and β∗

2 (deptheffect) depend only on processing parameters. β∗

1 is a conduc-tivity weighted average of the piezoresistance factor. If dopantconcentration is low, β∗

1 is high because the piezoresistancefactor is high. β∗

2 is a stress- and depth-dependent term, i.e.,how close are the dopant atoms to the maximum stress at thesurface. If dopant atoms are located near the surface, β∗

2 islow, and then, β∗ depends on β∗

1 only. If the dopant atomsare distributed equally across the thickness of the cantilever,β∗

2 ≈ 0.5tcβ∗1, and β∗ approaches zero. Finally, the thickness

determines whether β∗1 or β∗

2 is dominant (17): β∗ depends moreon β∗

1 for thick cantilevers where the piezoresistance factoreffect is more important and on β∗

2 for thin cantilevers wherethe depth effect is more important.

We calculated β∗1 and β∗

2 based on TSUPREM4 simulationsfor inert (N2) annealing (Fig. 9) and provided a lookup table(Table II). The oxide layer is also required for passivation;

therefore, we also calculated β∗1 and β∗

2 for a typical processwith 1500-Å wet isolation oxide. One can estimate β∗ usingthe lookup table values and (17). For example, in the case ofa 10-μm-thick cantilever with 2 · 1015 cm−2 implantation doseand a 30-min N2 anneal at 1000 ◦C, β∗ is 0.50 (0.53–0.13/5).To achieve a β∗ larger than zero in (17), cantilever thicknessshould be larger than two times β∗

2; therefore, in the case ofthe previous example, the cantilever thickness should be greaterthan 0.26 μm.

V. EXPERIMENTAL VALIDATION

We validated the analytical model by comparing it withnumerical simulation and experimental measurements of ion-implanted piezoresistive cantilevers. Based on three differentpiezoresistance factors (the theoretical values of Richter et al.[32], Harley and Kenny’s empirical model [4], and Kanda’stheoretical values [28]), we calculated β∗ from displacementsensitivity (13) and resonant frequency (≈ tc

√E/ρs/(2πl2c))

equations measured by LDV

β∗ =SdV,measured

3π4

√Eρsπl,max

(1 − 0.5 lp

lc

)γf0Vbridge

(20)

where ρs is the density of the cantilever and γ is calculatedusing finite-element analysis.

The predicted force sensitivity and β∗ are in good agreementwith the experimental results (Fig. 10). Fig. 10(a) shows thechange in resistance based on the analytical model and exper-imental results when a point force is applied at the tip. Thefits from Harley’s and Richter’s data are more accurate thanKanda’s at the high dopant concentrations (> 5 · 1019 cm−3)applicable to our devices. Fig. 10(b)–(d) shows the force sen-sitivity and β∗ as a function of diffusion length for cantileversof varying ion implantation doses and cantilever dimensions.Most of the results are within 5% of the model; however, thereare a few points where the deviation from the analytical modelis up to 20%. Errors could result from the lateral spreadingof dopants due to diffusion, fabrication and experimental un-certainty, or operating temperature fluctuations with resistanceheating. The contribution of electrical current due to the lateralspreading of dopants is up to 6% in our case (wp/tp ∼ 5). Theuncertainty of measured β∗ in (20) is 7.4% from an uncertainty

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 9: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

PARK et al.: PIEZORESISTIVE CANTILEVER PERFORMANCE PART I 145TA

BL

EII

LO

OK

UP

TA

BL

EF

OR

β∗ 1

AN

Dβ∗ 2

(IN

MIC

RO

ME

TE

RS)

WIT

HB

OR

ON

IMP

LA

NT

AT

ION

.TH

EF

IRS

TN

UM

BE

RG

IVE

NIS

FO

RA

TY

PIC

AL

PR

OC

ES

SW

ITH

1500

-ÅW

ET

ISO

LA

TIO

NO

XID

EW

ITH

N2

AN

NE

AL

ING

,W

HIL

EV

AL

UE

SIN

PAR

EN

TH

ES

ES

AR

EF

OR

INE

RT

(N2)

AN

NE

AL

ING

AL

ON

E.T

HE

WE

TIS

OL

AT

ION

OX

IDE

WA

SG

RO

WN

AT

TH

EIN

DIC

AT

ED

PR

OC

ES

ST

EM

PE

RA

TU

RE

FO

R66

-,15

-,A

ND

5-m

inO

XID

AT

ION

TIM

EF

OR

900

◦ C,

1000

◦ C,A

ND

1100

◦ C,R

ES

PE

CT

IVE

LY

,AN

DT

HE

WA

FE

RIS

TH

EN

AN

NE

AL

ED

AT

TH

ES

AM

EP

RO

CE

SS

TE

MP

ER

AT

UR

EF

OR

TH

ET

IME

IND

ICA

TE

DIN

TH

ET

AB

LE

.β∗

OF

PIE

ZO

RE

SIS

TIV

ED

EV

ICE

ISC

AL

CU

LA

TE

DU

SIN

G(1

7).F

OR

EX

AM

PL

E,β

∗O

F10

-μm

-TH

ICK

DE

VIC

EW

ITH

2·1

015

cm−

2

IMP

LA

NT

AT

ION

DO

SE

AT

50K

EV

AN

DA

30-m

inN

2A

NN

EA

LA

T10

00◦ C

IS0.

53F

RO

Mβ∗

=β∗ 1−

β∗ 2/0.5

t c=

0.5

5−

0.1

3/5

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 10: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

146 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 19, NO. 1, FEBRUARY 2010

Fig. 10. Validation of the analytical model. (a) Comparison of analytical models for the piezoresistance factor as a function of dopant concentration andexperimental results of changes in resistance of a typical piezoresistive cantilever (2000-μm-long 30-μm-wide 15-μm-thick cantilever with 350-μm-long by10-μm-wide U-shaped piezoresistor having the dopant profile in Fig. 2(d)) based on piezoresistance factors of the theoretical values of Richter [32], Harley andKenny’s empirical model [4], and Kanda’s theoretical values [28]. (b) Force sensitivity of piezoresistive cantilevers with various cantilever dimensions. (c and d)β∗ of 7- and 15- μm-thick piezoresistive cantilever. Each point of (b) indicates a sensitivity result of one device with different cantilever dimensions, and eachpoint of (c and d) represents measured β∗ values of two to seven devices from different wafers fabricated with different process conditions. The (solid lines)analytical model is in good agreement with (circles) experimental results.

analysis [37] including variation in lp/lc, γ, the Young’s mod-ulus, density, and the piezoresistance coefficient possibly fromthe misalignment of the cantilevers from the [110] direction aswell as the undercut of the clamped boundary during backsiderelease. Measured β∗ values from thin cantilevers depart fromthe model more than thick cantilevers. We believe the caseswith larger errors due to the thermal resistance of the cantileverand the decrease in piezoresistance with temperature [32].

For typical 7-μm-thick cantilevers with 15-min wet oxida-tion and 10-min N2 annealing at 1000 ◦C, β∗ = 0.50 fromTSUPREM4, β∗ = 0.46 from dopant profiles from spreadingresistance analysis [Fig. 2(d)], and β∗ = 0.49 ± 0.04 (N = 5devices and 1 wafer) from experimental sensitivity. AlthoughTSUPREM4 predicts a greater junction depth than that mea-sured with spreading resistance analysis, β∗ is dominated by thehigh-concentration regions where the agreement between thetwo is excellent. In addition, we found that β∗ for various can-tilever geometries (1686–3175 μm long and 30–200 μm wide)from a single wafer have only 0.04 standard deviation. This

repeatability demonstrates that all devices from a single wafer(with uniform device thickness) have the same β∗, independentof cantilever geometry.

VI. CONCLUSION

We have presented an improved analytical model of piezore-sistive cantilever sensitivity. In addition, we investigated theeffect of cantilever design and process parameters (device thick-ness, implant dose, implant energy, dopant atom, and annealingatmosphere) on the efficiency factor and force sensitivity. Wefound that there are two competing effects: the depth effect andthe piezoresistance factor effect, which determine the overallefficiency factor β∗. Optimal conditions may be defined tomaximize the efficiency factor and sensitivity when the twocompeting effects are balanced. We characterized numerouspiezoresistive cantilevers over a range of process conditions andverified that the model agrees with simulation and experiment.By separating the wafer processing parameters from cantilever

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 11: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

PARK et al.: PIEZORESISTIVE CANTILEVER PERFORMANCE PART I 147

geometry, the design process is simplified, and process andgeometry design are decoupled. Finally, we have also provideda lookup table for design guidance, so that other researcherscan quickly and accurately predict sensitivity for piezoresistivedevices.

APPENDIX

VII. CALCULATION OF TOTAL CHANGE IN

RESISTANCE OF PIEZORESISTOR

Consider a thin slice of cantilever with tslice thickness. Thetotal resistance of the slice is

Rslice = 2

lp∫0

ρ(z)wptslice

dy =2ρlp

wptslice. (21)

Similarly, the change in resistance of a slice of the piezoresistordue to piezoresistivity is

ΔRslice = 2

lp∫0

Δρ(x, y, z)wptslice

dy. (22)

Dividing (22) by (21), we calculate the ratio of resistancechange

ΔRslice

Rslice=

1lp

lp∫0

Δρ

ρdy = πlσ̄l + πtσ̄t (23)

where σ̄l and σ̄t are the averages of longitudinal and transversestresses in longitudinal direction, respectively (

∫ lp0 σldy/lp and∫ lp

0 σtdy/lp).We integrate the conductance change, which is the inverse

of the resistance change of slices across the thickness of thecantilever to calculate the total change in the resistance of thepiezoresistor. Since the slices of the piezoresistor are connectedin parallel, we calculate conductance rather than resistance.Overall, conductance is

G =

tc/2∫−tc/2

wp

2ρlpdz =

wp

2lp

tc/2∫−tc/2

1ρdz. (24)

Since the change in resistance is the negative of the changein conductance (ΔR/R = −ΔG/G), the change in conduc-tance is

ΔG = −ΔR

R2= −

t/2∑z=−t/2

1Rslice

ΔRslice

Rslice. (25)

Using (21) and (23),

ΔG = −wp

2lp

tc/2∫−tc/2

1ρ(πlσ̄l + πtσ̄t)dz. (26)

Then, using (24) and (26), we can calculate the change inresistance

ΔR

R= −ΔG

G=

∫ tc/2

−tc/21ρ (πlσ̄l + πtσ̄t)dz∫ tc/2

−tc/21ρdz

. (27)

We introduce the longitudinal piezoresistance factor Pl =πl/πl,max and the transverse piezoresistance factor Pt =πt/πt,max, where πl,max and πt,max are the maximum longitu-dinal and transverse piezoresistivities as a function of directionat 300 K, respectively. Then, the change in resistance is

ΔR

R=

πl,max

∫ tc/2

−tc/2 qμpPlσ̄ldz∫ tc/2

−tc/2 qμpdz+

πt,max

∫ tc/2

−tc/2 qμpPtσ̄tdz∫ tc/2

−tc/2 qμpdz.

(28)

ACKNOWLEDGMENT

This work was performed in part at the Stanford Nano-fabrication Facility (a member of the National NanotechnologyInfrastructure Network) which is supported by the NationalScience Foundation under Grant 9731293, its laboratory mem-bers, and the industrial members of the Stanford Center forIntegrated Systems. The authors would like to thank the staffof the Stanford Nanofabrication Facility for the help withthe fabrication. The authors are grateful to N. Harjee andJ. R. Mallon, Jr., for the helpful discussions.

REFERENCES

[1] A. A. Barlian, W.-T. Park, J. Mallon, A. J. Rastegar, and B. L. Pruitt,“Semiconductor piezoresistance for microsystems,” Proc. IEEE, vol. 97,no. 3, pp. 513–552, Mar. 2009.

[2] M. Tortonese, R. Barrett, and C. Quate, “Atomic resolution with anatomic force microscope using piezoresistive detection,” Appl. Phys. Lett.,vol. 62, no. 8, pp. 834–836, Feb. 1993.

[3] O. Hansen and A. Boisen, “Noise in piezoresistive atomic force mi-croscopy,” Nanotechnology, vol. 10, no. 1, pp. 51–60, Mar. 1999.

[4] J. Harley and T. Kenny, “1/f noise considerations for the design andprocess optimization of piezoresistive cantilevers,” J. Microelectromech.Syst., vol. 9, no. 2, pp. 226–235, Jun. 2000.

[5] X. Yu, J. Thaysen, O. Hansen, and A. Boisen, “Optimization of sensitivityand noise in piezoresistive cantilevers,” J. Appl. Phys., vol. 92, no. 10,pp. 6296–6301, Nov. 2002.

[6] T. C. Duc, J. F. Creemer, and P. M. Sarro, “Piezoresistive cantilever beamfor force sensing in two dimensions,” IEEE Sensors J., vol. 7, no. 1,pp. 96–104, Jan. 2007.

[7] S.-J. Park, M. B. Goodman, and B. L. Pruitt, “Analysis of nematodemechanics by piezoresistive displacement clamp,” Proc. Nat. Acad. Sci.U.S.A., vol. 104, no. 44, pp. 17 376–17 381, Oct. 2007.

[8] Y. Kanda and A. Yasukawa, “Optimum design considerations for sili-con piezoresistive pressure sensors,” Sens. Actuators A, Phys., vol. 62,no. 1–3, pp. 539–542, Jul. 1997.

[9] A. Merlos, J. Santander, M. Alvarez, and F. Campabadal, “Optimizedtechnology for the fabrication of piezoresistive pressure sensors,” J.Micromech. Microeng., vol. 10, no. 2, pp. 204–208, Jun. 2000.

[10] B. Bae, B. Flachsbart, K. Park, and M. Shannon, “Design optimiza-tion of a piezoresistive pressure sensor considering the output signal-to-noise ratio,” J. Micromech. Microeng., vol. 14, no. 12, pp. 1597–1607,Dec. 2004.

[11] C. Pramanik, H. Saha, and U. Gangopadhyay, “Design optimization ofa high performance silicon MEMS piezoresistive pressure sensor forbiomedical applications,” J. Micromech. Microeng., vol. 16, no. 10,pp. 2060–2066, Oct. 2006.

[12] C.-H. Cho, R. C. Jaeger, J. C. Suhling, Y. Kang, and A. Mian, “Charac-terization of the temperature dependence of the pressure coefficients ofn- and p-type silicon using hydrostatic testing,” IEEE Sensors J., vol. 8,no. 4, pp. 392–400, Apr. 2008.

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.

Page 12: Piezoresistive Cantilever Performance—Part I: Analytical Model … · 2020. 3. 16. · 110 direction (E = 169GPa)of a silicon-on-insulator wafer. P-type piezoresistors were formed

148 JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 19, NO. 1, FEBRUARY 2010

[13] J. Bartholomeyczik, S. Brugger, P. Ruther, and O. Paul, “Multi-dimensional CMOS in-plane stress sensor,” IEEE Sensors J., vol. 5, no. 5,pp. 872–882, Oct. 2005.

[14] M. Doelle, D. Mager, P. Ruther, and O. Paul, “Geometry optimization forplanar piezoresistive stress sensors based on the pseudo-Hall effect,” Sens.Actuators A, Phys., vol. 127, no. 2, pp. 261–269, Mar. 2006.

[15] Y. Li, M. Papila, T. Nishida, L. Cattafesta, and M. Sheplak, “Modelingand optimization of a side-implanted piezoresistive shear stress sensor,” inProc. SPIE’s Int. Symp. Smart Struct. Mater., San Diego, CA, Feb. 2006.

[16] S. Bhardwaj, M. Sheplak, and T. Nishida, “S/N optimization andnoise considerations for piezoresistive microphones,” in Proc. 16th Int.Conf. Noise Phys. Syst. 1/f Fluctuations, Gainesville, FL, Oct. 2001,pp. 549–552.

[17] K. I. Lee, H. Takao, K. Sawada, and M. Ishida, “Low temperature depen-dence three-axis accelerometer for high temperature environments withtemperature control of SOI piezoresistors,” Sens. Actuators A, Phys.,vol. 104, no. 1, pp. 53–60, Mar. 2003.

[18] Z. Wang and Y. Xu, “Design and optimization of an ultra-sensitivepiezoresistive accelerometer for continuous respiratory sound monitor-ing,” Sens. Lett., vol. 5, no. 2, pp. 450–458, Jun. 2007.

[19] J. Lee and W. P. King, “Improved all-silicon microcantilever heaters withintegrated piezoresistive sensing,” J. Microelectromech. Syst., vol. 17,no. 2, pp. 432–445, Apr. 2008.

[20] J. Lee, C. M. Spadaccini, E. V. Mukerjee, and W. P. King, “Differentialscanning calorimeter based on suspended membrane single crystal siliconmicrohotplate,” J. Microelectromech. Syst., vol. 17, no. 6, pp. 1513–1525,Dec. 2008.

[21] A. Hierlemann, D. Lange, C. Hagleitner, N. Kerness, A. Koll, O. Brand,and H. Baltes, “Application-specific sensor systems based on CMOSchemical microsensors,” Sens. Actuators B, Chem., vol. 70, no. 1–3,pp. 2–11, Nov. 2000.

[22] M. Yang, X. Zhang, K. Vafai, and C. S. Ozkan, “High sensitivity piezore-sistive cantilever design and optimization for analyte-receptor binding,” J.Micromech. Microeng., vol. 13, no. 6, pp. 864–872, Nov. 2003.

[23] A. Loui, F. T. Goericke, T. V. Ratto, J. Lee, B. R. Hart, and W. P. King,“The effect of piezoresistive microcantilever geometry on cantilever sen-sitivity during surface stress chemical sensing,” Sens. Actuators A, Phys.,vol. 147, no. 2, pp. 516–521, Oct. 2008.

[24] S.-J. Park, A. J. Rastegar, J. R. Mallon, A. A. Barlian, T. H. Fung, andB. L. Pruitt, “Ion implanted piezoresistive cantilever design and per-formance,” in Proc. Solid State Sens., Actuators, Microsyst. Workshop,Hilton Head Island, SC, Jun. 2008, pp. 98–101.

[25] J. C. Doll, S.-J. Park, and B. L. Pruitt, “Design optimization of piezoresis-tive cantilevers for force sensing in air and water,” J. Appl. Phys., vol. 106,no. 6, p. 064 310, Sep. 2009.

[26] B. Pruitt and T. Kenny, “Piezoresistive cantilevers and measurement sys-tem for characterizing low force electrical contacts,” Sens. Actuators A,Phys., vol. 104, no. 1, pp. 68–77, Mar. 2003.

[27] C. Smith, “Piezoresistance effect in germanium and silicon,” Phys. Rev.,vol. 94, no. 1, pp. 42–49, Apr. 1954.

[28] Y. Kanda, “Piezoresistance effect of silicon,” Sens. Actuators A, Phys.,vol. 28, no. 2, pp. 83–91, Jul. 1991.

[29] W. Mason, J. Forst, and L. Tornillo, “Recent developments in semicon-ductor strain transducers,” in Proc. Instrum. Soc. Amer. 15th Annu. Conf.,1962, pp. 110–120.

[30] O. Tufte and E. Stelzer, “Piezoresistive properties of silicon diffusedlayers,” J. Appl. Phys., vol. 34, no. 2, pp. 313–318, Feb. 1963.

[31] D. Kerr and A. Milnes, “Piezoresistance of diffused layers in cubic semi-conductors,” J. Appl. Phys., vol. 34, no. 4, pp. 727–731, Apr. 1963.

[32] J. Richter, J. Pedersen, M. Brandbyge, E. Thomsen, and O. Hansen,“Piezoresistance in p-type silicon revisited,” J. Appl. Phys., vol. 104, no. 2,p. 023 715, Jul. 2008.

[33] R. S. Muller and T. I. Kamins, Device Electronics for Integrated Circuits.New York: Wiley, 2003.

[34] W. R. Runyan and K. E. Bean, Semiconductor Integrated Circuit Process-ing Technology. Reading, MA: Addison-Wesley, 1990.

[35] C. Bergaud, E. Cocheteau, L. Bary, R. Plana, and B. Belier, “Formation ofimplanted piezoresistors under 100-nm thick for nanoelectromechanicalsystems,” in Proc. 15th IEEE Int. Conf. Micro Electro Mech. Syst., LasVegas, NV, Jan. 2002, pp. 360–363.

[36] J. D. Plummer, M. D. Deal, and P. B. Griffin, Silicon VLSI Technology:Fundamentals, Practice, and Modeling. New York: Prentice-Hall, 2000.

[37] J. P. Holman, Experimental Methods for Engineers. New York:McGraw-Hill, 2001.

Sung-Jin Park (S’05–M’09) received the B.S. andM.S. degrees in mechanical engineering from SeoulNational University, Seoul, Korea, in 1999 and 2003,respectively, and the Ph.D. degree from StanfordUniversity, Stanford, CA, in 2009. During his Ph.D.studies, he developed a microelectromechanical-system-based tool, namely, a force clamp thatuses appropriately scaled piezoresistive cantileversand piezoelectric actuators with programmablereal-time controllers to study mechanisms ofmechanotransduction.

He is currently working with Prof. B. L. Pruitt as a Postdoctoral Researcherat Stanford University. His research interests include micro-/nanofabrication,device characterization and optimization, and system integration for biologicalmeasurements.

Joseph C. Doll (S’09) received the B.S. degreein mechanical engineering from the University ofCalifornia, Berkeley, in 2006, and the M.S. degreefrom Stanford University, Stanford, CA, in 2009,where he is currently working toward the Ph.D.degree in mechanical engineering, focusing on thedevelopment of high-bandwidth force sensors andactuators to study the molecular basis of mechan-otransduction in neurons.

Mr. Doll is the recipient of the award forbest abstract at Microtechnologies in Medicine and

Biology 2009.

Beth L. Pruitt (S’99–M’02) received the B.S. de-gree in mechanical engineering from the Massa-chusetts Institute of Technology, Cambridge, in1991, and the M.S. degree in manufacturing systemsengineering and the Ph.D. degree in mechanical en-gineering from Stanford University, Stanford, CA,in 1992 and 2002, respectively, supported by boththe Hertz Foundation Fellowship and the StanfordFuture Professors of Manufacturing Fellowship.

She was an Officer in the U.S. Navy with tours asan Engineering Project Manager at Naval Reactors,

Washington, DC, and as an Engineering Instructor at the U.S. Naval Academy.She joined the mechanical engineering faculty at Stanford University in 2003.Her research interests include microelectromechanical systems, particularlymetrologies for material characterization and biological measurements, andmanufacturing and design for packaging, systems integration, and biomedicaldevices.

Dr. Pruitt is a Certified Professional Engineer. She is the recipient of theNational Science Foundation CAREER Award and the Defense AdvancedResearch Projects Agency Young Faculty Award.

Authorized licensed use limited to: Stanford University. Downloaded on February 3, 2010 at 18:58 from IEEE Xplore. Restrictions apply.