283
BİLGİSAYAR DESTEKLİ DEVRE TASARIMI NI MULTISIM VE TEMEL DEVRE UYGULAMALARI DERS NOTU ÖĞR. GÖR. ÖZER ŞENYURT 2012

Multisim 10

  • Upload
    haphuc

  • View
    349

  • Download
    23

Embed Size (px)

Citation preview

Page 1: Multisim 10

BİLGİSAYAR DESTEKLİ DEVRE TASARIMI

NI MULTISIM VE TEMEL DEVRE UYGULAMALARI

DERS NOTU

ÖĞR. GÖR. ÖZER ŞENYURT

2012

Page 2: Multisim 10
Page 3: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

I

İÇİNDEKİLER 1. MULTISIM 10 – KULLANICI ARAYÜZÜ ............................................................................................... 3

1.1. Multisim Arayüzüne Giriş ............................................................................................................. 3 1.2. Araç Çubukları ............................................................................................................................. 3

1.2.1. Standart Araç Çubuğu .......................................................................................................... 4 1.2.2. Main araç çubuğu ................................................................................................................. 4 1.2.3. Simulation Araç Çubuğu ....................................................................................................... 5 1.2.4. View Araç Çubuğu ................................................................................................................ 5 1.2.5. Components Araç Çubuğu ................................................................................................... 5 1.2.6. Virtual Araç Çubuğu .............................................................................................................. 6 1.2.7. Graphic Annotation Araç Çubuğu ......................................................................................... 6 1.2.8. Instruments Araç Çubuğu ..................................................................................................... 6

1.3. Pop Up Menüler ........................................................................................................................... 7 1.3.1. Devre Penceresinden Açılır Pencere, Seçilmiş Eleman Yok ............................................... 7 1.3.2. Seçilmiş Bir Eleman Veya Araçtan Açılır Menü .................................................................... 8 1.3.3. Seçilmiş Bir Kablodan Açılır Menü ....................................................................................... 9 1.3.4. Seçilmiş Metin Bloğu veya Grafikten Açılır Menü ................................................................. 9 1.3.5. Bir Başlık Bloğundan Açılır Menü ......................................................................................... 9 1.3.6. Yorum veya Ölçüm Probu Açılır Menüsü ........................................................................... 10

1.4. Şema Çizim Tercihlerinin Ayarları ............................................................................................. 10 1.4.1. Tercihler İletişim Kutusunun Kullanılması ........................................................................... 10 1.4.2. Sheet Properties İletişim Kutusunun Kullanılması .............................................................. 12

1.5. Design Toolbox .......................................................................................................................... 14 1.5.1. Visibility Sekmesi ................................................................................................................ 14 1.5.2. Hierarchy Sekmesi .............................................................................................................. 15

1.6. Arayüzün Özelleştirilmesi .......................................................................................................... 15 1.6.1. Commands Sekmesi ........................................................................................................... 16 1.6.2. Toolbars Sekmesi ............................................................................................................... 16 1.6.3. Keyboard Sekmesi .............................................................................................................. 16 1.6.4. Menu Sekmesi .................................................................................................................... 16 1.6.5. Options Sekmesi ................................................................................................................. 17 1.6.6. Customization Açılır Menüsü .............................................................................................. 17

2. ŞEKİL ÇİZİM TEMELLERİ ................................................................................................................... 19 2.1. Şekil Çizimine Giriş .................................................................................................................... 19 2.2. Çoklu Devre Pencereleri İle Çalışma ........................................................................................ 19 2.3. Veritabanından Bileşenleri Seçmek .......................................................................................... 19 2.4. Bileşenlerin Yerleştirilmesi......................................................................................................... 19

2.4.1. Alan Bileşen Tarayıcısının Kullanılması ............................................................................. 19 2.4.2. Sanal Bileşenleri Yerleştirme .............................................................................................. 23 2.4.3. In Use List Kullanımı ........................................................................................................... 25 2.4.4. İki Uçlu Pasif Bileşenler ...................................................................................................... 25 2.4.5. Yerleştirilmiş Bileşenlerin Seçimi ........................................................................................ 25 2.4.6. Yerleştirilmiş Bir Elemanı Taşıma ....................................................................................... 26 2.4.7. Yerleştirilmiş Bileşenin Kopyalanması ................................................................................ 27 2.4.8. Yerleştirilmiş Bir Bileşenin Yeniden Yerleştirilmesi ............................................................ 28 2.4.9. Bileşen Renginin Denetimi.................................................................................................. 28

2.5. Bileşenleri Kablolama ................................................................................................................ 28 2.5.1. Bileşenleri Otomatik Olarak Kablolama .............................................................................. 29 2.5.2. Bileşenleri elle kablolama ................................................................................................... 31 2.5.3. Otomatik Birleştirme ve Manüel Kablolama........................................................................ 31 2.5.4. Bağlantı Olmayan Uçları Etiketleme ................................................................................... 31 2.5.5. Çalışma Alanı Üzerine Doğrudan Kablo Yerleştirme ......................................................... 32 2.5.6. Kablolama Tercihleri Ayarı.................................................................................................. 32 2.5.7. Kablo Yolunu Düzenleme ................................................................................................... 33 2.5.8. Kablo Renk Kontrolü ........................................................................................................... 33 2.5.9. Bir Kabloyu Taşıma ............................................................................................................ 33 2.5.10. Sanal Kablolama ................................................................................................................. 33

2.6. Manüel Olarak Bir Bağlantı Noktası Ekleme ............................................................................. 34 2.7. Yerleştirilmiş Bileşenlerin Döndürülmesi ve Çevrilmesi ............................................................ 34 2.8. Devrenizde Bileşenlerin Bulunması ........................................................................................... 35 2.9. Etiketleme .................................................................................................................................. 36

2.9.1. Bileşen Etiketleri ve Niteliklerini Düzenleme....................................................................... 36

Page 4: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

II

2.9.2. Ağ İsimlerini Düzenlenmesi ................................................................................................ 36 2.9.3. Bir Başlık Bloğu Ekleme...................................................................................................... 37 2.9.4. Çeşitli Metinler Ekleme ....................................................................................................... 38 2.9.5. Açıklama Ekleme ................................................................................................................ 38 2.9.6. Grafik Açıklaması ................................................................................................................ 39 2.9.7. Ekran Yakalama Alanı ........................................................................................................ 40

2.10. Circuit Description Kutusu ......................................................................................................... 41 2.10.1. Circuit Description Kutusunun Biçimlendirilmesi ................................................................ 42 2.10.2. Simülasyonu Süresince Olayların Kaydırılması .................................................................. 44 2.10.3. Description Edit Çubuğu ..................................................................................................... 47

2.11. Bir Devreye Bir Şekil Bağlama .................................................................................................. 47 2.11.1. Şekiller Oluşturma ............................................................................................................... 48 2.11.2. Soruya Bağlantı Ekleme ..................................................................................................... 48 2.11.3. Form Alt Görev Seçenekleri Ayarlama ............................................................................... 49 2.11.4. Tamamlanan Formlar.......................................................................................................... 49

2.12. Devre Yazdırma ......................................................................................................................... 49 3. ŞEMATİK ÇİZİM – GELİŞMİŞ FONKSİYONLAR ............................................................................... 51

3.1. Yerleştirilmiş Bileşenlerin Özellikleri .......................................................................................... 51 3.1.1. Yerleşmiş Bileşen Hakkında Tanımlayıcı Bilginin Görüntülenmesi .................................... 51 3.1.2. Yerleşmiş Bileşenin Değer / Model Görünümü ................................................................... 51 3.1.3. Yerleşmiş Bileşenin Analizde Nasıl Kullanıldığını Kontrol Etme......................................... 53 3.1.4. Yerleştirilmiş Bileşenlerin Kullanıcı Alanlarını Düzenleme ................................................. 54

3.2. Bileşenlere Hatalar Atama ......................................................................................................... 54 3.2.1. Yerleştirilmiş Bileşene Hatalar Ayarlama ............................................................................ 54 3.2.2. Otomatik Hata Seçeneğinin Kullanılması ........................................................................... 54

3.3. Spreadsheet Görünümü ............................................................................................................ 54 3.3.1. Spreadsheet View Results Sekmesi ................................................................................... 55 3.3.2. Spreadsheet View Nets Sekmesi ....................................................................................... 56 3.3.3. Spreadsheet View Components Sekmesi .......................................................................... 57 3.3.4. Spreadsheet View PCB Layers Sekmesi............................................................................ 58 3.3.5. Spreadsheet View Buttons ................................................................................................. 58

3.4. Başlık Bloğu Düzenleyici ........................................................................................................... 58 3.4.1. Enter Text İletişim Kutusu ................................................................................................... 59 3.4.2. Alanları Yerleştirme ............................................................................................................. 59 3.4.3. Title Block Editor Çizelge Görünümü (3.4.3) ...................................................................... 61 3.4.4. Title Block Editor Menüleri .................................................................................................. 61 3.4.5. Title Block Editor Araç Çubukları ........................................................................................ 64

3.5. Elektriksel Kurallar Denetimi ...................................................................................................... 67 3.5.1. ERC Options Sekmesi ........................................................................................................ 69 3.5.2. ERC Kurallar Sekmesi ........................................................................................................ 70 3.5.3. Component’s Pins Sekmesi ................................................................................................ 71

4. DAHA BÜYÜK TASARIMLARLA ÇALIŞMAK .................................................................................... 73 4.1. Düz Çoklu Sayfa Tasarımı ......................................................................................................... 73

4.1.1. Çoklu Sayfa İletişim Kutusunu Silme .................................................................................. 73 4.2. Hiyerarşik Tasarım ..................................................................................................................... 73

4.2.1. İç İçe Geçmiş Devreler........................................................................................................ 74 4.2.2. İç İçe Geçmiş Bir Devrede Bileşen Numaralandırma ......................................................... 74 4.2.3. İç İçe Geçmiş Devrelerde Ağ Numaralandırma .................................................................. 75 4.2.4. Genel Ağlar ......................................................................................................................... 75 4.2.5. Bir Hiyerarşik Blok Ekleme ................................................................................................. 76 4.2.6. Bir Altdevre Ekleme ............................................................................................................ 77 4.2.7. Ana Sayfa Görüntüleme...................................................................................................... 77

4.3. Bileşen Örneklerini Yeniden Adlandırma ................................................................................... 78 4.3.1. Referans İşaretleme Önek Kurulum İletişimi ...................................................................... 78

4.4. Buses ......................................................................................................................................... 80 4.4.1. Bir Bus Yerleştirme ............................................................................................................. 81 4.4.2. Bus Özellikleri ..................................................................................................................... 82 4.4.3. Busları Birleştirme ............................................................................................................... 83 4.4.4. Bir Busu Kablolama ............................................................................................................ 83 4.4.5. Bus Vektör Bağlantısı ......................................................................................................... 85

4.5. Değişkenler ................................................................................................................................ 88 4.5.1. Değişiklikleri Ayarlama ........................................................................................................ 88 4.5.2. Değişikliklerde Parçalar Yerleştirme ................................................................................... 90

Page 5: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

III

4.6. Proje Yöneticisi ve Sürüm Kontrol ............................................................................................. 94 4.6.1. Projeleri Ayarlama .............................................................................................................. 95 4.6.2. Projelerle Çalışma .............................................................................................................. 95 4.6.3. Projelerdeki Bulunan Dosyalar ile Çalışmak....................................................................... 96 4.6.4. Sürüm Kontrol ..................................................................................................................... 96

5. BİLEŞENLER ....................................................................................................................................... 97 5.1. Bileşen Veritabanının Yapısı ..................................................................................................... 97

5.1.1. Veritabanı Seviyeleri ........................................................................................................... 97 5.1.2. Veritabanındaki Bileşenlerin Sınıflandırılması .................................................................... 97

5.2. Veritabanında Bileşenleri Konumlandırma ................................................................................ 98 5.2.1. Bileşenler İçin Tarama ........................................................................................................ 98 5.2.2. Bileşenler İçin Arama .......................................................................................................... 98

5.3. Bileşenler İçin Bilgi Depolamanın Türleri ................................................................................... 99 5.3.1. Ön Tanımlı Alanlar .............................................................................................................. 99 5.3.2. Kullanıcı Alanları ................................................................................................................. 99

5.4. Veritabanı Yönetimi ................................................................................................................... 99 5.4.1. Görüntülenmiş Bileşenlerin Filtrelenmesi ......................................................................... 100 5.4.2. Bileşenleri Silme ............................................................................................................... 100 5.4.3. Bileşenleri Kopyalama ...................................................................................................... 100 5.4.4. Yerleştirilmiş Bileşenleri Saklama ..................................................................................... 100 5.4.5. Veritabanları Arasında Bileşenleri Taşıma ....................................................................... 101 5.4.6. Aileleri Yönetme ................................................................................................................ 101 5.4.7. Kullanıcı Alan Başlıkları ve İçeriğini Değiştirme ............................................................... 101 5.4.8. Veritabanı Bilgisini Görüntüleme ...................................................................................... 102 5.4.9. Bileşenleri Düzenleme ...................................................................................................... 102

5.5. Veritabanlarını Dönüştürme..................................................................................................... 102 5.6. Veritabanlarından Bileşenleri Güncelleştirme ......................................................................... 102 5.7. Database’yi Birleştirme ............................................................................................................ 103

6. SİMÜLASYON .................................................................................................................................... 105 6.1. En İyi Tasarım İçin Simülasyon Kullanma ............................................................................... 105 6.2. Multisim Simülasyonunu Kullanma .......................................................................................... 105

6.2.1. Etkileşimli Bileşenler ......................................................................................................... 105 6.2.2. Multisim’de Bileşen Toleransları ....................................................................................... 106 6.2.3. Simülasyonu Başlatma / Durdurma / Duraklatma ............................................................ 106 6.2.4. Devre Tutarlılık Kontrolü ................................................................................................... 107 6.2.5. Şekil Olmaksızın Ağ Listesinden Simülasyon................................................................... 107

6.3. Multisim SPICE Simülasyonu: Teknik Ayrıntılar ...................................................................... 107 6.4. RF Simülasyonu ...................................................................................................................... 107 6.5. MultiVHDL................................................................................................................................ 107 6.6. Devre Sihirbazları .................................................................................................................... 108

6.6.1. 555 Zamanlayıcı Sihirbazı ................................................................................................ 108 6.6.2. Filtre Sihirbazı ................................................................................................................... 110 6.6.3. Ortak Emiter BJT Yükselteç Sihirbazı .............................................................................. 111 6.6.4. Opamp Sihirbazı ............................................................................................................... 112

6.7. Simülasyon Hata Günlüğü / Denetim Raporu ......................................................................... 113 6.8. Simülasyon Hata Yardımı ........................................................................................................ 113 6.9. Yakınsaklık Yardımcısı ............................................................................................................ 114 6.10. Kaydetme / Yükleme Simülasyon Tercihleri ............................................................................ 114

6.10.1. Bir Simülasyon Tercihini Saklama .................................................................................... 114 6.10.2. Bir Simülasyon Tercihi Yükleme ....................................................................................... 115

6.11. En İyi Tasarım İçin Simülasyonu Kullanma ............................................................................. 115 7. ARAÇ GEREÇLER ............................................................................................................................ 117

7.1. Multisim Araçlarına Giriş .......................................................................................................... 117 7.1.1. Araçlar İle Simülasyon Verilerini Kaydetme...................................................................... 117 7.1.2. Bir Devreye Bir Araç Ekleme ............................................................................................ 117 7.1.3. Aracı Kullanma ................................................................................................................. 118 7.1.4. Çoklu Araçlarla Çalışma ................................................................................................... 118 7.1.5. Araç Verilerini Kaydetme .................................................................................................. 118

7.2. Yazdırma Araçları .................................................................................................................... 119 7.3. Etkileşimli Simülasyon Ayarları ............................................................................................... 119

7.3.1. Sorun Çözme Simülasyon Hataları .................................................................................. 119 7.4. Multimetre ................................................................................................................................ 120

7.4.1. Multimetre Ayarları ............................................................................................................ 120

Page 6: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

IV

7.5. Fonksiyon Jeneratörü .............................................................................................................. 122 7.5.1. Fonksiyon Jeneratörü Ayarları .......................................................................................... 123

7.6. Wattmetre ................................................................................................................................ 123 7.6.1. Wattmetre Bağlantısı ........................................................................................................ 123

7.7. Osiloskop ................................................................................................................................. 124 7.7.1. Osiloskop Ayarları ............................................................................................................. 125 7.7.2. Osiloskop Sonuçlarını Görüntüleme ................................................................................. 126

7.8. Bode Çizici ............................................................................................................................... 127 7.8.1. Bode Çizici Ayarları ........................................................................................................... 127 7.8.2. Bode Çizici Sonuçlarını Görüntüleme ............................................................................... 128

7.9. Word Jeneratör ........................................................................................................................ 129 7.9.1. Word Jeneratör Ayarları .................................................................................................... 129

7.10. Logic Analizör .......................................................................................................................... 131 7.10.1. Lojik Analizör Ayarları ....................................................................................................... 132

7.11. Lojik Dönüştürücü .................................................................................................................... 133 7.11.1. Lojik Dönüştürücü Ayarları ................................................................................................ 134

7.12. Distorsiyon Analizör ................................................................................................................. 135 7.12.1. Distorsiyon Analizör Ayarları ............................................................................................. 135

7.13. Spektrum Analizör ................................................................................................................... 135 7.14. Network Analizör ...................................................................................................................... 135 7.15. Ölçüm Probu ............................................................................................................................ 136

7.15.1. Ölçüm probu ayarları ........................................................................................................ 136 7.16. Dört Kanallı Osiloskop ............................................................................................................. 139

7.16.1. Dört Kanallı Osiloskobun Ayarları ..................................................................................... 139 7.16.2. Dört Kanallı Osiloskop Sonuçlarını Görüntüleme ............................................................. 142 7.16.3. Dört Kanallı Osiloskobun Bağlantısı ................................................................................. 144

7.17. Frekans Sayıcı ......................................................................................................................... 145 7.17.1. Frekans Sayıcının Kullanımı ............................................................................................. 145

7.18. IV Analizör ............................................................................................................................... 146 7.18.1. IV Analizörün Kullanımı..................................................................................................... 147 7.18.2. IV Analizör Veri Yeniden Görüntüleme ............................................................................. 150

7.19. Agilent Simüle Edilmiş Cihazlar ............................................................................................... 152 7.20. Tektronix Simüle edilmiş osiloskop .......................................................................................... 153 7.21. Voltmetre ................................................................................................................................. 153

7.21.1. Voltmetre Kullanımı ........................................................................................................... 153 7.22. Ampermetre ............................................................................................................................. 154

7.22.1. Ampermetre Kullanımı ...................................................................................................... 154 7.23. Akım Probu .............................................................................................................................. 154

7.23.1. Current Probe Özellikleri ................................................................................................... 155 8. NI MULTISIM TEMEL DEVRELER: RLC Devreler ........................................................................... 157

8.1. Paralel DC Devre ..................................................................................................................... 158 8.2. Seri-Paralel DC Devre ............................................................................................................. 159 8.3. Akım Analizi ............................................................................................................................. 161 8.4. Millman’ın Teoremi 1 ............................................................................................................... 162 8.5. Millman’ın Teoremi 2 ............................................................................................................... 163 8.6. Kirchhoff’un Akım Kanunu ....................................................................................................... 164 8.7. Thevenin Teoremi .................................................................................................................... 165 8.8. Süperpozisyon Prensibi ........................................................................................................... 167 8.9. Norton’un Teoremi ve Kaynak Dönüşümü ............................................................................... 168 8.10. AC Gerilim Ölçümü .................................................................................................................. 170 8.11. Seri RL Ağının Frekans Cevabı ............................................................................................... 171 8.12. RL Yüksek ve Alçak Geçiren Filtre .......................................................................................... 172 8.13. Seri RC Ağının Frekans Cevabı .............................................................................................. 173 8.14. RC Yüksek ve Alçak Geçiren Filtre ......................................................................................... 174

9. NI MULTISIM TEMEL DEVRELER: Diyotlar .................................................................................... 175 9.1. Merkez Tam Dalga Doğrultucu ................................................................................................ 176 9.2. Köprü Doğrultucu ..................................................................................................................... 177 9.3. Kondansatör Girişli Doğrultucu Filtresi .................................................................................... 178 9.4. Diyot Kırpıcı (Sınırlayıcı) .......................................................................................................... 179 9.5. Diyot Kırpıcı ............................................................................................................................. 180 9.6. Diyot Kenetleyici (DC Yükleyici) .............................................................................................. 181 9.7. Diyot Gerilim Çiftleyici .............................................................................................................. 182 9.8. Zener Diyot ve Gerilim Regülasyonu ....................................................................................... 183

Page 7: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

V

9.9. Zener Diyot ve Gerilim Regülasyonu 2 .................................................................................... 184 9.10. Zener Diyot ve Gerilim Regülasyonu 3 .................................................................................... 185

10. NI MULTISIM TEMEL DEVRELER: Transistörler ........................................................................... 187 10.1. Emiter Ön Gerilimli NPN transistör .......................................................................................... 188 10.2. Gerilim Bölücülü Ön Gerilimli PNP Transistör ......................................................................... 189 10.3. NPN Çalışma Noktası .............................................................................................................. 190 10.4. Beyz Öngerilimli Transistör...................................................................................................... 191

11. NI MULTISIM TEMEL DEVRELER: Amplifikatörler ........................................................................ 193 11.1. B Sınıfı Push-Pull Amplifikatör................................................................................................. 194 11.2. B Sınıfı Push-Pull E-F Amplifikatör .......................................................................................... 195 11.3. A Sınıfı Güç Amplifikatörü ....................................................................................................... 196 11.4. Darlington Çifti ......................................................................................................................... 197 11.5. Kollektör Geri Beslemeli Amplifikatör ...................................................................................... 198 11.6. Kaynak Dirençli Ortak Emiterli Amplifikatör ............................................................................. 199 11.7. Ortak Emiterli Amplifikatör ....................................................................................................... 200 11.8. Beyz’i Ortak Amplifikatör ......................................................................................................... 201 11.9. Ortak Kollektörlü Amplifikatör .................................................................................................. 202 11.10. İki Aşamalı Ortak Kollektörlü Amplifikatör ............................................................................... 203 11.11. İki Aşamalı Ortak Emiterli Amplifikatör .................................................................................... 204 11.12. İki Aşamalı Ortak Beyzli Amplifikatör ....................................................................................... 205

12. NI MULTISIM TEMEL DEVRELER: Opamp’lar ............................................................................... 207 12.1. Tersleyen Amplifikatör ............................................................................................................. 208 12.2. AC Giriş İşaretli Tersleyen Amplifikatör ................................................................................... 209 12.3. Terslemeyen Amplifikatör ........................................................................................................ 210 12.4. Terslemeyen Opamp Devresi .................................................................................................. 211 12.5. Opamp Karşılaştırıcı ................................................................................................................ 212 12.6. Sınırlandırılmış Karşılaştırıcı ................................................................................................... 213 12.7. Çift-Sınırlandırılmış Karşılaştırıcı ............................................................................................. 214 12.8. Pencere Dedektörü .................................................................................................................. 215 12.9. Schmitt Trigger ........................................................................................................................ 216 12.10. Sıfırdan Farklı Seviye Dedektörü ............................................................................................ 217 12.11. Pozitif Tersleyen Gerilim Dedektörü ........................................................................................ 218 12.12. Pozitif Gerilim Dedektörü ......................................................................................................... 219 12.13. Tersleyen Toplayıcı ................................................................................................................. 220 12.14. Terslemeyen Toplayıcı ............................................................................................................ 221 12.15. Opamp Fark Alıcı ..................................................................................................................... 222 12.16. Temel Fark Amplifikatörü ......................................................................................................... 223 12.17. Opamp Ortak Mod Reddetme ................................................................................................. 224 12.18. Duyarlı Uçlarla Temel Diferansiyel (Fark) Amplifikatör ........................................................... 225 12.19. Ortak Mod Gerilim Yükselteci .................................................................................................. 226 12.20. Üçgen-Dalga Generatör .......................................................................................................... 227 12.21. Üçgen-Sinüs Dalga Jeneratör ................................................................................................. 228 12.22. Negatif Çıkışlı Ölü Bölge Devresi ............................................................................................ 229 12.23. Pozitif Çıkışlı Ölü Bölge Devresi .............................................................................................. 230 12.24. İki Kutuplu Ölü Bölge Devresi .................................................................................................. 231 12.25. Tepe Dedektör ......................................................................................................................... 232 12.26. Hassas Kırpıcı ......................................................................................................................... 233 12.27. Hassas Tam Dalga Doğrultucu................................................................................................ 234 12.28. Temel Şönt (Paralel) Regülatör ............................................................................................... 235 12.29. Temel Opamp Serisi Regülatörü ............................................................................................. 236 12.30. Akım-Gerilim Serisi Regülatörü ............................................................................................... 237 12.31. Voltaj Takipçisi ......................................................................................................................... 238 12.32. Dijital Analog Dönüştürücü ...................................................................................................... 239 12.33. Servo Amplifikatör ................................................................................................................... 240 12.34. Çıkarıcı .................................................................................................................................... 241 12.35. Opamp İntegral Alıcı ................................................................................................................ 242

13. NI MULTISIM TEMEL DEVRELER: Filtreler .................................................................................... 243 13.1. Birinci Dereceden Alçak Geçiren Filtre .................................................................................... 244 13.2. İkinci Dereceden Alçak Geçiren Filtre ..................................................................................... 245 13.3. Sallen – Anahtar İkinci Dereceden Alçak Geçiş Filtresi .......................................................... 246 13.4. Üçüncü Dereceden Alçak Geçiren Filtre ................................................................................. 247 13.5. Yüksek Geçiren Aktif Filtre ...................................................................................................... 248 13.6. İkinci Dereceden Yüksek Geçiş Filtresi ................................................................................... 249

Page 8: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

VI

13.7. Butterworth İkinci Dereceden Yüksek Geçiren Filtre ............................................................... 250 13.8. Üçüncü Dereceden Yüksek Geçiren Filtre .............................................................................. 251 13.9. Geniş Bantlı Band Geçiren Filtre ............................................................................................. 252 13.10. Konuşma Filtresi ...................................................................................................................... 253 13.11. Dar Band Geçiren Filtre ........................................................................................................... 254 13.12. Aktif Band Geçiren Filtre .......................................................................................................... 255 13.13. Aktif Çentik (Notch) Filtre ......................................................................................................... 256

14. ÇEŞİTLİ DEVRELER ......................................................................................................................... 257 14.1. Şönt Geri Besleme Devresi ..................................................................................................... 258 14.2. TTL Inverter ............................................................................................................................. 259 14.3. TTL Gate .................................................................................................................................. 260 14.4. OR Kapı Devresi ...................................................................................................................... 261 14.5. Aşırı Sönümleyici Devre .......................................................................................................... 262 14.6. Kritik-Sönümleyici Devre.......................................................................................................... 263 14.7. Seri RLC Devresi 1 .................................................................................................................. 264 14.8. Clapp osilatör ........................................................................................................................... 265 14.9. Fark Yükselteci ........................................................................................................................ 266 14.10. Ortak Mod Fark Yükselteci ...................................................................................................... 267 14.11. Birim Kazanç Tamponlu LC Osilatör ....................................................................................... 268 14.12. Çentik (Notch) Filtre ................................................................................................................. 269 14.13. PNP Diferansiyel Çift ............................................................................................................... 270 14.14. Çaprazlama Ağ ........................................................................................................................ 271 14.15. İkinci Dereceden Yüksek Geçiren Chebyshev Filtresi ............................................................. 272 14.16. Üçüncü Dereceden Yüksek Geçiren Chebyshev Filtresi ......................................................... 273 14.17. Beşinci Dereceden Yüksek Geçiren Filtre ............................................................................... 274

Page 9: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

1

BİLGİSAYAR DESTEKLİ DEVRE TASARIMI - NI MULTISIM VE TEMEL DEVRE UYGULAMALARI Genel Bakış Bu ders notu ile Bilgisayar Destekli Devre Tasarımı yapabilmek için kullanılan Multisim 10 programının genel kullanımı ve ayrıntılı olarak programa ait pek çok işlev anlatılmıştır. Programı kullanabilme yeterliliğine ulaşan devre tasarımı ile uğraşanlar, çoğu durumda gerçek bir laboratuar gerekmeksizin sanal bir ortamda devre tasarımlarını gerçekleştirebilecektir. Tasarım süresince yapılacak çalışmalarda programın kullanılmasının avantajlarından faydalanarak, yüksek performans, güvenirlik, zaman tasarrufu sağlanmaktadır. Gerçekleştirilen devre tasarımı daha sonra baskılı devre kartı oluşturmak için Ultiboard veya başka bir PCB tasarım programına aktarılabilmekte ve bir devre tasarım süreci tamamlanmaktadır. Bu ders notunun hazırlanmasında üretici firmanın web sayfasında vermiş olduğu program kullanım kılavuzu ve diğer bilgi sayfaları ile ilgili konuyla ilgili forum sitelerinden faydalanılmıştır. Kullanım kılavuzu ve bilgi sayfalarının tercümesi yapılarak hazırlanmış olan bu ders notunda, Multisim programı ile ilgili bazı konulara yer verilmemiştir. NI Multisim temel devreler serileri elektroniğin anlaşılmasındaki gerekli çok sık kullanılan devreleri ve tasarım topolojileri sunar. Bu örnekler, yeni veya gelişmiş kullanıcılar için değişik bileşenlerin, tasarımların ve etkileşimli SPICE simülasyonları ve analizlerinin gücünü sergileyen bir kütüphane devrelerin inşasına faydalı başlama noktası sağlar. RLC, diyot, transistör, amplifikatör, opamp, filtreler ve çeşitli devreler içeren yedi bölüm içine ayrılmış devre gruplarını yükleyerek çalışabilirsiniz. Bu ders notu; Meslek Yükseokulu Elektrik, Elektronik, Otomasyon ve Haberleşme programlarında eğitim gören öğrencilerin Bilgisayar Destekli Tasarım dersinde Multisim programının genel kullanımı bilgileri ile temel devre uygulamalarını gerçekleştirebilecekleri uygulamalar içermektedir. Yapılacak devre uygulamaları ile öğrenci diğer derslerde öğrenmiş olduğu konuları pekiştirmiş, aynı zamanda elektronik devre tasarımları konusunda kendisini geliştirmiş olacaktır. Multisim Programı kullanıcı kılavuzu (Multisim 10 User Guide) programın bilgisayara kurulması ile C:\Program Files (x86)\National Instruments\Circuit Design Suite 10.0\documentation… dizini içinde bulunmaktadır. National Instruments tarafından hazırlanmış olan; “NI Multisim Fundamental Circuits” eğitim notunun tercümesi olarak hazırlanmış olan bu notun, orijinaline ve notla ilgili devrelere aşağıda verilen web sitesi üzerinden ulaşılabilmektedir. http://zone.ni.com/devzone/cda/tut/p/id/5662 Bu ders notunun hazırlanmasında yardım ve desteklerini esirgemeyen öğrencim Buse İclal YILMAZ’a teşekkürlerimi sunarım. Özer ŞENYURT Öğretim Görevlisi ŞUBAT 2012 www.ozersenyurt.net www.orbeetech.com

“Hazır olmayı beklemeyin, beklerseniz hiçbir zaman hazır olamazsınız.”

Page 10: Multisim 10
Page 11: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

3

Bölüm 1

1. MULTISIM 10 – KULLANICI ARAYÜZÜ

1.1. Multisim Arayüzüne Giriş

National Instruments devre tasarım ortamının simülasyon uygulamaları ve şema oluşturma, devre tasarım akışındaki esas adımların uygulanmasında yardımcı olan EDA (Electronics Design Automation) araçlarının ortamı Multisim’dir. Multisim şema giriş, simülasyon ve PCB tasarımı gibi aşamaları besleme için tasarlanmıştır. Multisim’in kullanıcı arayüzü aşağıdaki temel elemanları içerir:

Bütün işlevler için komutları bulacağınız yer menülerdir. Standart araç çubukları yaygın olarak tercih edilen işlevler için düğmeler içerir. Simulation araç çubuğu başlatma, durdurma ve diğer simülasyon işlevlerini içerir. Instruments araç çubuğu her bir araç için düğmeler içerir. Component araç çubuğu şeklinizde Multisim veritabanından yerleştirmek için eleman seçmenize imkân veren düğmeler içerir. Devre penceresi (veya çalışma alanı) devre tasarımını yapacağınız yerdir. Design Toolbox, farklı türdeki proje dosyalarının (şekiller, PCB’ler, raporlar) arasında dolaşmanıza, şema hiyerarşisinin görünümü ve farklı katmanların gösterilip gizlenmesine imkân verir. Spreadsheet görünümü bacak uçları, referans belirleyici, nitelik ve tasarım sınırları gibi eleman ayrıntıları içeren parametrelerin düzenlenmesi ve gelişmiş görüntülenmesine olanak sağlar. Kullanıcılar bazı veya bütün elemanlar için diğer işlevlerin tercih edilen belli bir sayıda parametrelerini değiştirebilirler.

1.2. Araç Çubukları

Multisim’de aşağıda listelenmiş araç çubukları kullanılır. Standart araç çubuğu, Main araç çubuğu, Simulation araç çubuğu, View araç çubuğu, Components araç çubuğu, Virtual araç çubuğu, Graphic Annotation araç çubuğu, Instruments araç çubuğu Not Eğer yukarıdaki araç çubukları görüntülenmiyorsa, View / Toolbar /< araç çubuğu ismi> seçilir.

Page 12: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

4

1.2.1. Standart Araç Çubuğu

Standart araç çubuğu yaygın olarak tercih edilen işlevleri için düğmeler içerir. Bu düğmeler aşağıda açıklanmıştır.

Düğme Açıklama

New düğmesi, Yeni devre dosyası oluşturur.

Open düğmesi, Mevcut bir devre dosyası açar.

Open Sample düğmesi, İçerik örnekleri ve başlarken dosyaları klasörünü açar.

Save düğmesi, Etkin devreyi kaydeder.

Print Circuit düğmesi, Etkin devreyi yazdırır.

Print Preview düğmesi, Yazdırılacak devrenin önizlemesidir.

Cut düğmesi, Seçilmiş elemanları kaldırır ve Windows panosuna yerleştirir.

Copy düğmesi, Seçilmiş elemanları kopyalar ve Windows panosuna yerleştirir.

Paste düğmesi, Windows panosunun içindekini işaretçinin konumuna ekler.

Undo düğmesi, Son olarak yapılan eylemi geri alır.

Redo düğmesi, Geri alınmış en son eylemi yeniden yapar.

1.2.2. Main araç çubuğu

Main araç çubuğundaki düğmeler aşağıda açıklanmıştır.

Düğme Açıklama

Toggle Design Toolbox düğmesi, Tasarım araç kutusunu açma kapama anahtarı.

Toggle Spreadsheet View düğmesi, Tablo görünümünü açma kapama anahtarı.

Database Manager düğmesi, Veritabanı yöneticisi iletişim kutusunu başlatır.

Create Component düğmesi, Eleman sihirbazını başlatır.

Grapher / Analyses düğmesi, Grafikçiyi görüntüler.

Postprocessor düğmesi, Postprocessor iletişim kutusunu görüntüler.

Electrical Rules Checking düğmesi, Devreyi takip eden kablolama için kurulmuş elektriki kuralları kontrol eder.

Capture Screen Area düğmesi, Ekran alanı yakalar.

Back Annotate From Ultiboard düğmesi,

Forward Annotate düğmesi,

In Use List devredeki etkin elemanların listesini görüntülemek için OK’a tıklayın.

Help düğmesi,

Page 13: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

5

1.2.3. Simulation Araç Çubuğu

Simulation araç çubuğu simülasyon süresince kullanılan düğmeler içerir.

Düğme Açıklama

Run / Resume Simulation düğmesi, Etkin devrenin simülasyonunu başlatır / yeniden başlatır.

Pause Simulaion düğmesi, Simülasyonu duraklatır.

Stop Simulation düğmesi, Simülasyonu durdurur.

Pause at Next MCU Istruction Boundary düğmesi, Multisim MCU modülü ile kullanmak için.

Step Into düğmesi, Multisim MCU modülü ile kullanmak için.

Step Over düğmesi, Multisim MCU modülü ile kullanmak için.

Step Out düğmesi, Multisim MCU modülü ile kullanmak için.

Run to Cursor düğmesi, Multisim MCU modülü ile kullanmak için.

Toggle Breakpoint düğmesi, Multisim MCU modülü ile kullanmak için.

Remove All Breakpoints düğmesi, Multisim MCU modülü ile kullanmak için.

1.2.4. View Araç Çubuğu

View araç çubuğundaki düğmeler aşağıda açıklanmıştır.

Düğme Açıklama

Toggle Full Screen düğmesi, Yalnız çalışma alanı görüntülenir.

Increase Zoom düğmesi, Etkin devreyi büyütür.

Decrease Zoom düğmesi, Aktif devrenin büyütmesini azaltır.

Zoom Area düğmesi, Çalışma alanı üzerinde büyütülmüş alanı seçerek işaretçiyi sürükleyin.

Zoom Fit to Page düğmesi, Sayfa yakınlaştırmasını ayarlar.

1.2.5. Components Araç Çubuğu

Components araç çubuğu düğmeleri aşağıda açıklanmıştır. Her bir düğme önseçimli düğme üzerinde belirtilmiş grup ile bileşen tarayıcı alanını (Select a Component tarayıcı) başlatacaktır.

Düğme Açıklama

Source düğmesi, Tarayıcıda kaynak bileşenler grubu seçilir.

Basic düğmesi, Tarayıcıdaki temel bileşenler grubu seçilir.

Diode düğmesi, Tarayıcıda diyot bileşenleri grubu seçilir.

Transistor düğmesi, Tarayıcıda transistör bileşenleri grubu seçilir.

Analog düğmesi, Tarayıcıda analog bileşenler grubu seçilir.

TTL düğmesi, Tarayıcıda TTL bileşenler grubu seçilir.

CMOS düğmesi, Tarayıcıda CMOS bileşenler grubu seçilir.

Miscellaneous Digital düğmesi, Tarayıcıda çeşitli sayısal bileşenler grubu seçilir.

Mixed düğmesi, Tarayıcıda karışık bileşenler grubu seçilir.

Power Components düğmesi, Tarayıcıda güç bileşenleri grubu seçilir.

Indicator düğmesi, Tarayıcıda gösterge bileşenleri grubu seçilir.

Miscellaneous düğmesi, Tarayıcıda çeşitli bileşenler grubu seçilir.

Electromechanical düğmesi, Tarayıcıda elektromekanik bileşenler grubu seçilir.

RF düğmesi, Tarayıcıda RF bileşenler grubu seçilir.

Place Advanced Peripherals düğmesi, Tarayıcıda gelişmiş çevresel bileşenler grubu seçilir.

Place MCU Module düğmesi, Tarayıcıda MCU modül bileşen grubu seçilir.

Page 14: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

6

1.2.6. Virtual Araç Çubuğu

Çalışma alanınıza sanal bileşenler eklemek için Virtual araç çubuğunu kullanın.

1.2.7. Graphic Annotation Araç Çubuğu

Graphic Annotation araç çubuğu düğmeleri aşağıda açıklanmıştır.

Düğme Açıklama

Picture düğmesi, Çalışma alanına bir resim eklemek için bu düğmeyi tıklayın.

Polygon düğmesi, Bir çokgen çizmek için bu düğmeyi tıklayın.

Arc düğmesi, Bir yay çizmek için bu düğmeyi tıklayın.

Ellipse düğmesi, Bir oval çizmek için bu düğmeyi tıklayın.

Rectangle düğmesi, Bir dörtgen çizmek için bu düğmeyi tıklayın.

Multiline düğmesi, Bir çoklu hat çizmek için bu düğmeyi tıklayın.

Line düğmesi, Bir çizgi çizmek için bu düğmeyi tıklayın.

Place Text düğmesi, Çalışma alanınıza çeşitli metinleri girebileceğiniz bir metin çerçevesi yerleştirir.

Place Comment düğmesi, Çalışma alanına bir yorum alanı eklemek için bu düğmeye tıklayın.

1.2.8. Instruments Araç Çubuğu

Instruments araç çubuğundaki düğmeler aşağıda açıklanmıştır. Her bir durumda, düğme çalışma alanına belirli bir araç yerleştirir. Multisim’in bazı sürümlerinde aşağıda belirtilen bütün elemanları içermez.

Düğme Açıklama

Multimeter düğmesi, Çalışma alanına bir multimetre yerleştirir.

Function Generator düğmesi, Çalışma alanı üzerine bir fonksiyon jeneratörü yerleştirir.

Wattmeter düğmesi, Çalışma alanına bir wattmetre yerleştirir.

Oscilloscope düğmesi, Çalışma alanına bir osiloskop yerleştirir.

Four Channel Oscilloscope düğmesi, Çalışma alanına dört kanallı bir osiloskop yerleştirir.

Bode Plotter düğmesi, Çalışma alanına bir bode çizici yerleştirir.

Frequency Counter düğmesi, Çalışma alanına bir frekans sayıcı yerleştirir.

Word Generator düğmesi, Çalışma alanı üzerine bir word jeneratörü yerleştirir.

Logic Analyzer düğmesi, Çalışma alanına bir lojik analizör yerleştirir.

Logic Converter düğmesi, Çalışma alanına bir lojik dönüştürücü yerleştirir.

IV-Analysis düğmesi, Çalışma alanına IV analizör yerleştirir.

Distortion Analyzer düğmesi, Çalışma alanına bir distorsiyon analizör yerleştirir.

Spectrum Analyzer düğmesi, Çalışma alanına bir spektrum analizör yerleştirir.

Network Analyzer düğmesi, Çalışma alanına bir ağ analizörü yerleştirir.

Agilent Function Generator düğmesi, Çalışma alanına bir Agilent Fonksiyon Jeneratörü yerleştirir.

Agilent Multimeter düğmesi, Çalışma alanına bir Agilent Multimetre yerleştirir.

Agilent Oscilloscope düğmesi, Çalışma alanına bir Agilent Osiloskop yerleştirir.

Tektronix Oscilloscope düğmesi, Çalışma alanına bir Tektronix Osiloskop yerleştirir.

Current Probe düğmesi, Çalışma alanına bir akım probu yerleştirir.

LabVIEW Instrument düğmesi, Çalışma alanına bir LabVIEW aracı yerleştirir.

Measurement Probe düğmesi, Şeklinizdeki herhangi bir kablo üzerinden akım, gerilim ve frekans ölçülen fare işaretçisi için bir prob ekler. Statik prob simülasyondan önce veya simülasyon süresince dinamik prob yerleştirilebilir. Statik prob yerleştirmek için oku kullanın.

Page 15: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

7

1.3. Pop Up Menüler

Ekranın üstündeki standart menülere göre (dosya, düzen gibi), içerik duyarlı kullanılabilir açılır menüler de vardır.

1.3.1. Devre Penceresinden Açılır Pencere, Seçilmiş Eleman Yok

Eğer bileşen seçmeden devre penceresi üzerine sağ tıklarsanız, uygun komutların bir açılır menüsü görüntülenir. Bu komutlar:

Komut Açıklama

Place Component Bileşen yerleştirmek için veritabanları (Master, Corporate ve User tarayıcısına izin verin.

Place Schematic- Component Eleman yerleştirmek için veritabanları (Master, Corporate ve User tarayıcısına izin verin.

Place Schematic - Junction Bir connector (Konektör) yerleştirir.

Place Schematic - Wire Çalışma alanı üzerine bir kablo yerleştirmek için kullanın.

Place Schematic - Bus Tıklatırken oluşturulmuş bölümlerle bir bus yerleştirir.

Place Schematic – HB /SC Connector

Bir hiyerarşik blok veya alt devrede kullanmak için bir devreye konektör ekler.

Place Schematic Off-Page Connector

Çalışma alanınıza kapalı sayfa konektörü yerleştirir.

Place Schematic Bus HB/SC Connector

Bir hiyerarşik blok veya alt devrede kullanmak için bir devreye bus konektörü ekler.

Place Schematic Bus Off-Page Connector

Çalışma alanınıza yalnız bus ile kullanmak için kapalı sayfa konektör yerleştirir.

Place Schematic Hierarchical Block From File

Hiyerarşik bir blok olarak gömülü olan bir dosyayı açar.

Place Schematic New Hierarchical Block

Hiyerarşik blok özellikleri iletişim kutusu görüntülenir.

Place Schematic Replace By Hierarchical Block

Bir hiyerarşik blok seçimini yeniden yerleştirir.

Place Schematic New Subcircuit

Çalışma alanına yeni bir alt devre yerleştirir.

Place Schematic Replace By Subcircuit

Bir alt devre seçimini yeniden yerleştirir.

Place Schematic Multi Page Yeni düz bir sayfa açar.

Place Schematic Merge Bus Seçilmiş busları birleştirir.

Place Schematic Bus Vector Connect

Bir busa entegre gibi çok uçlu bir aygıttan sayısız bağlantılar yerleştirmek için kullanın.

Place Graphic Text Devreye bir metin yerleştirmeye izin verir.

Place Graphic Line Çalışma alanınıza düz bir çizgi yerleştirir.

Place Graphic Multiline Çalışma alanına çoklu bir hat yerleştirir.

Place Graphic Rectangle Çalışma alanınıza bir dörtgen yerleştirir.

Place Graphic Ellipse Çalışma alanınıza bir oval yerleştirir.

Place Graphic Arc Çalışma alanınıza bir yay yerleştirir.

Place Graphic Polygon Çalışma alanınıza bir çokgen yerleştirir.

Place Graphic Picture Çalışma alanınıza bir resim yerleştirir.

Place Comment Çalışma alanına veya doğrudan bir elemana bir yorum ucu eklemek için kullanılır.

Cut Bir devreden seçilmiş bir parçayı kaldırır ve panoya yerleştirir.

Copy Devreden seçilmiş bir parçayı panoya kopyalar.

Paste Devrenize panonun geçerli içeriğini yapıştırır.

Delete Çalışma alanından seçimi siler.

Select All Çalışma alanına bütün elemanları seçer.

Toggle NC Marker Elemanın ucuna bağlı olmayan (NC) bir işareteyici yerleştirir.

Clear ERC Markers Çalışma alanından mevcut ERC işaretleyicileri temizler.

Paste as Subcircuit Bir alt devre gibi çalışma alanına panonun içeriğini yapıştırır.

Replace by Hierarchical Block Seçtiğiniz bir alt devre elemanını yeniden yerleştirir.

Font Devreniz için önbilgi ayarlarının bulunduğu bir iletişim kutusu görünür

Properties Tablo özellikleri iletişim kutusu görüntülenir. Çalışma alanı üzerinde seçili bir eleman olmadığından emin olun veya yerine elemanın özellikleri görüntülenecektir.

Page 16: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

8

1.3.2. Seçilmiş Bir Eleman Veya Araçtan Açılır Menü

Seçilmiş bir eleman veya araç üzerinde eğer sağ tıklarsanız, uygun komutların açılır menüsü görüntülenir. Bu komutlar:

Komut Açıklama

Cut Seçilmiş elemanları, devreleri veya metinleri kaldırır ve panoya yerleştirir.

Copy Seçilmiş elemanları, devreleri veya metinleri kaldırır ve panoya kopyalar.

Paste Çalışma alanına panonun içeriğini yerleştirir. İşaretçi yapıştırılmış parçanın hayali görüntüsünü gösterir. Yapıştırılmış olan parçanın yeri gösterdiğinde tıklayın.

Delete Seçimi çalışma alanından siler.

Flip Horizontal Seçimi yatay olarak döndürür.

Flip Vertical Seçimi düşey olarak döndürür.

90 Clockwise Seçimi saat yönünde 90 derece döndürür.

90 CounterCW Seçimi saat ibresinin tersine 90 derece döndürür.

Bus Vector connect Bus vektör bağlantı iletişim kutusu görüntülenir.

Replace by Hierarchical block

Hiyerarşik blok ile seçtiğiniz elemanları yeniden yerleştirir.

Replace by Subcircuit Bir alt devre ile seçtiğiniz elemanı yeniden yerleştirir.

Replace Components Yeni bir eleman seçebileceğiniz bir eleman tarayıcı seçmek için çağırır.

Edit Symbol / Title Block Seçilmiş parçaya bağlı olarak sembol düzenleyici veya başlık bloğu düzenleyiciyi başlatır.

Change Color Seçilmiş elemanların çizgilerinin rengini değiştirebileceğiniz renk paletini görüntüler.

Font Çalışma alanındaki farklı elemanların varsayılan değerlerinden yazı tiplerini değiştirir.

Reverse Probe Direction Seçilmiş ölçüm probu veya akım probunun polaritesini tersler.

Properties Eğer bir eleman seçilmiş ise elemanın özellikleri iletişim kutusu görüntülenir. Eğer bir araç seçilmiş ise araç yüzünü açar.

Page 17: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

9

1.3.3. Seçilmiş Bir Kablodan Açılır Menü

Devre penceresinde seçilmiş bir kablo üzerinde sağ tıklarsanız, uygun komutların açılır menüsü görüntülenir. Bu komutlar:

Komut Açıklama

Delete Çalışma alanından seçilmiş kabloyu siler.

Change Color Seçilmiş kablonun varsayılan değerden rengini değiştirir.

Segment Color Seçilmiş kablonun bölümünün varsayılan değerden rengini değiştirir.

Font Çalışma alanı üzerinde farklı elemanların varsayılan yazı tipini değiştirir.

Properties Ağ iletişim kutusunu görüntüler.

1.3.4. Seçilmiş Metin Bloğu veya Grafikten Açılır Menü

Devre penceresinde seçilmiş bir metin bloğu veya grafik üzerinde sağ tıklarsanız, uygun komutların açılır menüsü görüntülenir. Bu komutlar:

Komut Açıklama

Delete Seçilen parçayı siler.

Flip Horizontal Seçimi yatay olarak döndürür.

Flip Vertical Seçimi dikey olarak döndürür.

90 Clockwise Seçimi saat yönünde 90 derece döndürür.

90 CounterCW Seçimi saat ibresi tersine 90 derece döndürür.

Pen Color Seçilen parçan rengin varsayılan değerden değiştirir.

Pen Style Seçilmiş grafik için kalemin türünü değiştirir. Eğer metin seçilmiş ise kapalıdır.

Fill Color Seçilmiş dörtgen, oval ve çokgen için dolgu rengini değiştirir. Eğer herhangi bir grafik elemanı veya metin seçiliyse kapalıdır.

Fill Type Seçilmiş dörtgen, oval ve çokgen için dolgunun görünüm değiştirir. Eğer herhangi bir grafik elemanı veya metin seçiliyse kapalıdır.

Arrow Seçilmiş çizgi ve çoklu hatlar üzerine ok yerleştirir. Herhangi bir grafik elemanı veya metin seçilmiş ise kapalıdır.

Order Seçilmiş elemanı geriye göndermek veya öne getirmek için kullanın.

Assign to Layer Seçilmiş katman üzerine seçilmiş elemanı yerleştirir. Belirtilen katmandan bir elemanı kaldırmak için seçimi iptal eder.

Font Bir yazıtipi, yazı türü ve seçilmiş bir metin için boyut seçmenize izin verir.

Properties Bir metin bloğu veya grafikler için etkindir.

1.3.5. Bir Başlık Bloğundan Açılır Menü

Devre penceresinde seçilmiş bir başlık bloğuna sağ tıklarsanız uygun komutların açılır menüsü görüntülenir. Bu komutlar:

Komut Açıklama

Delete Seçilmiş başlık bloğunu siler.

Change Color Seçimin rengini değiştirebileceğiniz paleti görüntüler.

Edit Symbol / Title Block Başlık blok düzenleyicisini başlatır.

Move to – Bottom Left Belgenin sol alt köşesinde seçilmiş başlık bloğu yerleştirir.

Move to – Bottom Right Belgenin sağ alt köşesinde seçilmiş başlık bloğu yerleştirir.

Move to – Top Left Belgenin sol üst köşesinde seçilmiş başlık bloğu yerleştirir.

Move to – Top Right Belgenin sağ alt köşesinde seçilmiş başlık bloğu yerleştirir.

Properties Başlık bloğunda gördüğünüz bilgiyi değiştirmenize izin verir.

Page 18: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

10

1.3.6. Yorum veya Ölçüm Probu Açılır Menüsü

Seçilmiş yorum veya ölçüm probu üzerine sağ tıklarsanız, uygun komutların açılır menüsü görüntülenir. Bu komutlar:

Komut Açıklama

Cut Seçilmiş parçayı kaldırır ve panoya yerleştirir.

Copy Seçilmiş parçayı kopyalar ve panoya yerleştirir.

Paste Çalışma alanına panonun içeriğini yerleştirir. İşaretçi yapıştırılacak olan parçanın hayali resmini gösterir.

Delete Seçilmiş parça çalışma alanından silinir.

Show Comment / Probe Yorumun içeriğini veya yerleştirilmiş probu görüntüler.

Edit Comment Seçilmiş bir yorum için yalnızca aktiftir. Yorumun içine metin girmek için kullanın.

Reverse Probe Direction Seçilmiş bir prob için yalnızca aktiftir. Probun polaritesini tersler.

Font Çalışma alanı üzerinde farklı elemanların yazıtipini varsayılandan farklı değiştirir.

Properties Seçilmiş elemana bağlı olarak yorum özellikleri veya prob özellikleri iletişim kutusu görüntülenir.

1.4. Şema Çizim Tercihlerinin Ayarları

Multisim tercihlerinin görünümünü fiili olarak devrenizde kullandığınız renkler, sayfa boyutu, yakınlaştırma seviyesi, otomatik yedekleme aralığı, sembol ayarı (ANSI veya DIN) ve yazıcı ayarları dâhil özelleştirebilirsiniz. Özelleştirme ayarlarınız kullandığınız her bir devre dosyası ile bireysel olarak kaydedilir. Örneğin bir devre için bir şema rengi ve diğer farklı bir devre için başka bir renk gibi. Bireysel durumlar için (örneğin belirli bir elemanı kırmızıdan turuncuya) veya bütün devre için ayarları geçersiz yapabilirsiniz. Özelleştirme şu şekilde yapılır:

Preferences iletişim kutusu – genel tercihleri ayarlamak için kullanın. Bu tercihler bilgisayardan bilgisayara değişebilir.

Sheet Properties iletişim kutusu – etkin tablo için tercihleri ayarlamada kullanın. Bu tercihler devre dosyaları ile saklanır. Başka bir bilgisayarda eğer devre açılırsa aynı ayarlar kullanılacaktır.

1.4.1. Tercihler İletişim Kutusunun Kullanılması

Bu bölüm tercih ayarları için genel süreçleri açıklar. Takip eden bölümlerde özel işlem ayarlarının ayrıntıları açıklanacaktır. Kullanıcı tercihlerinizi ayarlamak için: 1. Options / Global Preferences seçin. Preferences iletişim kutusu görüntülenir, aşağıdaki sekmeler

bulunur:

Paths – veritabanları ve diğer parçalar için dosya yollarını değiştirebileceğiniz yerdir.

Save – otomatik yedekleme zamanlamasını ayarlayacağınız ve araçlar ile simülasyon verileri kaydetmek isteyip istemediğiniz yerdir.

Parts – eleman yerleştirme durum ve sembol standartlarını ayarlayacağınız yerdir. Faz kaydırma yönü ve dijital simülasyon ayarlarını yapabileceğiniz yerdir.

General – dörtgen seçim davranışını, fare tekeri davranışını, bus kablolama ve otomatik kablolama davranışını ayarlayacağınız yerdir.

2. İstediğiniz sekmeyi seçiniz. 3. İstediğiniz özelleştirme seçeneklerini ayarlayın. Özel seçenekler ve sekmelerdeki elde edilebilir

ayarlar bu bölümün takip eden kısımlarında açıklanmıştır. 4. Değişiklikleri kaydetmek için OK (tamam) tıklayın. 1.4.1.1. Preferences – Paths sekmesi Multisim kurulumu özel konumlara özel dosyaları koyar. Eğer gerekirse yeni bir konumu örneğin veritabanı dosyasını bulmak için Multisim’e yolu gösterebilirsiniz. Bütün seçenekler için, bireysel tercihlerinizi içeren özel kullanıcı ayarları dosyalarını oluşturmak için bu iletişim kutusunu kullanabilirisiniz. Dosya konumlarını ayarlamak için: 1. Options / Global Preferences seçin. Preferences iletişim kutusu görüntülenir. 2. Paths sekmesini seçin ve farklı elemanlar için uygun konumlara ulaşın.

Page 19: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

11

İsterseniz dosyanın yeni konumlarına ulaşmak için ( ) düğmesini tıklayın. Not En önemli ayar, kaydedildiğinde manüel olarak yeni bir konuma ulaşmadıkça bütün yeni dosyaların saklandığı yer, Circuit Default Path yoludur. User Button Images Path kullanıcının oluşturduğu düğme grafiklerinin depolandığı yerdir.

3. Farklı görünüm dosyalarını kullanmak için uygun kullanıcı ayarlar dosyasına ulaşın. 4. Yeni kullanıcı görünüm dosyası oluşturmak için New User Configuration File From Template

tıklayın. Bir şablon olarak kullanılacak görünüm dosyası seçmek istediğinizde yeni görünüm dosyası için bir isim girin.

5. Language iletişim kutusunda elde edilebilir dili seçin. 1.4.1.2. Preferences – Save Sekmesi Bu sekmedeki seçenekler otomatik yedekleme zamanlamasını ayarlamamıza ve araçlar ile simülasyon verisi kaydetmeyi isteyip istemediğinizi ayarlamanıza izin verir. Kaydet tercihlerini ayarlamak için:

1. Options / Global Preferences’i seçin ve Preferences iletişim kutusunda görüntülenen Save sekmesini tıklayın.

2. İstediğiniz gibi aşağıdakileri ayarlayın.

Create a Security Copy – bir kaydetme yaptığınızda bir güvenlik kopyası kaydetmek için yetkilendirir.

Auto-Backup – Auto-Backup Interval alanında belirtilen aralıkta kaydedilen bir kurtarma dosyası oluşturma için yetkilendirir.

Save Simulation Data with Instruments – devre dosyaları ile araçlar üzerinde görüntülenen verileri kaydetmek için yetkilendirir. Maximum Size alanında simülasyon verilerinin en büyük boyutunu girin.

Save .TXT files as plain text (not Unicode) – rapordan tek kodlu metin dosyası biçiminde değil, düz metin dosya biçiminde olacak metin dosyaları kaydeder.

1.4.1.3. Preferences – Parts Sekmesi Bu sekmedeki seçenekler varsayılan eleman yerleştirme durumu, sembol standartı (ANSI veya DIN), faz kayma yönü ve dijital simülasyon ayarlarının ayarlandığı yeri belirler. Parçalar tercihlerini ayarlamak için: 1. Options / Global Preferences’i seçin ve Preferences iletişim kutusunda görüntülenen Parts sekmesini

tıklayın. 2. Place Component Mode kutusunda, birini seçin:

Place Single Component – seçilmiş bir elemanı bir kerede yerleştirmeye izin verir.

Continuous Placement for Multisection Part Only – çok bölümlü bir bileşenin çoklu bölümlerini yerleştirmeye izin verir. Örneğin 7400N dört NAND kapısı vardır. Bu yüzden bu seçeneği kullanarak bir 7400N’yi yerleştirirken aynı zamanda farklı bir NAND kapısını yerleştireceğiniz anlamına gelir.

Page 20: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

12

Continuous Placement – her bir eleman yerleştirildikten sonra çalışma alanına tıklayarak sürekli olarak aynı türde birkaç eleman yerleştirmenize izin verir. ESC düğmesine basarak sürekli yerleştirmeyi sonlandırabilirsiniz.

Tercihen, çalışma alanına bir eleman yerleştirdikten sonra Select a Component iletişim kutusunu görüntülemek için Return to Component Browser After Placement onay kutusunu etkinleştirin. Bu çok parçalı yerleştirme yaptığınızda faydalıdır. (Parça yerleştirme tamamlandığında ESC düğmesini tıklayın). 3. Symbol Standart kutusunda:

Bileşenler için kullanılan (ANSI veya DIN) simge ayarlarını seçin. Grafik seçilmiş simge ayarını göstermek için değişir.

4. Positive Phase Shift Direction kutusunda:

Pozitif faz kayma için istediğiniz yönü seçin. (Bu ayar yalnızca AC kaynaklar faz parametrelerinde geçerli olacaktır).

5. Digital Simulation Settings kutusunda:

Sayısal bileşenlerin simülasyonu yapıldığında çıkışın gerçekçiliği ayarlanır. 1.4.1.4. Preferences – General Sekmesi Bu sekmede dörtgen seçimi, farenin davranışı, otomatik kablolama davranışını ayarlayın. Parçalar tercihini ayarlamak için: 1. Options / Global Preferences’i seçin ve Preferences iletişim kutusunda görünen General sekmesine

tıklayın. 2. Selection Rectangle kutusunda Intersecting veya Fully Enclosed’dan birini seçin. 3. Mouse Wheel Behavior kutusunda birini seçin:

Zoom Workspace – fare tekeri çalışma alanında yakınlaştırma ve uzaklaştırmaya izin verir.

Scroll Workspace – fare tekeri sayfayı yukarı ve aşağı kaydırmanıza izin verir. 4. Show Line To Component When Moving İts Text ve Show Line To Location When Moving Parts onay

kutularından istediğinizi etkinleştirin. 5. Wiring kutusunda kablolamada kullanılan otomasyon derecesini denetlemede istediğiniz seçenekleri

seçin. 6. Delete Associate Wires When Deleting Component bileşen silindiğinde bağlı olan kabloları silmek

isterseniz etkinleştirin; eğer onay kutusunu etkinleştirmezseniz bileşen silinince kalacaktır.

1.4.2. Sheet Properties İletişim Kutusunun Kullanılması

Sheet properties iletişim kutusu her tablo için tercihleri ayarlamakta kullanılır. Bu tercihler devre başka bir bilgisayarda açıldığında aynı ayarları kullanacağı için devre dosyası ile kaydedilir. Tablo tercihlerini ayarlamak için: 1. Options / Sheet Properties seçin veya Çalışma alanının boş bir yerinde sağ tıklayın ve Properties

görüntülenen açılır menüden seçiniz. Çalışma alanı üzerinde herhangi bir elemanı (örneğin bileşen, kablo) seçmeyin veya seçilmiş eleman için iletişim kutusu özellikleri yerine görüntülenecektir.

Sheet Properties iletişim kutusu görüntülenir, aşağıdaki sekmeler görünür:

Circuit – renk düzeni ve çalışma alanı metnin özellikleri görüntülerini ayarladığınız yerdir.

Workspace – tablo boyutu ve özelliklerini ayarladığınız yerdir.

Wiring – kablo ve bus seçeneklerini ayarladığınız yerdir.

Font – devre üzerindeki metin elemanları için yazıtipi, yazıtipi boyutu ve türü seçtiğiniz yerdir.

PCB – baskılı devre kartınız için seçenekleri ayarladığınız yerdir.

Visibility – özel açıklama katmanının aktif veya pasif yaptığınız yerdir. 2. İstediğiniz sekmeyi seçiniz. 3. İstediğiniz özelleştirme seçeneğini ayarlayın. Sekmede elde edilebilir belirli seçenekler ve ayarlar Bu

bölümün takip eden bölümlerinde açıklanmaktadır. 4. Save as Default onay kutusu normal olarak etkindir. Eğer ayarları varsayılan olarak kaydetmek

istemiyorsanız onay kutusunu etkisizleştirin. File / New oluşturduğunuzda bu varsayılan ayarlar kullanılır.

5. Değişikliklerinizi kaydetmek için OK tıklayın. Eğer değişiklikleri iletişim kutusunu kapatmadan uygulamak istiyorsanız Apply tıklayın.

1.4.2.1. Sheet Properties – Circuit Sekmesi Bu sekmedeki seçenekler devre tarzını denetler ve çalışma alanı ve görünen ayrıntıların seviyesi üzerinde o bileşenler görüntülenir. Multisim devre penceresi arka plan rengi, kablo rengi ve bileşen rengini etkileyen birkaç farklı renk düzeni ile gelir. Bireysel ihtiyaçlarınızı karşılamak için kendi renk düzeninizi geliştirebilirsiniz. 1. Component kutusunda, çalışma alanı üzerinde görüntülemek istediğiniz bu parçaları etkinleştirin.

Etkinleştirdiğiniz bu seçeneklerin sonuçları öngörünüm alanında görüntülenir. Simge ve uç ayakizi isimleri için:

Page 21: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

13

Symbol Pin Names onay kutusu – tam onaylandığında bütün simgelerin uç isimlerini gösterir; onaylanmadığında simgelerin uç isimleri görüntülenmez; gri onaylandığında varsayılan davranışlarını göstermede bu bileşenlerin simge uç isimlerini gösterir.

Footprint Pin Names onay kutusu – tam olarak onaylandığında, bütün ayakizi uç isimlerini görüntüler; onaylanmadığında ayakizi uç isimlerini görüntülemez; gir onaylandığında, varsayılan davranışlarını göstermede bu bileşenlerin ayakizi uç isimlerini gösterir.

Not Uç isimleri ve sayıları Design Toolbox etkin olmayan Visibility sekmesinde onaylama ve onaylamama yukarıda detaylandırıldığı gibi görüntülenerek yapılır. 2. Net Names kutusunda, ağ isimlerinin nasıl gösterileceği seçilir:

Show All – çalışma alanı üzerinde bütün ağ isimlerini görüntülemek için onaylayın. Use Net-Specific Setting – Net iletişim kutusunda ayarlar gibi ağ isimlerini göstermek için onaylayın.

Hide All – çalışma alanı üzerinde bütün ağ isimlerini gizlemek için onaylayın. 3. Bus Entry kutusunda, eğer bus hatları isimlerini görüntülemek istiyorsanız Show Labels onay

kutusunu etkinleştirin. 4. Color kutusunda:

Yerleşik renk düzenlerinden birini kullanmak için:

Aşağı açılır listeden düzeni seçiniz.

Düzenin ayarlarının bir görüntüsü listenin altında ön görünüm kutusunda görüntülenir. Özel renk düzeni oluşturmak için:

Aşağı açılır listeden Custom seçin.

Herhangi bir parçaya bitişik renk düğmesine tıklayın. Bir Color seçici iletişim kutusu görüntülenir.

Bu parça için kullanmak istediğiniz renk üzerine tıklayın ve OK tıklayın.

Sheet Properties iletişim kutusuna geri dönersiniz.

Seçtiğiniz sonuç öngörünüm kutusunda görünür.

Bütün renk ayarlarını yapana kadar tekrarlayın. 1.4.2.2. Sheet Properties – Workspace Sekmesi Bu sekmedeki seçenekler devre penceresinin görünümünü ve davranışını belirler. Multisim devre oluşturma için kullanabileceğiniz standart sayfa boyutları ile gelir. Özel sayfanızı yapmak için bu boyutların ayarlarını düzenleyebilirsiniz. 1. Show kutusunda istediğiniz gibi Show Grid, Show Page Bounds ve Show Border onay kutularını

etkinleştirin. Sonuçlar öngörünüm alanında gösterilecektir. 2. Sheet Size kutusunda açılır listeden istenilen boyutu seçin. 3. Orientation kutusunda Portrait veya Landspace seçin. 4. Custom Size kutusunda istediğiniz gibi genişlik ve yüksekliği ayarlayabilirsiniz. 1.4.2.3. Sheet Properties – Wiring Sekmesi Bu sekmedeki seçenekler kablo genişliğini, bus genişliğini ve bu kablolama durum seçeneklerini denetler. 1. Drawing Option kutusunda Wire Width ve Bus Width akım veya sonraki kablolar ve buslar için

istendiği gibi değiştirilir. 2. Bus Wiring Mode kutusunda net veya busline seçilir. 1.4.2.4. Sheet Properties – Font Sekmesi Bu sekme metin içeren çalışma alanındaki elemanlarının hepsinin veya bir kısmının yazıtipi parametrelerini ayarlamada kullanılır. Uyarı Çok büyük yazıtipleri değişimi etiketlerin sınırları aşmasına veya çakışmaya sebep olabilir. Devrenin herhangi bir metin elemanı için yazıtipini değiştirmek için: 1. Font, Font Style ve Size istenilen eleman için seçin.

Multisim Kiril ve Asya yazıtiplerini kullanmanıza izin veren Unicode karakterler destekler. Bu yüzden Unicode uyumlu olan yazıtipi seçmek istediğinizden emin olun veya istediğiniz karakter bir dörtgen gibi görüntülenecektir.

2. Sekmenin Change All kısmında aşağıdaki seçeneklerden değiştirmek istediğiniz elemanları seçin.

Component RefDes – bileşenlerin tek tanımlayıcıdır, örneğin R22.

Component Values and Labels – bileşenlerin özellikleri iletişim kutusunun Value sekmesinde tanımlanmış ve bileşen tarafından kullanılan değerdir.

Page 22: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

14

Component Attributes – bileşen nitelikleri iletişim kutusunu kullanarak bileşenleri belirlemede açıklayıcı bilgiler ekleyin.

Footprint Pin Names – PCB ortamına aktarıldığında bir uca isim atanır.

Symbol Pin Names – örneğin GND (toprak için) bir uca isim atanır.

Net Names – bir ağı devrenize yerleştirdiğinizde ağa otomatik olarak isim atanır.

Schematic Texts – Place / Text komutu kullanarak devrenize not ekleyin.

Comments and Probes – Place / Comment komutundan devrenize eklenen yorumlarda metin bulunur.

Busline Name – bir bus hattına atadığınız isimdir. Not Apply to kutusunda, Entire Circuit, Change All kutusunda seçilmiş elemanların bütün örnekleri için yazıtipini değiştirir. Belirli bölümler için yazıtipini değiştirmek için istediğiniz elemana sağ tıklayın ve açılır listeden Font seçin veya önce Sheet Properties iletişim kutusu açmadan seçin, sonra Font sekmesinde Selection düğmesini etkinleştirin. 1.4.2.5. Sheet Properties – PCB sekmesi Bu sekme PCB ortamı için veri gönderildiğinde kullanılan seçenekleri ayarlamada kullanılır. 1. Ground Option kutusunda, PCB ortam paketine aktarıldığında sayısal ve analog topraklar aynı

olmasını istiyorsanız Connect Digital Ground To Analog Ground’u seçin. 2. Export Settings kutusunda PCB ortamına gönderme süresinde kullanılan Units’i seçin. 3. Number of Copper Layers’e istediğiniz girin. Bu değer arttıkça bakır katmanların (iç) sayısı artar ve

Number of Copper Layers’ın açılır listede yansıtılır. Bu ayarlar varsayılan kart ayarını belirlemek için Ultiboard tarafından kullanılır.

1.4.2.6. Sheet Properties – Visibility Sekmesi Bu sekme Multisim’de elde edilebilen özel açıklama katmanları eklemenize izin verir. 1. Custom Layers kutusunda şemaya özel açıklama katmanları eklemek için Add tıklayın. 2. Design Toolbox’daki, Visibility sekmesinden bu katmanları gösterir veya gizleyebilirsiniz.

1.5. Design Toolbox

Şemada değişik elemanları yönetmek için Design Toolbox kullanılır.

1.5.1. Visibility Sekmesi

Design Toolbox’daki Visibility sekmesi çalışma alanı üzerinde aktif sayfada görüntülenen katmanları seçmenize izin verir. Schematic Capture katmanlar aşağıdakilerden oluşur:

RefDes – Bu katman çalışma alanı üzerinde bütün elemanlar için referans belirleyici içerir. Örneğin R1, U2A gibi.

Label ve Value – bu katman bileşenlerin özellikleri iletişim kutusunda Label sekmesinde Label

alanında etiket girmeyi kapsar. Örneğin 1k’luk bileşen değerini gösterir.

Attribute ve Variant – bu katman Label sekmesinde Attributes alanında bileşenlerin özellikleri iletişim kutusunda girilmiş nitelik bilgilerini içerir. Bir de değişken durumları kapsar.

Net Name – bu katman ağ isimleri içerir.

Symbol Pin Name – bu katman simge uç isimlerini kapsar.

Footprint Pin Name – bu katman ayakizi uç isimlerini kapsar.

Bus entry label – bu katman örneğin “Ln1” bir busa bir kablo girildiği noktada görünen etiketleri gösterir.

Fixed Annotations katmanları aşağıdakilerden oluşur: ERC Error Mark – bu katman ERC hata noktalarını işareti olan şekil üzerinde işaretlenen etiketleri içerir. Static Probe – bu katman şekil üzerinde yerleştirilebilen statik ölçüm probları içerir. Comment – bu katman çalışma alanında herhangi bir “uç” yorumu içerir. Text / Graphic – bu katman çalışma alanına yerleştirdiğiniz herhangi bir grafik elemanı içerir. Custom Annotations katmanlar Sheet Properties iletişim kutusundan eklenebilir. Bir katmanı gizlemek için katmanlar onay kutusunu etkisizleştirin. Gizlenmiş bir katmanı göstermek için katmanlar onay kutusunu etkinleştirin.

Page 23: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

15

1.5.2. Hierarchy Sekmesi

Design Toolbox sekmesi açtığınızda tasarımdaki dosyaları gösteren bir ağaç içerir.

Değişkenler Multisim’in bütün sürümlerinde elde edilemez. Pop-Up Menüler Hierarchy sekmesinde Kaynak duyarlılığı menüleri görüntülemek için: 1. Parça üzerine sağ tıklayın. Parçaya bağlı olarak farklı kaynak duyarlılığı menüleri görüntülenir. 2. Tasarım temelinden seçebilirsiniz:

Close – bütün tasarımları kapatmada

Save – tasarımı kaydetmede 3. Tasarım kökünden dallanan etken veya edilgen değişkenden seçebilirsiniz:

Set Variant Active – etken değişkeni ayarlamak içindir. Etken değişkenin yanında mavi kutu görüntülenir.

Variant Manager – Variant Manager iletişim kutusunu görüntülemek içindir. 4. Çoklu sayfa tasarımının bir sayfasından seçebilirsiniz:

Open Windows – çalışma alanı üzerinde sayfa açmak için.

Close Window – sayfa kapama için.

Rename Page – çoklu sayfa isimlerini değiştirmek için. 5. Bir alt devreden seçebilirsiniz:

Open Window – çalışma alanı üzerinde bir alt devre açar.

Close window – altdevreyi kapatır.

Rename Subcircuit – bir alt devrenin ismini değiştirir. 6. Bir hiyerarşik blok eklemek için seçebilirsiniz:

Open Window – çalışma alanı üzerinde Hiyerarşik bloğu açar.

Close Window – bir hiyerarşik bloğu kapatır.

Map Variants) – hiyerarşik blokların özellikleri değişken durumların atanabildiği iletişim kutusunu görüntülemek içindir.

7. Hiyerarşik bloktan dallanan bir değişkenden seçebilirsiniz:

Variant Manager – Variant Manager iletişim kutusunu görüntülemek için.

Exclude from Active Variant – etken değişkenden bir değişkeni çıkarmak içindir. Eğer istenirse hiyerarşik bloktaki bütün değişkenler etken değişkenden çıkarılabilir.

Include in Active Variant – bir etken değişkene bir değişkeni dâhil etmek için. Dâhil edilen değişkenin yanında bir mavi üçgen görüntülenir. Etken değişken aynı zamanda yalnız bir değişken içerebilir.

1.6. Arayüzün Özelleştirilmesi

Multisim kullanıcı ara yüzü çok fazla özelleştirilebilir. Sayfanın farklı bir türü etken olduğu zaman ayrı özelleştirmeler uygulanabilir. Örneğin araç çubukları ve yerleştirilen pencereler bir devre sayfasından açıklama sayfasına taşınması gibi yeniden düzenlenebilir. Araç çubukları farklı konumlara ve yönlerde yerleştirilebilir. Araç çubuklarının içerikleri özelleştirilebilir. Yeni araç çubukları oluşturulabilir. Menü sistemi tam olarak özelleştirilebilir, değişken nesne türleri bütün açılan menülere alınabilir. Klavye kısayol sistemi özelleştirilebilir. Bir düğmeye, bir menüye veya bir araç çubuğuna yerleştirilen herhangi bir komutu atamak için düğme bileşimlerine izin verir.

Page 24: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

16

Arayüzü özelleştirmek için: 1. Options / Customize User Interface’i seçin. 2. Aşağıdaki bölümlerde ayrıntılandırıldığı gibi değişiklikleri yapın.

Commands sekmesi

Toolbars sekmesi

Keyboard sekmesi

Menu sekmesi

Options sekmesi

Customization Pop-Up Menus

1.6.1. Commands Sekmesi

Customize iletişim kutusunda Commands sekmesi menüler ve araç çubukları komutları eklemekte kullanılır. Bir menü veya araç çubuğu komutu eklemek için: 1. Commands listesinden istenilen menü veya araç çubuklarına sürüklenir. Bir komut Command

listesinde seçildiğinde bunun açıklamaları Description alanında görüntülenir. 2. Eğer ihtiyaç olan komutu görmüyorsanız daha çok komut görüntülemek için Categories listesinde

diğer bölümler üzerinde tıklanır. 3. Özelleştirme tamamlandığında Close tıklanır. Bir menü veya araç çubuğundan bir komutu kaldırmak için onun üzerinde sağ tıklayın ve görüntülenen açılır listeden Delete’i seçin. Bir menü veya araç çubuğunda olan bir komutun konumunu değiştirmek için yeni bölgesine onu sürükleyin. Customize iletişim kutusu bunu yaptığınızda açılabilir.

1.6.2. Toolbars Sekmesi

Customize iletişim kutusunda Toolbars araç çubuklarını göstermede veya gizlemede ve yeni özel araç çubuğu eklemede kullanılır. Bu sekmedeki özellikleri kullanmak için: 1. Bir araç çubuğunu görüntülemek için Toolbars listesinde istenilen araç çubuğunun yanında onay

kutusunu değiştirin. 2. Bir araç çubuğunu gizlemek için onay kutusunu kapatın. Not Menu çubuğunu kapatamazsınız. 3. Aşağıdaki düğmeleri ve onay kutularını istendiği gibi kullanın:

Reset All – şu halde seçilmiş araç çubukları veya bütün araç çubuklarını sıfırlamak isteyip istemediğinizi seçtiğiniz yer olan Reset Toolbars iletişim kutusu görüntülenir. Örneğin default.ewcfg kullanmak istiyorsanız düzenleme dosyasını seçmek için yönlendirebilirsiniz.

New – yeni bir araç çubuğu için isim girdiğiniz Toolbar Name iletişim kutusu görüntülenir. OK’i tıkladığınızda girdiğiniz isimle yeni bir araç çubuğu oluşur.

Rename – keni oluşturduğunuz bir araç çubuğunu yeniden isimlendirmede kullanın. Multisim’de varsayılan olarak (örneğin components, menu çubukları) bulunan araç çubuklarını değiştiremezsiniz.

Show Text Labels – araç çubuğundaki komutların simgesi ile birlikte metin etiketlerini (örneğin “save”) göstermek için bu onay kutusunu seçin.

4. Özelleştirme tamamlandığında Close seçin.

1.6.3. Keyboard Sekmesi

Keyboard sekmesi klavye kısayollarını ayarlamada kullanılır. Klavye kısayolu ayarlamak için: 1. Category aşağı açılır listesinden bir tür ve Commands açılır listesinden istenilen komut seçilir. Eğer

bir kısayol çoktan atanmışsa Current Keys alanında kısayol görüntülenir. 2. Press New Shortcut Key alanında yeni kısayolu girin. 3. Özelleştirme tamamlandığında Close tıklayın.

1.6.4. Menu Sekmesi

Menu sekmesi Multisim’de çeşitli yerlerden sağ tıkladığınızda görüntülenen çeşitli kaynak duyarlı menüleri düzenlemede kullanılır.

Page 25: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

17

İstenen menüleri görüntülemek için: 1. Select Context Menu açılır listesinden istenilen menü ayarını seçin. 2. Görüntülenen menü üzerinde sağ tıklayın ve istediğinizi düzenleyin. 3. Menu Animations açılır listesinden istenen menu etkilerini ve Menu Shadows onay kutusunu

kullanmayı seçin.

1.6.5. Options Sekmesi

Customize iletişim kutusunda Options sekmesi araç çubukları ve menü seçeneklerini ayarlamada kullanılır. Menü ve araç çubukları seçeneklerini ayarlamak için onay kutularını istediğiniz gibi açık veya kapalıya çevirin.

1.6.6. Customization Açılır Menüsü

Araç çubuklarının ve menü parçalarının görünümünü özelleştirmek için Customize iletişim kutusu açıldığında bir açılır menü elde edilebilir.

Yukarıdaki açılır menüyü görüntülemek için: 1. Customize iletişim kutusunu açtığınızdan emin olun. 2. Bir menü parçasına veya araç çubuğuna sağ tıklayın ve istediğiniz seçeneği seçin. 3. Button Appearance seçtiğinizde seçilmiş araç düğmesinin görünümünü değiştirebileceğiniz Button

Appearance iletişim kutusu görüntülenir.

Page 26: Multisim 10
Page 27: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

19

Bölüm 2

2. ŞEKİL ÇİZİM TEMELLERİ

Bu bölüm devre penceresinde bir devre oluşturmayı içeren temel işlevleri açıklar. Devre oluşturmada temel adımları açıklar, ancak devre tasarımının tüm potansiyel yönlerini açıklamak için uygun değildir. Örneğin, bileşen veritabanı ayrıntıları ve düzenli bileşenler üzerindeki bilgiler için diğer bölümlere bakmalısınız. Bu bölümde açıklanan özelliklerin bazıları Multisim’in sürümünüzde kullanılmayabilir. Bu bölümde aşağıdakiler açıklanmaktadır.

2.1. Şekil Çizimine Giriş

Devre geliştirmede ilk aşama şekil çizmedir. Bu aşamada kullanmak istediğiniz bileşenleri seçiniz, istediğiniz konum ve yönde devre penceresine yerleştiriniz, onları diğerleri ile kablolayın ve başka deyişle tasarımınıza ön hazırlık yapın. Multisim bileşen özelliklerini düzenlemenize izin verir. Bir ızgara üzerinde devrenizi yönlendirmenize, metin ve başlık blokları eklemenize, alt devre ve buslar eklemenize ve devre penceresinin arka planının, bileşenlerin ve kabloların renklerini kontrol etmenize izin verir.

2.2. Çoklu Devre Pencereleri İle Çalışma

Aynı zamanda istediğiniz kadar çok devre açabilirsiniz. Her bir devre, devre pencerenizde görüntülenir. Etken devre penceresi diğer Windows uygulamalarındaki gibi başlık çubuğu vurgulanmıştır. Devre penceresinden devre penceresine geçiş yapabilirsiniz veya sadece görmek istediğiniz devre için çalışma sayfasının altındaki sekme üzerinde tıklayabilirsiniz. Her pencere farklıdır ve kendi tercih ayarlarınız, bileşenlerin ayarlanması vb olabilir. Bir devre penceresinden bir diğerine araç ve bileşen taşıyamaz, ama kopyalayabilirsiniz.

2.3. Veritabanından Bileşenleri Seçmek

Şekil oluşturmada ilk adım devre pencerenize ayrılan bileşenlerin yerleştirilmesidir.

Veritabanında bir bileşeni yerleştirmek için aşağıdaki yöntemleri kullanabilirsiniz.

Bütün bileşen grupları arasında tarama için Components araç çubuğunu kullanabilirsiniz. Veritabanında belirli bir bileşen grup içinde arayabilirsiniz. Normalde bu seçimlerin ilki kullanılır. Components araç çubuğu üzerindeki her bir düğme benzer işlevselliği olan bileşenlerin grubuna karşılık gelir. Bu düğmelerden birini tıklayarak bu düğmenin grup görüntülerinin içeriği ile yerleşik elemna tarayıcı açılır (Bu Select a Component – bir eleman seçim iletişim kutusudur). Multisim sanal elemanların tek bir durumunu sağlar. Sanal elemanlar bir simge ve bir model vardır ama ayakizi yoktur ve bundan dolayı satın alınamaz olan bir anlamda gerçek değildir. bunlar simülasyon için esneklik içerir. Sanal eleman Select a Component iletişim kutusunda yeşil renkle kodlanmış bir ailedir.

2.4. Bileşenlerin Yerleştirilmesi

Eleman tarayıcısı bir devre üzerinde bileşen veritabanından parçaları seçmede ve onları yerleştirmede kullanılır. Parçalar veritabanı, gruplar ve eleman ailesi (örneğin ana veritabanı, sayısal grup, TTL eleman ailesi) tarafından düzenlenir. Filtreler uygulanabilir değer aralığı ve toleranslara dayalı listeleri daraltmaya uygunluk sağlar. Tür - aradığınız bileşene geçmek için birkaç karakteri yazmanıza izin verir. Arama özellikleri bütün veritabanı boyunca yaygın kullanılan joker parçaları bulmanıza izin verir.

2.4.1. Alan Bileşen Tarayıcısının Kullanılması

Çoğu bileşenlerin yerleştirilmesi için bu bölümde açıklanan süreçler kullanılır. Bir bileşen yerleştirmek için:

1. Components araç çubuğunda istenilen grup örneğin Transistor tıklanır. Select a Component seçilmiş

bileşen grubu ile görüntülenen iletişim kutusu görüntülenir. Farklı olarak Place / Component seçilerek Select a Component iletişim kutusunu görüntüleyebilirsiniz ve Group açılır listesinden istenilen grup seçilir veya çalışma alanında sağ tıklayın ve görüntülenen açılır listeden Place Component seçilir.

Not Select a Component tarayıcısı eleman yerleştirme tarayıcısı olarak ta adlandırılır. 2. Master Database tarayıcıda görüntülenen varsayılan veritabanıdır. Eğer bir bileşen seçmek

istiyorsanız Corporate Database veya User Database her ikisinden birini bir bileşen seçmeden önce

Page 28: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

20

Database açılır listesinden veritabanını seçmelisiniz. Bir kez değişince sıradaki parçayı yerleştirmek için seçilmiş olarak veritabanı kalacaktır.

3. Family listesinde istenen bileşen ailesi tıklanır. 4. Component listesinde istenen bileşen tıklanır. Püf noktası Component listesinde en hızlı arama yapmak için Component alanında bileşenin isminin birkaç karakterini önce yazın. Yazdığınız gibi eşleşenler Component listesinin en üstünde görüntülenir. Eğer bir yanlış yaparsanız aynı zamanda bir karakteri kaldırmak için BACKSPACE tuşunu kullanabilirsiniz veya yazılan karakterilerin tamamını kaldırmak için DELETE tuşunu kullanabilirsiniz. Not Function alanında Component listesinde eleman seçme hakkında herhangi bir elde edilebilir bilgiyi gösterir. 5. Model Manuf. / ID alanında istenilen model ve model üreticisi seçilir. 6. Footprint Manuf. / Type listesinde istenilen ayakizi seçilir. Not Bazı sanal bileşenlerin (örneğin güç kaynakları) kullanılabilir ayakizi yoktur. Not Hyperlink alanı bileşen üreticisi için internet adresi içerecek şekilde tasarlanmıştır. Bu alanın içeriği Database Manager, Components alanında düzenlenebilir. Eğer bağlantıya gitmek istiyorsanız işaretçiyi bağlantı etrafında CTRL tuşunu basılı tutun ve fareyi tıklayın. 7. Yerleştirmek istediğiniz bileşeni onaylamak için OK’i tıklayın. Tarayıcı kapanır ve devre penceresi

üzerinde işaretçi bileşenin hayal bir resmi ile değişir. Bu bileşeni yerleştirmek üzere hazır olduğunu işaret eder.

Not Dörtlü 2 girişli NAND kapısı gibi çok seçimli bileşenlerin bir parçası olan bir bileşen yerleştiriyorsanız yerleştirmek istediğiniz seçimi belirlediğiniz yerde bir iletişim kutusu görüntülenir. 8. Bileşeni yerleştirmek istediğiniz konuma işaretçiyi taşıyın. Eğer işaretçiyi köşeye taşırsanız çalışma

alanı otomatik olarak kaydırılır. 9. Bileşeni yerleştirmek istediğiniz yerde devre penceresine tıklayın. Bileşenlerin simgesi ve etiketler bir

sayı ve harfle oluşturulan tek bir referans belirleyici görüntülenir. Harfler elemanın türünü ifade eder ve sayı aslında yerleştirilen sıradaki bileşeni işaret eden ardışık bir numaradır. Eğer Preferences iletişim kutusunun Parts sekmesinde Return to Component Browser After Placement seçili ise Select a Component tarayıcısı görüntülenir.

Not Eğer yerleşen bileşen sanal bir bileşen ise gerçek bileşenden farklı bir renkte görüntülenir. Bu renk Sheet Properties iletişim kutusunda ayarlanır. 2.4.1.1. Direnç, Bobin veya Kondansatör Yerleştirme Direnç, bobin ve kondansatör yerleştirmek için kullanılan süreç elemanların diğer türlerini yerleştirmede kullanılan sürece oldukça benzerdir. Bununla birlikte bazı farklılıklar vardır. Bu bileşenleden herhangi biri yerleştirildiğinde bileşenin değeri (örneğin direnç), türü (örneğin karbon film) toleransı, ayakizi ve üretici bileşimlerinden herhangi birini seçebilirsiniz. Uyarı Eğer PCB ortamına son olarak gönderilecek bileşen yerleştirdiyseniz ve malzemelerin listesini bir parça halinde alacaksanız Select a Component iletişim kutusunda gerçek dünyada elde edilebilir satılabilir bileşenin seçilen değerlerinin belirlenmesinde dikkatli olmalısınız. R, L veya C bileşenlerini yerleştirmek için:

1. Components araç çubuğunda Basic grubu üzerine tıklayın. Select a Component iletişim kutusu Basic

bileşen grubu görüntülenerek görünür.

Page 29: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

21

Alternatif olarak Select a Component iletişim kutusunu Place / Component seçerek görüntüleyebilir ve Group açılır listesinden Basic grubu seçebilirsiniz veya çalışma alanında sağ tıklayarak ve görüntülenen açılan listeden Place Component’i seçin.

Not Select a Component tarayıcısı eleman yerleştirme tarayıcısı olarak isimlendirilir. 2. Eğer çoktan onu seçmediyseniz Database açılır listesinden Master Database seçin. Not Multisim’in Component Wizard kullanarak direnç, bobin veya kondansatör oluşturabilirsiniz, ancak R, L, ve C bileşenleri yalnızca temel simülasyon model bilgisi içerecek şekilde oluşturulacaktır. Bu süreçte ifade edildiği gibi Master Database yerleştirilmiş olan yerleştirilmiş bileşenlerin iletişim kutusunda Value sekmesinden görülebilen ek SPICE simülasyon parametreleri vardır. Component Wizard kullanarak oluşturduğunuz bir bileşeni yerleştirdiğinizde Corporate Database veya User Database’in birinden seçmelisiniz. 3. Family listesinde istediğiniz bileşen ailesini, örneğin direnci tıklayın. 4. Component listesinin üstündeki alanda yerleştirmek istediğiniz bileşenin değerini yazın. Değerin şekil

üzerine yerleştirilmiş olan listede görüntülenmesi gerekmez. 5. Tercihen Save Unique Component on Placement onay kutusunu etkinleştirin. Bu etkinleştirildiğinde

Select a Component iletişim kutusunun değişken alanında değerlerin benzersiz bileşimi ile herhangi bir bileşen Master Database içinde saklanacaktır.

Not Master database (ana veritabanı) içine kaydedilebilen R, L ve C bileşenleri yalnızca bir tanedir ve bu onay kutusunun seçimi ile yalnızca kaydedilebilir. 6. İstenilen Component Type seçilir. Eğer yalnızca simülasyon için bir parça yerleştiriyorsanız <no type>

seçin. Eğer listede istediğiniz türü görmüyorsanız onu elle yazabilirsiniz. 7. İstenilen tolerans seçilir. Eğer istenilen toleransı listede görmüyorsanız onu elle yazabilirsiniz. Bu liste

potansiyometre, değişken bobin veya değişken kondansatör için görüntülenmez. 8. Footprint Manuf. / Type listesinde istenilen ayakizi seçilir. Eğer yalnızca simülasyon için bir parça

yerleştiriyorsanız, <no footprint> seçin. Eğer PCB ortamına şekil göndermeyi istiyorsanız, bu listeden bir değer seçin.

Not Hyperlink alanı örneğin bileşen üreticisi için internet adresi içermesinde istenir. Bu alanın içerikleri Database Manager’in Components sekmesinde düzenlenebilir. Eğer bağlantıya gitmek istiyorsanız onun üzerinde işaretçinizi getirin CTRL tuşuna basılı tutun ve farenize tıklayın. 9. Yerleştirmek istediğiniz bileşeni onaylamak için OK’i tıklayın. Tarayıcı kapanır ve devre penceresinde

işaretçi yerleştirmek istediğiniz bileşenin bir hayali resmine dönüşür. Bu bileşenin yerleştirilmeye hazır olduğunu gösterir.

10. Bileşeni yerleştirmek istediğiniz konuma işaretçiyi taşıyın. Eğer işaretçiyi kenarlara hareket ettirirseniz çalışma alanı otomatik olarak kaydırılacaktır.

11. Elemanı yerleştirmek istediğiniz yerde devre penceresi üzerinde tıklayın. Preferences iletişim kutusunun Parts sekmesinde Return to Component After Placement seçerseniz Select a Component tarayıcısı görüntülenir. Yerleştirilmiş R, L veya C bileşenlerini düzenlemek için bileşen üzerine çift tıklayın ve Value sekmesini tıklayın. 2.4.1.2. Çok bölümlü bileşenler Belirli sanal olmayan parçalar bir ayakizi ile birebir karşılık değildir. Daha doğrusu bazı bileşen simgeleri tek bir fiziksel pakete karşılık gelebilir. Dörtlü 2 giriş NAND kapısı Texas Instruments 74LS00D gibi bir örnektir. Bu özel aygıt için bir şematik diyagram üzerinde en fazla dört bileşen simgesi PCB ortamında desteklediği tek bir parçaya karşılık gelir. Çok bölümlü bir parça yerleştirmek için bileşen tarayıcıdan tek bir parça (bir NAND kapısı) seçin. Hemen önce bileşeni yerleştirerek serbest bölüm liste parçası olan bir bölüm seçici açılır veya yeni bir parça yerleştirmeye başlamanıza izin verir. Yerleştirme için bu bölümlerin birini seçmelisiniz. Bir kez yerleştirilen iyileştirilmiş özellikler bir yonga içerisinde verimli paketlenmiş olan çok bölümlü parçaları

Page 30: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

22

etkinleştirmeyi sağlamaktadır ve devrede çok bölümlü parçalar üzerinde kullanılmaz kısımları göstermede yedek kapı raporu elde edilebilir. Yukarıda açıklandığı gibi ayrı bölümleri ile bileşenlerde elde edilmesinin yanı sıra bazı çok bölümlü TTL ve CMOS bileşenler aygıtların tamamını içeren tek ayakizli biçimde elde edilebilir. Bu bileşenlerin parça aileleri yerleşik bileşen tarayıcısında bulunmaktadır ve 74LS_IC parça ailesindeki gibi “_IC” eklemektedir.

74LS00D kapıları 74LS bileşen ailesinden tek bileşen gibi yerleştirilir. Bu dört kapı devre PCB ortamına aktarıldığında tek bir bileşen paketi içerecektir.

Bir tek ayakizi 74LS00D IC dört NAND kapısı içermektedir. Bu 74LS_IC bileşeninden yerleştirilmiştir.

Tek bir ayakizi ile çok bölümlü bir parça yerleştirmek için: 1. Place / Component seçin ve istediğiniz Group, Family ve Component dolaşın. 2. Çalışma alanı üzerinde IC yerleştirmek için tıklayın. Not Tek IC bileşenler bütün çok bölümlü bileşenlerden için geçerli değildir. Çok bölümlü bir parçanın bir aygıtını yerleştirmek için: 1. Place / Component seçin ve istediğiniz Group, Family ve Component dolaşın. 2. OK’i tıklayın. Eğer bu devre üzerine yerleştirecek olduğunuz bu türdeki (örneğin 7LS00D) ilk çok

bölümlü bileşen ise, aşağıdaki gibi bir iletişim kutusu görüntülenir:

Bileşenin A kısmını yerleştirmek için A’ya tıklayın.

Eğer çok bölümlü diğer bileşen çoktan yerleştirildiyse iletişim kutusu aşağıdakine benzer olur.

Yerleştirmede koyu metin elde edilebilir kısımları işaret eder.

Çoktan yerleştirilmiş bölümü silik gri metin işaret eder.

Not Aynı türdeki çok bölümlü yeni bir aygıta geçmeden önce çok bölümlü aygıtın bütün bölümlerini yerleştirmek iyi bir fikirdir. Aynı bileşen türünün tek örnekleri yerleştirme için elde edilebilir gibi gösterilir. Yukarıdaki örnekte U1 ve “New” dörtlü 2 girişli 74LS00D IC’nin her ikisi de vardır. Eğer diğer dörtlü 2 girişli NAND aygıtı devrede varsa, örneğin 74LS01N, onlar görüntülenmeyecektir. 3. Herhangi bir elde edilebilir bölüme tıklayın. İletişim kutusu kapanır ve işaretçinize aygıtın hayali bir

resmi eklenir. 4. İstediğiniz yerde aygıt yerleştirmek için tıklayın. 5. Eğer Preferences iletişim kutusunun Parts sekmesinde Continuous Placement for Multi Section Part

Only veya Continuous Placement her ikisinden biri seçildiyse iletişim kutusu yeniden görüntülenir.

Page 31: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

23

Bu iletişimden parçalar yerleştirmeye devam eder. Tamamlandığında çıkmak için ESC’ye basın. 6. Eğer Preferences iletişim kutusunun Parts sekmesinde Place Single Component seçtiyseniz, iletişim

kutusu bir bileşen yerleştirildikten sonra yeniden görüntülenmez. Not Preferences iletişim kutusunun Parts sekmesinde çoklu aygıtlar ile bileşenleri yerleştirme için ayarlar bulunmaktadır. 2.4.1.3. Bir Parçayı Yerleştirme Süresince Döndürme ve Çevirme Bir parçayı yerleştirme süresince döndürme veya çevirmek için: 1. Bir parçayı seçin. 2. Yerleşecek parçanın hayali resmini sürüklerken aşağıdaki tuş bileşenine basın:

CTRL – R Bileşeni 90 derece saat yönünde döndürür. CTRL – SHIFT – R Bileşeni 90 derece saat ibresi tersi yönünde döndürür. ALT – X Bileşeni yatay olarak çevirir. ALT – Y Bileşeni dikey olarak çevirir.

2.4.1.4. Diğer Düğmeler Search Component iletişim kutusun görüntülemek için, Search düğmesine tıklayın. Component Detail Report iletişim kutusunu görüntülemek için, Detail Report düğmesini tıklayın. Model Data Report iletişim kutusunu görüntülemek için, Model düğmesini tıklayın.

2.4.2. Sanal Bileşenleri Yerleştirme

Virtual araç çubuğunu çalışma alanınıza sanal bileşen yerleştirmede kullanın. Sanal bileşen yerleştirme için: 1. Virtual araç çubuğunda istenen düğmeye tıklayın.

Düğme Açıklama

Show Power Source Components düğmesi, Farklı sanal güç kaynağı bileşenleri yerleştirmenize izin veren düğmeler içeren Power Source Components araç çubuğu görüntülenir

Show Signal Source Components düğmesi, Sanal farklı sanal sinyal kaynak bileşenleri yerleştirmenize izin veren düğmeleri içeren Signal Source Components araç çubuğu görüntülenir.

Show Basic Components düğmesi, Farklı sanal temel bileşenleri yerleştirmenize olanak sağlayan düğmeleri içeren Basic Components araç çubuğu görüntülenir.

Show Diode Components düğmesi, Farklı sanal diyotları yerleştirmenize imkân sağlayan düğmeleri içeren Diodes Components araç çubuğu görüntülenir.

Show Transistor Components düğmesi, Farklı sanal transistörleri yerleştirmenize izin veren düğmeleri içeren Transistor Components araç çubuğu görüntülenir.

Show Analog Components Bar düğmesi, Farklı sanal analog bileşenleri yerleştirmenize izin veren düğmeleri içeren Analog Components araç çubuğu görüntülenir.

Show Miscellaneous Components Bar düğmesi, Çeşitli sanal bileşenler yerleştirmenize izin veren düğmeleri içeren Miscellaneous Components araç çubuğu görüntülenir.

Show Measurement Components Bar düğmesi, Farklı sanal ölçüm bileşenlerini yerleştirmenize izin veren Measurement Components araç çubuğu görüntülenir.

Show Rated Virtual Components Bar düğmesi, Oranlı sanal bileşen grubunda bulunan farklı sanal bileşenleri yerleştirmenize izin veren düğmeleri içeren Rated Virtual Components araç çubuğu görüntülenir. Bu bileşen grubu devre simülasyonu yapılırken eğer ön ayar toleransı aşılırsa darbe için oranlanmış olan sanal bileşenlerin bir miktarını içerir. Bu toleranslar bileşenlerin özellikleri penceresinin Values sekmesinde ayarlanır. Multisim’in bütün sürümlerinde bu özellik elde edilemez.

Show 3D Components Bar düğmesi, Farklı sanal 3D bileşenleri yerleştirmenize izin veren düğmeleri içeren 3D Components araç çubuğu görüntülenir. Devre simülasyonu yapılırken bu bileşenler normalde işlev görür, ama devre şeması üzerinde gerçek bileşen gibi görüntülenir. Bu özellik Multisim’in bütün sürümlerinde elde edilemez.

Page 32: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

24

2. Görüntülenen araç çubuklarından istenen sanal bileşene tıklayın. İşaretçi yerleştirmek istediğiniz bileşenin hayali bir resmine dönüşür.

İpucu Düğme için kullanılabilir bileşenleri görüntülemede herhangi bir düğmenin yanındaki aşağı ok üzerine tıklayabilirsiniz ve görüntülenen aşağı açılır listeden doğrudan istediğiniz bileşeni seçebilirsiniz. 3. Sanal bileşeni yerleştirmek istediğiniz konumda çalışma alanı üzerinde tıklayın. 2.4.2.1. Virtual Component Araç Çubukları Bu bölüm Virtual araç çubuğu üzerinde karşılık gelen düğmeye basılarak geçilen değişik sanal bileşen araç çubuğundan yerleştirilebilen sanal bileşenleri açıklamaktadır. Power Source Components Araç Çubuğu

Bu düğmeler (soldan sağa doğru) Power Source Components’ndeki düğmeler şu sanal bileşenleri yerleştirir: AC Güç Kaynağı, DC Güç Kaynağı, Dijital Toprak, Toprak, 3 fazlı Gerilim Kaynağı Üçgen, 3 Fazlı gerilim Kaynağı Yıldız, VCC Kaynağı, VDD Kaynağı, VEE Kaynağı, VSS Kaynağı. Signal Source Components Araç Çubuğu

Bu düğmeler (soldan sağa doğru) Signal Source Components araç çubuğu şu sanal bileşenleri yerleştirir. AC Akım Kaynağı, AC Gerilim Kaynağı, AM – Genlik Modülasyon Kaynağı, Saat Akım Kaynağı, Saat Gerilim Kaynağı, DC Akım Kaynağı, Üstel Akım Kaynağı, Üstel Gerilim Kaynağı, FM Frekans Modülasyon Akım Kaynağı, PWL Parçalı Lineer Akım, PWL Parçalı Lineer Gerilim, Darbe Akım Kaynağı, Darbe Gerilim Kaynağı, Parazit Kaynağı. Basic components Araç Çubuğu

Basic araç çubuğundaki düğmeler şu sanal bileşenleri yerleştirir: kondansatör, nüvesiz bobin, endüktans, manyetik nüveli bobin, lineer olmayan transformatör, potansiyometre, normalde açık röle, normalde kapalı röle, bileşik röle, direnç, ses transformatörü, çeşitli transformatörler, güç transformatörü, transformatör, değişken kondansatör, değişken endüktans, yukarı çekmeli direnç, gerilim kontrollü direnç. Diodes Araç Çubuğu

Bu düğmeler (soldan sağa) Diodes araç çubuğundaki şu bileşenleri yerleştirir: diyot, zener diyot. Transistor Components Araç Çubuğu

Bu düğmeler (soldan sağa) Transistor Components araç çubuğundaki şu bileşenler yerleştirir: BJT NPN 4T, BJT NPN, BJT PNP 4T, BJT PNP, GaASFET N, GaASFET P, JFET N, JFET P, birkaç zenginleştirilmiş ve fakirleştirilmiş durumlu NMOSFETler ve PMOSFETler. Analog Components Araç Çubuğu

Bu düğmeler (soldan sağa) Analog Components araç çubuğundaki şu sanal bileşenler yerleştirilir. Comparator (Karşılaştırıcı), 3 bağlantılı OPAMP, 5 bağlantılı OPAMP.

Page 33: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

25

Miscellaneous Components Araç Çubuğu

Bu düğmeler (soldan sağa) Miscellaneous Components araç çubuğunda şu sanal bileşenleri yerleştirir: 555 zamanlayıcı, analog anahtar, kristal, DCD Hex, Akım oranlı sigorta, lamba, monostable, motor, optokuplör, PLL, 7 parçalı display ortak anotlu, 7 parçalı display ortak katotlu. Measurement Components Araç Çubuğu

Bu düğmeler (soldan sağa) Measurement Components araç çubuğundan şu sanal bileşenleri yerleştirir: ampermetre (4 görünümde), sayısal prob (5 renk), voltmetre (4 görünümde).

2.4.3. In Use List Kullanımı

In use list içine eklenen bir bileşeni her zaman yerleştirirsiniz. Herhangi bir yerleştirilmiş elemanın başka bir örneğini yerleştirmek için listeden onu seçin ve istediğiniz konuma yerleştirmek için tıklayın.

2.4.4. İki Uçlu Pasif Bileşenler

Bir devreyi kablolama yaparken, bir kablo üzerine doğrudan direnç gibi iki uçlu pasif bileşenleri sürükleyebilirsiniz.

2.4.5. Yerleştirilmiş Bileşenlerin Seçimi

Çalışma alanı üzerine yerleştirilmiş olan bir bileşeni fare ile sürükleyerek bir seçim yapabilir veya üzerine tıklayarak seçebilirsiniz. Fareyi kullanarak bir bileşeni seçmek için, bileşenin merkezine sol tıklayın.

İpucu Bileşenin etiketini veya başka bir metni seçmek istiyorsanız, ama bütün bileşeni değil, bileşenin merkezine tıklamayın, doğrudan metnin üzerine tıklayın.

Page 34: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

26

Fare ile sürükleyerek bir bileşeni seçmek için: 1. Seçilecek olan bileşeni içeren çalışma alanı üzerinde bir dörtgen seçim biçimi için sol fare düğmesini

tıklayın, tutun işaretçinizi sürükleyin.

Seçim dörtgeninde bileşenin tamamını veya parçasını çevreleyip çevrelemediğinizi ayarlayabilirsiniz.

2. Fareyi kurtarın. Seçili bileşen kısa çizgili işaretlenir.

İpucu Bir bileşende RefDes, etiket ve benzeri tek bir eleman seçebilirsiniz. Bileşenin başka elemana seçimi taşımak için TAB tuşunu kullanın. Seçim Seçenekleri Çekme Ayarları Preferences iletişim kutusunun General sekmesinde Selection Rectangle kutusunu fare sürükleme fonksiyonları ile parça seçiminin ayarlanmasında kullanabilirsiniz. Eğer seçiyorsanız:

Intersecting – Sürükleme dörtgeni parçanın bir kısmını içerdiğinde parça seçilir.

Fully Enclosed – bütün parça bütün metinler, etiketler gibi seçilecek parçalar için dörtgen sürüklenmelidir.

İpucu Intersecting ve Fully Enclosed durumları arasında geçiş için fareyi sürüklemeden önce Z tuşuna basın.

2.4.6. Yerleştirilmiş Bir Elemanı Taşıma

Aşağıdakilerden birini yaparak yerleştirilmiş bir bileşeni başka bir konuma taşıyabilirsiniz:

Bileşeni sürükleyerek

Bileşeni seçin ve yukarı, aşağı taşıma veya her iki tarafa bir ızgara alanının artışları için klavyenizde OK tuşlarına basın.

Not Autowire on Move seçeneğini kapatmadıkça uygun yapılandırma için taşırken otomatik olarak kablolamayı ayarlar. Sürükleyerek bir bileşeni taşımak için: 1. İstediğiniz bileşenin üzerine farenin sol düğmesini tutun ve basın. Bileşen kesik bir çizgi ile seçilir.

Page 35: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

27

Not Bir bileşenin simgesi ve etiketleri bağımsız veya birlikte taşınabilir – eğer bileşeni taşımayı planlıyorsanız sadece etiketi değil bileşenin bütününü seçtiğinizden emin olun.

Simge

Etiketler

2. İstenilen konuma bileşeni sürükleyin.

Fareyi sürükleyerek seçilen parçanın hayali bir resmi işaretçiye eklenerek görüntülenir, seçilen bileşenin orijinal konumuna kesikli bir çizgi ile bağlanır.

3. Hayali resim istediğiniz konumdayken fare düğmesini bırakın. Bileşenin etiketini taşımak için: 1. İstediğiniz etiket üzerinde fare sol düğmesini tutun ve tıklayın. Etiket aşağıda gösterildiği gibi seçilir.

Seçilmiş etiket

2. İstenilen konuma bileşeni sürükleyin.

Fareyi sürüklerken seçilmiş etiketin hayali bir resmi işaretçiye eklenmiş olarak görüntülenir. Seçilmiş etiketin orijinal konumuna hayali resim bir kesikli çizgi ile bağlıdır. Bir düz çizgi uygun olan yerde bileşene hayali resmi düz bir çizgi ile bağlıdır. Bu bileşenlerin çok sayıda oldukları devrelerde bu çok faydalıdır.

3. Hayali resim istenilen konumdayken fare düğmesini bırakın.

Yeni konumda seçilmiş etiket

Taşıma çizgilerini gösterme veya gizleme Orijinal konum ve taşınacak olan parçalar veya metinler arasında bir çizgi göstermek için Preferences iletişim kutusunun General sekmesinde bu onay kutularını kullanın.

İstediğiniz seçeneği seçin.

2.4.7. Yerleştirilmiş Bileşenin Kopyalanması

Bir yerleştirilmiş bileşeni kopyalamak için: 1. İstenen bileşeni seçin ve Edit / Copy seçin veya istenen bileşn üzerinde sağ tıklayın ve görünen açılır

menüden Copy seçin. 2. Edit / Paste seçin veya çalışma alanı üzerinde sağ tıklayın, görüntülenen açılır menüden Paste seçin. 3. Kopyalanmış bileşenin hayali görüntüsü işaretçide görünür. Kopyalanmış bileşeni yerleştirmek

istediğiniz yerde tıklayın.

Page 36: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

28

Not Kesmek için (CTRL-X), kopyalamak için (CTRL-C) ve yapıştırmak için (CTRL-V) Windows kontrol tuşlarını kullanarak bir bileşeni kopyalayabilirsiniz.

2.4.8. Yerleştirilmiş Bir Bileşenin Yeniden Yerleştirilmesi

Bileşenlerin iletişim kutusunda Replace düğmesini kullanarak yerleştirilmiş bir bileşeni kolaylıkla yeniden yerleştirebilirsiniz. Sanal bir bileşen ile devreye başlamak istediğinizde bu özellik yaygın olarak kullanılır ve gerçek bileşen en yakını ile yeniden yerleştirilir. Yerleştirilmiş bir bileşeni yeniden yerleştirmek için: 1. Yeniden yerleştirmek istediğiniz bileşenin üzerine çift tıklayın. Bileşenlerin özellikler iletişim kutusu

görüntülenir. 2. Replace tıklayın. Select a Component tarayıcısı görüntülenir. 3. Yeni bir bileşen seçin ve OK’i tıklayın. Yeni bileşen devre penceresi üzerinde öncekinin yerinde

görüntülenir. Not Belirli bir parçanın aynı sayısı ile çok seçimli parçaları yeniden yerleştirmek isterseniz, Tools / Replace Components seçin.

2.4.9. Bileşen Renginin Denetimi

Bir bileşen ve devre penceresinin arka plan rengi için kullanılan varsayılan renk Sheet Properties iletişim kutusunda denetlenir. Yerleştirilmiş bir bileşenin rengini değiştirmek için bileşen üzerinde sağ tıklayın ve görüntülenen açılır menüden Change Color tıklayın. Renk paleti ile tanımlayabilirsiniz. Bir renk seçin ve seçilmiş parçaya uygulamak için OK’e tıklayın.

2.5. Bileşenleri Kablolama

Parçaların simge uçlarının herhangi biri üzerine tıklayarak temel bir kablo oluşturulabilir. Bu bir simge ucundan diğerine veya diğer bir kabloya yönlendirilmiş olan bir kablo oluşturur. Eğer bir kabloya yönlendiriliyorsa farklı iki kablo arasında bir bağlantı noktası otomatik olarak oluşturulduğunda bir kabloya yönlendirilir ve iki kablo bağlanır. Kablolamayı devre üzerinde herhangi bir yerde çift tıklayarak başlatılabilir. Bu o konumda bir bağlantı noktası oluşturur ve bu noktadan bir kablolama başlar. Eğer bir simgenin ucu bir kablo üzerine düşürülürse veya diğer bir uca bağlantı otomatik olarak yapılacaktır. Her zaman bir kablo yerleştirilince yeni bir Net oluşturulur veya mevcutlardan biri ile ilişkilendirilir. Bir Net ortak elektrik potansiyeli tanımlanan kabloların bağlantılarıdır. Ağ devresi benzer şekilde PCB ortamı açıklandığı durumda ifade edilecektir. Bu durum SPICE temelli devre simülasyonunda söz edilen bir Node durumuna eştir. Ağlara tipik olarak artan küçük sayı değeri atanır. Eğer iki ağ birlikte kablolanarak birleştirilirse, yeni ağa atanacak iki ismin hangisine karar verilmesinde bir kural vardır. Bundan dolayı genelde en küçük numaralı ağ ismi alır. Bir ağa isim atamasını elle yapabilirsiniz. Bir devrenin bir sayfasında bir ağ, aynı sayfada diğeri ile aynı isimle elle yeniden isimlendirilebilir. Bu durumda iki ağda birlikte birleştirilir. Bu sanal kablolama olarak adlandırılır ve devrenin karmaşıklığını azaltmada kullanılabilir. Belirli saklanmış ağların dışında sanal kablolama bir diğer sayfada veya hiyerarşik seviyelerde kullanılamaz. İsimlendirilmiş ağlar bütün tasarımda genel düşünülür. Hiyerarşide herhangi bir seviyede bir ağ her zaman veya herhangi bir sayfa üzerinde bu saklı ağların birinin yeniden isimlendirilmesinde, bu ağ ilişkilidir denir. Bu saklı ağlar 0, GND, VCC, VDD, VEE ve VSS’dir. “0” numaralı ağ analog toprağa karşılık gelir ve simülsayon süresince bütün gerilimler için referanstır. GND sayısal bir topraktır (bu iki toprak ağı yalıtılmak istenen PCB ortamı için ortaktır).

Bu saklı ağlar saklanmış simge uçları ile birlikte çok sık kullanılır. Onlar ve beraberindeki kablolar şemayı çok fazla karıştırdığından şema üzerinde gösterilmeyen uçlar vardır, ama yinede simülasyon ve düzen için bağlıdır. Örneğin bir TTL sayısal AND kapısında GND ve VCC gizli uçları tamamen bağlıdır.

Page 37: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

29

2.5.1. Bileşenleri Otomatik Olarak Kablolama

İki bileşeni birlikte otomatik kablolamak için: 1. İlk bileşenden bağlantıya başlamak için bir uca tıklanır (işaretçiniz çapraz biçime döner) ve fare

hareket ettirilir. İşaretçinize eklenmiş olan bir kablo görüntülenir. 2. Bağlantıyı tamamlamak için ikinci bileşenin bir ucuna tıklayın. Multisim otomatik olarak düzenlemeye

ait bir şekilde başlayan bir kablo yerleştirir. Bu kablo bir ağ gibi numaralandırılır. Sonra işaretçi iki uçlar arasında normal durumda bir kablo bağlar ve sonraki komut için hazır bekler.

Sistemde oluşturulmuş bir ağ

İpucu Eğer bir bağlantı başarılı olmazsa, diğer çevrelenmiş bileşene çok yakın bir kablo yerleştirmeyi deneyebilirsiniz. Farklı küçük bir konumda bağlantı yapmayı deneyin veya elle kablolamayı kullanın. Not Çok seçimli bir bileşen kablolandığında bileşenin diğer kısımdaki bağlanmış ortak ucu “X” olarak işaretlenir. Aşağıdaki örnekte, Akım Kontrollü İşlemsel Geçiş İletkenli Yükselteç U5A ve U5B bir çiftin kısımlarıdır. Bu iki kısım IC üzerinde ortak bir uç paylaşır (uç 11, VB+).

U5A bileşeninden Q1’e uç 11 bağlanmıştır.

U5B’nin uç11’i “X” olarak etiketlenmiştir. Bu U5’in diğer kısmında bağlı uç 11’i işaretler.

Bir kabloyu silmek için onun üzerine tıklayın ve klavyenizde DELETE basın veya sağ tıklayarak görüntülenen açılır menüden Delete’i seçin. 2.5.1.1. Dokunulan uçların otomatik bağlanması Eğer bir bileşen ucu bir kablodan diğer uca veya bir bağlantıya dokunursa otomatik kablolama yapma seçeneğiniz vardır. Bu seçeneği etkinleştirme veya etkisizleştirmek için Preferences iletişim kutusunun General sekmesinde Autowire When Pins are Touching onay kutusunu seçin veya seçimi iptal edin. Bir kabloya bir bağlantıyı otomatik olarak yapmak için aşağıdaki örnekteki adımları tercih edin.

Page 38: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

30

Adım 1

Mevcut bileşen

Adım 2

Çalışma alanına bir bileşen ekleyin.

Adım 3

Bir kablo ile bağlantıya bileşeni taşıyın.

Adım 4

Bileşeni yeni bir konuma sürükleyin.

Adım 5

Bileşenin kabloya bağlı kaldığına dikkat edin.

Benzer şekilde iki bileşeni bağlamak için aşağıdaki örnekteki adımları takip edin.

Adım 1

Mevcut bileşen

Adım 2

Bir bağlantıdan bir diğerine bir bileşeni taşıyın ve bağlantı otomatik olarak yerleştirilir.

Adım 3

Yeni bir konuma bileşeni sürükleyin.

Adım 4

İki bileşenin bağlı kaldığına dikkat edin.

Page 39: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

31

2.5.2. Bileşenleri elle kablolama

Şekil üzerinde bulunacak bir kabloya kesin bir yol seçmek istediğinizde bu bölümde yazılan süreci kullanın. İki bileşeni birlikte elle kablolama için: 1. Bağlantıya başlamak için ilk bileşenden bir uca tıklayın (işaretçiniz artı şekline döner) ve fareyi

hareket ettirin. İşaretçinize eklenmiş bir kablo görüntülenir. 2. Fareyi hareket ettirdiğiniz noktaya tıklayarak kablonun çizim yönünü kontrol edebilirsiniz. Her tıklama

o noktada kabloyu sabitler. Örneğin:

Farenin düğmesine bu konumda tıklanmıştır.

Varsayılan olarak Multisim bağlanmamış bileşenin üzerinden atlar. Örneğin:

Kablo bu bileşenden atlar.

Ara bileşenler arasından geçmek için, ara bileşen yanında istenen konumda kablo konumlandırılır ve kablo sürüklenirken klavyenizden SHIFT’e basın. Örneğin:

Bu bileşen arasından kablo geçer.

3. Bağlantıyı sonlandırmak için ikinci bileşenin istenilen ucuna tıklayın. Not Herhangi bir zamanda kablolama sürecini durdurmak için klavyenizde ESC’ye tıklayın. Bir kabloyu silmek için üzerine tıklayın ve klavyenizde DELETE’ye tıklayın veya kablo üzerine sağ tıklayın ve görüntülenen açılır menüden DELETE seçin.

2.5.3. Otomatik Birleştirme ve Manüel Kablolama

Tek bir kablo yerleştirdiğinde kablolamayı iki yöntemle birleştirebilirsiniz. Otomatik kablolama durumunda Multisim bir noktada kablonun yerleştirileceği yere tıklanıncaya kadar her zaman otomatik kablolama tercih etmek istediğinizi varsayar. Bağlantıyı tamamlamak için kabloya veya yerleştirilen kablo üzerinde geçici noktada veya hedef uca bir kez daha tıklayıncaya kadar Multisim otomatik kablolama ile devam eder. Bu yöntem daha fazla bağlantı için otomatik kablolama kullanmanıza ve zor, kritik veya hassas yollar için yalnızca manüel kablolama kullanmanıza izin verir.

2.5.4. Bağlantı Olmayan Uçları Etiketleme

Bu uçları yanlışlıkla kablolamayı önlemek için seçilmiş bileşenlerin bacağında NC (bağlantı yok) işaretleri yerleştirebilirsiniz. NC işaretleyici ile bir uca bir kablo bağlamaya girişirseniz, bağlantı önlenir ve NC gibi bir işaretlenmiş uca bağlantı yapamayacağınızı belirten bir ileti görüntülenir. Bir bileşenin ucuna NC işaretleyici yerleştirmek için: 1. Tools / Toggle NC Marker seçin. İşaretçi aşağıda gösterildiği gibi bir artıya dönüşür.

Page 40: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

32

işaretçi

2. NC işaretleyici yerleştirmek için istediğiniz uç üzerine tıklayın.

NC işaretleyici

NC işaretleyici yerleştirme durumundan çıkmak için: 1. Tools / Toggle NC Marker seçin veya Klavyenizden ESC’ye basın.

İmleciniz üzerindeki artı işaretleyiciye yeniden yerleşir. Bileşen iletişim kutusunda bir bileşenin uç geçişi üzerinde NC işaretleyici yerleştirmek için: 1. Bileşen üzerine çift tıklayın ve Pins sekmesini tıklayın. 2. İstediğiniz uç için NC sütunundaki alanda tıklayın. Görüntülenen açılır listeden Yes seçin ve iletişim

kutusunu kapatmak için OK tıklayın. NC işaretleyici şekil üzerinde seçilmiş uca eklenir.

NC işaretleyici

2.5.5. Çalışma Alanı Üzerine Doğrudan Kablo Yerleştirme

Kablolama süresince daha çok esneklik için, bir bileşen eklemeksizin veya öncelikli yerleştirilmiş bir bağlantı noktasından başlamaksızın boşta bir kablo başlayabilir ve sonlandırabilirsiniz. Menüyü kullanarak bir kablo yerleştirmek için: 1. Place / Wire seçin veya

Çalışma alanı üzerinde sağ tıklayın ve görüntülenen açılır menüden Place Schematic / Wire seçin. 2. Çalışma alanı üzerinde bir bağlantı noktası yerleştirmek için tıklayın ve istediğiniz gibi kabloyu

yönlendirmek için fareyi hareket ettirin. 3. Çalışma alanı üzerinde belirli bir noktaya kabloyu kilitlemek için istediğiniz gibi tıklayın. 4. Havada bir bağlantı noktası eklemek için çift tıklayın ve yerleştirmeyi sonlandırın veya mevcut bir

kabloya veya bileşen ucuna bir kablo eklemek için tıklayın. Not Çalışma alanı üzerinde bir bağlantı noktası eklemek için çift tıklayabilirsiniz ve yukarıdaki açıklananlar gibi kablolamayı başlatabilirsiniz.

2.5.6. Kablolama Tercihleri Ayarı

Aşağıdaki süreçleri takip ederek otomatik kablolamayı Multisim’in nasıl kontrol edeceği tercihlerinizi ayarlayabilirsiniz. Kablolama tercihlerinizi ayarlamak için: 1. Options / Global Preferences seçin ve General sekmesini seçin. 2. Wiring kutusunda aşağıdaki seçeneklerden birini veya hepsini etkinleştirin:

Autowire When Pins are Touching – bir bileşenin ucuna, başka bir uca veya bir bağlantı noktasına bir kablo dokunduğunda otomatik bağlantı yapar.

Page 41: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

33

Autowire on Connection – seçilmiş bileşenleri birlikte kablolamanın en verimli yolunu seçin. Devre dışı bu seçenek imlecinizin kesin yolunu Multisim’in takip etmesi gibi bir kablo yolu üzerinde daha çok kontrol sağlar.

Autowire on Move – bir kablolanmış bileşeni hareket ettirdiğinizde Multisim en verimli yolla kabloyu yeniden çizer. Bu onay kutusu seçildiğinde for components with less than the following number of connections alanı etkinleşir. Bu alanda varsayılan değeri bırakabilir veya istediğiniz gibi değiştirebilirisiniz. Autowire on Move onay kutusu devredışı olması kablolanmış bileşen hareket ettirildiği yön ve şekilde kesinlikle taşınacak kablo anlamına gelir.

3. Delete associated wires when deleting onay kutusu etkinleştirin veya devre dışı bırakın. Etkinleştirince, bir bileşene eklenmiş kablolar bileşen silindiğinde silinecektir.

4. OK tıklayın.

2.5.7. Kablo Yolunu Düzenleme

Bir kez yerleştirilen bir kablonun konumunu değiştirmek için: 1. Kablo üzerine tıklayın. Kablo üzerinde sürükleme noktasının bir sayısı görüntülenir.

Sürükleme noktaları

2. Bunun herhangi bir yerine tıklayın ve şekli düzenlemek için sürükleyin veya daha yaygın olarak

imlecinizi kablo üzerinde herhangi bir yerde taşıyın. İmleciniz çift ok işaretine dönüştüğünde tıklayın ve şekli düzenlemek için okların yönünde sürükleyin.

Kablo üzerinde daha fazla kontrol sağlamak için sürükleme noktasını kaldırabilir veya ekleyebilirsiniz. Sürükleme noktası eklemek veya kaldırmak için klavyeniz üzerinde CTRL’ye basın ve sürükleme noktası ekleme veya kaldırmak istediğiniz konumda kablo üzerinde tıklayın.

2.5.8. Kablo Renk Kontrolü

Kablolar için varsayılan renk Sheet Properties ile kontrol edilir. Yerleştirilmiş bir kablonun veya bir kablo bölümünün rengini varsayılan değerden değiştirmek için kablo üzerine sağ tıklayın ve görüntülenen açılan menüden Change Color veya Segment Color seçin. Renk paleti ile tanımlayabilirsiniz. Bir renk seçin ve seçilmiş parçayı uygulamak için OK tıklayın.

2.5.9. Bir Kabloyu Taşıma

Şeklinizde başka bir konuma kabloyu taşımak ve kabloyu kesmek için: 1. Kesmek istediğniiz kablo noktasında imlecinizi yerleştirin. İmleç aşağıda görüldüğü gibi iki paralel

çizgili “X” işaretine dönüşür.

İmleç

2. Bir kez tıklayın. İşaretçi artıya dönüşür. 3. Kabloyu yeniden bağlamak istediğiniz yerdeki uca imleci taşıyın ve bir kez tıklayın. Kablo yeni

konuma şimdi bağlanmıştır.

2.5.10. Sanal Kablolama

Bir devrenin bir sayfası içinde bir ağ aynı sayfada diğeri gibi aynı isimle elle yeniden isimlendirilebilir. Bu durumda iki ağ birlikte birleştirilir. Bu sanal kablolama olarak isimlendirilir ve devrelerin karmaşıklığını azaltmada kullanılır. Belirli saklı ağlar dışında (örneğin VCC) sanal kablolama hiyerarşide karşı seviyelerde veya karşı sayfalarda kullanılmamalıdır. Bileşenler arasında sanal bağlantı yapmak için bileşenlerin ağ isimlerini aşağıda açıklandığı gibi düzenleyin: 1. Kablo üzerinde çift tıklayın. Net iletişim kutusu görüntülenir. Şekil üzerinde görüntülenen ağ ismi Net

Name alanında gösterilir.

Page 42: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

34

2. Sanal bağlantı yapmak istediğiniz ağa eşlemek için Net Name değiştirin. Örneğin eğer 21 numaralı ağa seçilmiş ağı bağlamak istiyorsanız, Net Name alanında 21 girin.

3. Ayarlarınızı onaylamak için OK tıklayın. İptal etmek için Cancel tıklayın. Not Simülasyon veya PCB ortamı tarafından anlaşılan devrenin bağlantıları kritik olduğu için ağ isimlerini değiştirirken dikkatli olmalısınız. Multisim bu kopyalamayı istediğinizi onaylamanızı ister. Yes tıklayın. Multisim aynı ağ numaralı uçlar arasında sanal bir bağlantı oluşturur.

2.6. Manüel Olarak Bir Bağlantı Noktası Ekleme

Multisim kesişmiş ama bağlanmamış kablolardan farklı olan bir kabloyu diğer kabloya bağladığınızda otomatik olarak bağlantı noktası ekler. İstediğiniz gibi çalışma alanı üzerinde bağlantı noktasını manüel olarak yerleştirebilirsiniz. Bir bağlantı noktasını manüel olarak eklemek için: 1. Place / Junction seçin veya çalışma alanı üzerinde sağ tıklayın ve açılır menüden Place Schematic /

Junction seçin. İmleciniz yerleşmeye hazı bir bağlantı noktasını işaret edecek şekilde değişir. 2. Bağlantı noktası yerleştirmek istediğiniz konumda tıklayın. Seçilmiş konumda bir bağlantı noktası

görüntülenir. Not Çalışma alanı üzerine herhangi bir devre elemanı eklemeksizin ve doğrudan bileşen ucunun sonuna kablo üzerine bir bağlantı noktası yerleştirebilirsiniz. Eğer kesişen iki kablo üzerinde bir bağlantı noktası yerleştirirseniz onlar elektriksel olarak bağlanacaktır.

Toprak kablolandığında bağlantı noktası otomatik olarak yerleştirilmiştir.

Çalışma alanı üzerinde bağlı olmayan direk bağlantı noktası yerleştirilmiş

Elektriksel bağlı biçimde kesişen iki kabloya bağlantı noktası yerleştirilmiş

Bir bileşen ucunun sonuna bağlantı noktası yerleştirilmiş

Yerleştirilmiş bağlantı noktasından bir bağlantı yapmak için: 1. İmleç artı simgesine değişene kadar imlecinizi yakın bağlantı noktasına taşıyın. 2. Tıklayın ve istediğiniz konuma bir bağlantı noktasından kabloyu sürükleyin ve yerleştirmek için

tıklayın.

2.7. Yerleştirilmiş Bileşenlerin Döndürülmesi ve Çevrilmesi

Açılır menü veya seçilen bileşenler ve Edit menüsünde kullanılan komutlar ile bir yerleştirilmiş bileşen döndürülebilir veya çevrilebilir. Aşağıdaki talimatlar açılır menü yöntemi yalnızca açıklar, ama Edit menüsünde bulunan komutlar yerleştirilmiş bileşenlerin döndürülmesi veya birleştirilmesi için komutlar aynıdır. Bir bileşeni döndürmek için: 1. Bileşen üzerine sağ tıklayın.

Page 43: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

35

2. Görüntülenen açılır menüden 90 derece saat yönünde bileşeni döndürmek için 90 Clockwise seçin veya 90 derece saat ibresi tersi yönünde bileşeni döndürmek için 90 CounterCW seçin.

Örneğin:

Döndürülmemiş 90 derece saat yönünde döndürülmüş

90 derece saat ibresi tersi yönünde döndürülmüş

Not Bileşenle metni birleştirmek, etiket, model ve değer bilgileri gibi, eylemin bir sonucu olarak döndürülür ve yeniden konumlandırılacaktır. Uç numaraları birleşmiş uç boyunca yönlendirilecektir. Bileşene bağlı herhangi bazı kablolar lastik bant kullanarak bağlantıları korumak için otomatik olarak yeniden yönlendirilir. Bu olanları istemiyorsanız onu Preferences iletişim kutusunun Wiring sekmesinde kontrol edilebilir. Bir bileşeni çevirmek için: 1. Bileşen üzerinde sağ tıklayın. 2. Görüntülenen açılır menüden bileşeni yatay çevirmek için Flip Horizontal seçin veya bileşeni dikey

olarak çevirmek için Flip Vertical seçin. Not Bileşenle metin birleştirmek model bilgisi ve değerleri yeniden konumlandırılabilir ama çevrilmez. Bileşene bağlı herhangi bir kablo otomatik olarak yeniden yönlendirilir. Örneğin:

Çevrilmemiş Yatay çevrilmiş Dikey çevrilmiş

2.8. Devrenizde Bileşenlerin Bulunması

Bir bileşen veya ağın kolaylıkla yerini tespit etmek istediğiniz çok büyük şematik diyagramlar için Find Component iletişim kutusunu kullanabilirisiniz. Çalışma alanında bir bileşen veya bir ağı kolaylıkla bulmak için: 1. Edit / Find seçin. Find Component iletişim kutusu görüntülenir. 2. Aşağıda açıklandığı gibi Reference Designator–RefDes veya Net Name bir parçası veya tamamını

ifade eden What Find alanında bir metin girin. Jokerlere izin verilir. Örneğin:

“V1” yalnızca tam “V1” metnini bulur.

“*1”, herhangi “1” ile sonlanan metni bulur.

“V*” herhangi “V” ile başlayan metni bulur.

“*V*” herhangi “V” içeren metni bulur.

Metinde herhangi bir yerde bir “?” tam bir karaktere uyacaktır. Örneğin “R?” “R1” e uyacaktır, ama “R12” ye değil.

3. Search for kutusunda aşağıdaki düğmelerden birini seçin:

All – girilen metin için bütün elemanları arar.

Parts – girilen metin için bütün parçaları arar.

Nets – girilen metin için bütün ağları arar.

Off-page connectors – girilen metin için bütün kapalı sayfa konektörleri arar.

HB / SC Connectors – girilen metin için bütün HB / SC konektörleri arar. 4. Search Options kutusunda Search from aşağı listeden aşağıdakilerden birini seçin:

Current Sheet – yalnızca geçerli sayfanın içeriğinde arama yapılacaktır.

Current Design – yalnızca geçerli tasarımın içeriği üzerinde arama yapılacaktır.

All Open Sheets – bütün açık tasarımların içeriği üzerinde arama yapılacaktır. 5. İsteğe bağlı olarak Search Options kutusunda aşağıdaki onay kutularından bir veya ikisini seçin:

Match Case – eğer arama durumu hassasiyetini yapmak istediğinizde seçin.

Page 44: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

36

Match Whole Word Only – girilen metine uyan yalnızca bütün kelimeleri bulmak istediğinizde tıklayın. Eğer seçilmezse, herhangi bir yerde girilen metin için arama olacaktır. Örneğin eğer “1” girilirse “V1”, “R1”, “C1” gibi arama sağlanacaktır.

6. Find düğmesine tıklayın. Aramanızın sonuçları Spreadsheet View’in Results sekmesinde görüntülenir.

İstenilen sonuç üzerine çift tıklayın.

7. Results sekmesinde istenilen parça üzerinde çift tıklayın. Eleman çalışma alanı üzerinde aşağıdaki

örnekteki gibi seçilir.

Ekrandan gölgeyi temizlemek için çalışma alanı üzerine tıklayın.

Not İstenilen sonuç üzerinde sağ tıklayabilirsiniz ve görüntülenen açılır menüden Go to seçin.

2.9. Etiketleme

2.9.1. Bileşen Etiketleri ve Niteliklerini Düzenleme

Yerleştirilmiş bileşenin Reference Designator değiştirme veya bir etiket atama için: 1. Bileşen üzerinde çift tıklayın. Bileşenin özellikleri iletişim kutusu görüntülenir. 2. Label sekmesine tıklayın. 3. Label veya RefDes (belirli karakter veya boşluklar içermeyen – yalnız sayılar veya belgelerin

oluşturulduğu) düzenleyin veya girin. 4. Bileşen Attributes (herhangi bir isim veya değeri vermek için tercih edilebilen) düzenleyin veya girin.

Örneğin “yeni direnç” veya “15 Mayısta düzenlendi” gibi anlamlı bir isim veya üretici ismi verebilirsiniz. 5. Show sütununda tıklanarak görüntülemek için bileşen niteliklerini seçin. Nitelikler bileşen ile

görüntülenecektir. Not Eğer aynı RefDes birden fazla bileşene atarsanız Multisim bunun olanaksız olduğunu bildirir. Bütün RefDes’lerin tek olması için RefDes’i değiştirmelisiniz veya ilerlemeden önce Cancel seçin. 6. Değişikliklerinizi iptal etmek için, Cancel’e tıklayın. Değişikliklerinizi saklamak için OK tıklayın.

2.9.2. Ağ İsimlerini Düzenlenmesi

Multisim otomatik olarak devrede her bir düğüme bir ağ ismi atar. İstenirse devre tasarımına anlamlı bir ağ ismi düzenleyebilirsiniz. Örneğin “çıkış” olarak bir ağ ismini değiştirmek isteyebilirsiniz. Not Eğer VCC ve GND gibi saklı genel ağları belirlemek için ağ ismini düzenlemeyi planlıyorsanız, uygulanacak bazı hususlar vardır. Bir ağ ismini düzenlemek için: 1. Bir kablonun üzerine çift tıklayın. Net iletişim kutusu görüntülenir. 2. Ağ ismini istediğiniz gibi değiştirin. 3. Ayarlarınızı uygulamak için OK tıklayın. İptal etmek için Cancel tıklayın.

Page 45: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

37

Not PCB ortamı ve simülasyon tarafından anlaşıldığı gibi devrenizin bağlantısında kritik olan ağ ismi değiştirildiğinde dikkat etmelisiniz. Not Toprağa herhangi bir kablonun bağlantısı için bir ağ ismini değiştirmeye izin vermeyin. Bunun için ağ ismi kalıcı olarak “0” ayarlıdır. Ancak Label alanında girilen bir isim ve toprak eklenmiş herhangi bir kabloya çift tıklayarak ağ için etiketi değiştirebilirsiniz. Bir kablo taşındığında taşınmayacak olan bir konumda bir ağ ismini kilitlemek için ağ ismi üzerinde çift tıklayın ve yönlendirildiğinde Yes tıklayın. Ağ ismi üzerine sağ tıklayabilirsiniz ve Lock seçin. Kilit yerleştirilmiş bir ağ isminden kiliti kaldırmak için ağ ismi üzerine çift tıklayın ve yönlendirildiğinde Yes tıklayın. Ağ ismi üzerine sağ tıklayabilirsiniz ve Unlock seçebilirsiniz.

2.9.3. Bir Başlık Bloğu Ekleme

Faydalı başlık bloğu düzenleyici özelleştirilmiş başlık bloğu oluşturmanıza izin verir. İstenirse bir başlık bloğu tasarımınızın her sayfasına dâhil edilebilir. Başlık bloğunda değişik alanlar çeşitli belge özellikleri ve içeriğe bağlı olarak otomatik olarak tamamlanır. Başlık bloğu tasarlandığında önceden tanımlanmış alanları seçebilirsiniz veya kendiniz oluşturabilirsiniz. Başlık blokları metin, çizgi, çember, bezier eğrisi, dörtgen, oval, resimler gibi elemanlar içerir. Devrenize bir başlık bloğu eklemek için: 1. Place / Title Block seçin. Standart “Aç” iletişim kutusu görüntülenir. Eğer gerekliyse, Title Blocks

klasörüne bakın. 2. İstediğiniz başlık bloğu şablonunu seçin ve Open tıklayın. Seçilmiş başlık bloğu imlecinize eklenmiş

olarak görüntülenir. İstediğiniz konumda tipik olarak sayfanın sol alt köşesine onu sürükleyin ve bırakın.

3. Sağ tıklayarak bunlardan birini seçin ve yerleştirilmiş başlık bloğunu taşıyabilirsiniz:

Move to / Bottom Left – çalışma alanının sol alt köşesine başlık bloğunu yerleştirir.

Move to / Bottom Right – çalışma alanının sağ alt köşesine başlık bloğunu yerleştirir.

Move to / Top Left – çalışma alanının sol üst köşesine başlık bloğunu yerleştirir.

Move to / Top Right – çalışma alanının sağ üst köşesine başlık bloğunu yerleştirir. 2.9.3.1. Başlık Bloğu İçeriğinin Girilmesi Başlık bloğunun içeriğini düzenlemek için: 1. Başlık bloğu üzerine sağ tıklayın ve görüntülenen açılır menüden Properties seçin veya başlık bloğu

üzerine çift tıklayın. Title Block iletişim kutusu görüntülenir. 2. Görüntü bilgileri bu adımda açıklanmaktadır. İstediğiniz gibi düzenleyin ve OK tıklayın. Not Eğer Title Block bir alan bilgi içeriyorsa ve bu bilgi başlığınızda görüntülenmiyorsa başlık bloğunda yerleştirilmemiş bilgi içeren bir alan olduğu içindir. Eğer bu olursa, başlık bloğuna sağ tıklayın. Title Block Editor seçin ve başlık bloğunda gerekli alanı yerleştirin.

Title – devrenizin başlığı. Devrenin dosya ismi varsayılandır. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #TITLE alanına karşılık gelir.

Description – projeniz için bir açıklama. Project1 varsayılandır. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #DSCRPT alanına karşılık gelir.

Designed by – tasarımcının ismi. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #DESIGNED alanına karşılık gelir.

Document No. – belge numarası. 0001 varsayılandır. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #DOC_N alanına karşılık gelir.

Revision – devrenin gözden geçirme numarası. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #REV alanına karşılık gelir.

Checked by – devre kontrol personelinin ismi. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #CHECKED alanına karşılık gelir.

Date – devrenin oluşturulduğu tarih varsayılandır. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #DATE alanına karşılık gelir.

Size – sayfanın boyutu, örneğin “A”. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #FMT alanına karşılık gelir.

Approved by – devreyi onaylayan kişini ismi. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #APPROVED alanına karşılık gelir.

Page 46: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

38

Sheet – sayfaların toplam sayısı ve geçerli sayfanın numarası. Örneğin sayfa 3’ün 2’si. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #SN ve #TSN alanlarına karşılık gelir.

Custom Field 1 – buraya girilen bilgi Custom Field 1’e yerleştirilecektir. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #CUSTOM_1 alanına karşılık gelir.

Custom Field 2 – buraya girilen bilgi Custom Field 2’e yerleştirilecektir. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #CUSTOM_2 alanına karşılık gelir.

Custom Field 3 – buraya girilen bilgi Custom Field 3’e yerleştirilecektir. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #CUSTOM_3 alanına karşılık gelir.

Custom Field 4 – buraya girilen bilgi Custom Field 4’e yerleştirilecektir. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #CUSTOM_4 alanına karşılık gelir.

Custom Field 5 – buraya girilen bilgi Custom Field 5’e yerleştirilecektir. Başlık bloğu düzenleyiciyi kullanarak yerleştirilmiş #CUSTOM_5 alanına karşılık gelir.

Not Title Block Editor görüntülenen alanın büyüklüğü başlık bloğu alanına yerleştirilen gerçek metinle aynı değildir. Başlık blok metni metnin miktarı kadar geniş olacaktır. Bu yazı tipi boyutuna bağlıdır. Eğer iki alan yerleştirilirse biri diğerini kapatabilir. Title Block iletişim kutusunda aşağıdaki belirli simgeleri yerleştirebilirsiniz. Çalışma alanına geri döndüğünüzde simge aşağıda açıklandığı gibi metin olarak yerleştirilecektir:

&p – sayfa numarası.

&P – toplam sayfa sayısı.

&d – tarih.

&t – zaman.

&s – sayfa ismi.

&j – proje ismi.

&& – &işareti.

2.9.4. Çeşitli Metinler Ekleme

Multisim devreye örneğin devrenin belirli bir parçasını etiketlemek için metin eklemenize izin verir. Metin eklemek için: 1. Place / Text seçin veya çalışma alanı üzerinde sağ tıklayın ve açınla menüden Place Graphic / Text

yerleştirin. 2. Metni yerleştirmek istediğiniz konumda tıklayın. Yanıp sönen imleç ile bir metin kutusu görüntülenir. 3. Metni yazın. Yazımı tamamlandığınızda ve çalışma alanında herhangi bir yere tıkladığınızda

doğrudan metin kutusu boyutlandırılır.

İkinci adımda tıkladığınız yerde metin görüntülenir.

4. Metin eklemeyi durdurmak için devre penceresi üzerinde herhangi bir yere tıklayın. Metni silmek için metin kutusu üzerine sağ tıklayın ve görüntülenen açılır menüden Delete seçin veya metni seçin ve klavyenizde DELETE tuşuna basın. Metnin rengini değiştirmek için, metin kutusu üzerinde sağ tıklayın açılan menüden Pen Color seçin ve istediğiniz rengi seçin. Metin için yazı tipi seçeneklerini değiştirmek için metin kutusu üzerinde sağ tıklayın açılan menüden Font seçin ve istediğiniz yazı tipi seçeneğini seçin.

2.9.5. Açıklama Ekleme

Takım üyeleri arasında işbirliği çalışmalarını kolaylaştırmak için veya bir tasarıma eklenecek olan arka plan bilgilerine izin vermek için teknik değişiklik tercihlerinin kullanılabildiği vurgulu bir yorum eklemeye izin verir. Çalışma alanına veya bir bileşene doğrudan bir yorum ucu ekleyebilirsiniz. Eklenmiş yorumlu bir bileşeni hareket ettirdiğinizde yorum da taşınır. Çalışma alanına veya bir bileşene açıklama ucu eklemek için: 1. Place / Comment seçin. 2. İstediğiniz konuma imleci taşıyın ve açıklamayı yerleştirmek için tıklayın.

Page 47: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

39

Bileşene yorum eklenmiş. Eğer bileşen taşınırsa yorum da onunla taşınır. Çalışma alanına bir yorum eklenmiş

Yerleştirilmiş bir yoruma metin girmek için: 1. Yerleştirilmiş yoruma çift tıklayın. Comment Properties iletişim kutusu görüntülenir. Açıklama

katmanına Drawing Layer listesinde görüntülenecektir. Eğer istenirse bu değiştirilir. 2. İletişimin altındaki alanda istediğiniz metni yazın. Eğer uyarının içeriğini göstermek istiyorsanız Show

Popup Window onay kutusunu etkinleştirin. 3. İsteğe bağlı olarak Color kutusunda Background ve Text renklerini ayarlayın. Not Eğer araç düğmesi üstünde bulunuyorsa araç ipucu imlece eklenen görüntülenen metne başvurur. 4. Size kutusunda Width ve Height girin veya Auto Resize bütün içeriği göstermek için otomatik olarak

yeniden boyutlandırılan bilgi kutusu olması için etkinleştirin. 5. Tercihen açıklamanın yazı tipini değiştirmede Font sekmesini tıklayın. 6. OK tıklayın. Display sekmesinde Show Popup Window onaylarsanız açıklama görüntülenir. Başka bir

deyişle Comment simgesi görüntülenir.

Göster onay kutusu etkinleştirildiğinde açıklama.

Göster onay kutusu etkin değilken açıklama

Not Comment Properties iletişim kutusunu kullanmaksızın metin girmek için yerleştirlmiş açıklama üzerine sağ tıklayın, açılır menüden Edit Comment seçin ve istediğiniz metni yazın. Gizlenmiş bir açıklamayı görüntülemek için istenilen Comment simgesi üzerinde sağ tıklayın ve görünen açılır menüden Show Comment / Probe seçin. Gizlenmiş bir açıklama içeriğini görmek için yorum üzerine imleci getirin. İmleç hareketlendiğinde açıklama görünmez. Görüntülenen bir açıklamanın boyutunu değiştirmek için: 1. Metin kutusunu vurgulanır. 2. Görünen kollar sürüklenir.

Metin boyutu kollarını sürükleyin.

2.9.6. Grafik Açıklaması

Çalışma alanınıza aşağıdaki grafik elemanlarını eklemek için Graphic Annotation kullanın. Çizgi, çoklu çizgi, dörtgen, oval, yay, çokgen, resim, açıklama. Bir grafik elemanı eklemek için:

Page 48: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

40

1. Eğer Graphic Annotation araç çubuğu gösterilmiyorsa, View / Toolbars / Graphic Annotation seçin veya menü alanında sağ tıklayın ve görüntülenen açılır menüden Graphic Annotation seçin.

2. İstediğiniz grafik elemanı için Graphic Annotation araç çubuuğndaki düğmeye tıklayın ve aşağıdaki tablodan yönlendirmeleri takip edin.

Düğme Açıklama

Çalışma alanı üzerinde bir metin yerleştirmek için bu düğmeye tıklayın. Sonra metni yerleştirmek istediğiniz yerdeki konuma çalışma alanı üzerinde tıklayın ve istediğiniz metni yazın. Tamamlandığında çalışma alanında herhangi bir yere tıklayın. Metin kutusu otomatik olarak metninizi görüntülemek için boyutlandırılır.

Çizgi çizmek için bu düğmeye tıklayın. İmleç bir artı işaretine dönüşür. Çizgiyi başlatmak istediğiniz yerde artıyı yerleştirin ve tıklayın ve farenin düğmesini basılı tutun. Çizgiyi sonlandırmak istediğiniz yerde artıyı sürükleyin ve farenin düğmesini bırakın. (bir ok eklemek için, yerleştirilen çizgi üzerine sağ tıklayın ve açılır menüden ok seçin).

Çoklu bağlanmış çizgi parçaları oluşan çoklu çizgi çizmek için bu düğmeye tıklanır. İmleç artıya dönüşür. Çoklu çizgiye başlamak istediğiniz yerde artıyı yerleştirin ve tıklayın ve farenin düğmesine bir kez tıklayın. Çoklu çizginin geçerli kısmını sonlandırmak istediğiniz yerde artıyı taşıyın ve düğmeyi bir kez tıklayın. Çoklu çizginin bütün parçaları çizilene kadar yineleyin. Çoklu çizginin son parçası çizildiğinde farenin düğmesine çift tıklayın. (bir ok eklemek için, yerleştirilen çizgi üzerine sağ tıklayın ve açılır menüden ok seçin).

Bir dörtgen çizmek için bu düğmesi tıklayın. İmleç artıya dönüşür. Dörtgenin başlamak istediğiniz yerde artıyı yerleştirin ve tıklayın ve farenin düğmesini basılı tutun. Dörtgeni sonlandırmak istediğiniz yerde artıyı tıklayın.

Bir ovali çizmek için bu düğmeye basın. İmleç artıya dönüşür. Ovalin merkezini yerleştirmek istediğiniz yerde artıyı yerleştirin ve tıklayın ve farenin düğmesini basılı tutun. Ovali sonlandırmak istediğiniz yere artıyı sürükleyin ve farenin düğmesini bırakın.

Bir yay çizmek için bu düğmeye tıklayın. İmleç artıya dönüşür. Yayın merkezini yerleştirmek istediğiniz yere artıyı yerleştirin ve tıklayın ve farenin düğmesini basılı tutun. Yayı sonlandırmak istediğiniz yere artıyı sürükleyin ve farenin düğmesini bırakın. Yay çizilirken bir oval gibi görüntülenecektir. Ama bırakıldığında ovalin yalnızca sağ kenarı görüntülenecektir. Böylece yay olacaktır.

Çokgen çizmek için bu düğmeye tıklayın. İmleç artıya dönüşür. Çokgen başlatmak istediğiniz yere artıyı yerleştirin ve farenin düğmesine bir kez tıklayın. Çokgenin geçerli parçasını sonlandırmak için artıyı taşıyın ve butona bir kez tıklayın. Çokgenin bütün parçaları çizilene kadar yineleyin. Çokgenin son parçası çizildiğinde farenin düğmesine çift tıklayın.

Çalışma alanı üzerinde bir resim yerleştirmek için bu düğmeye tıklayın. İstenilen Bitmap görüntüsü seçebileceğiniz iletişim açılır. Ya “.bmp” ya da “.dib” dosyası yerleştirebilirsiniz.

Çalışma alanı üzerine bir açıklama yerleştirmek için bu düğmeye tıklayın.

Yerleştirilmiş grafik bileşenlerinin boyutunu değiştirmek için bileşeni seçin ve tıklayın ve görüntülenen sürükleme noktasından sürükleyin.

Sürükleme noktası

Not Yerleşik grafikler açılır menü kullanarak üzerinde oynama yapılabilir.

2.9.7. Ekran Yakalama Alanı

Ekran alanı yakalayabilirsiniz ve sonra sistem panosunda herhangi diğer ekran yakalama içeriği gibi resimle oynayabilirsiniz. Örneğin Circuit Description Box içine yapıştırabilirsiniz. Panoya ekranın bir kısmını kopyalamak için: 1. Tools / Capture Screen Area seçin. Bir seçim çerçevesi çalışma alanınızda görüntülenir.

Page 49: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

41

Copy (kopyala) tıklandığında seçim çerçevesi içindeki alan panoya kopyalanacaktır.

2. Farklı konuma çerçeveyi taşımak için:

Çerçevenin kenarına imlecinizi taşıyın. Bir artı imlece eklenecektir.

Seçim çerçevesini taşımak için boyutlandırma kollarını seçmeyin. Bir artı imlece eklenir, seçim çerçevesini belirleyen farklı bir konuma taşınabilir.

İstenen konuma seçim çerçevesini sürükleyin. 3. Seçim çerçevesini yeniden boyutlandırmak için

Boyutlandırma kollarından birini imlece taşıyın.

Boyutlandırma kolları

İmleç ok doğrultusunda değiştirir.

Seçim çerçevesini yeniden boyutlandırmak için imleci sürükleyin. 4. Seçim çerçevesinin sol köşesi üstünde Copy düğmesine tıklayın. Seçim çerçevesinin içindeki resim

sistem panosuna kopyalanır. 5. Kapamak için seçim çerçevesinin sağ üst köşesindeki “X” tıklayın.

2.10. Circuit Description Kutusu

Buna ek olarak devrenin belirli bir kısmına metin eklemek için Circuit Description Box kullanarak devrenize genel açıklamalar ekleyebilirsiniz. Circuit Description Box içinde bitmaps, ses ve video yerleştirebilirsiniz. Circuit Description Box içeriği Circuit Description Box penceresinin (View / Circuit Description Box seçin) üst panosunda görüntülenir. Circuit Description Box içeriğini düzenlemek için Tools / Description Box Editor seçin. Not Circuit Description Box üst panosu Multisim’in Forms işlevselliğini kullanarak girilen belirli sorular içerir.

Page 50: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

42

Bir açıklama eklemek veya düzenlemek için: 1. Tools / Description Box Editor seçin. Edit Description penceresi görüntülenir. 2. Pencere içine doğrudan yazarak metni girin veya bir Bitmap gibi yerleştirmek için Insert / Object seçin. Not Edit Description penceresinde yapılan değişiklikler Circuit Description Box içinde (View / Circuit Description ile seçilmiş) Edit Description penceresinden çıkana kadar yansıtılmaz. 3. Description Edit Bar ihtiyaç olan Circuit Description Box içeriğini düzenlemek için kullanılır. 4. Girilen metni tamamladığınızda File / Close seçin. Edit Description penceresi kapanır ve Multisim ana

çalışma alanına döner. Açıklamanızı yazdırmak için Edit Description penceresinden Print düğmesini tıklayın.

2.10.1. Circuit Description Kutusunun Biçimlendirilmesi

Aşağıdaki listedeki gibi Circuit Description Box biçimlendirebilirsiniz:

Pragraph Dialog Box – paragraf biçimlendirme bilgisini girmek için kullanın.

Tabs Dialog Box – sekme ayarlarını girmek için kullanın.

Date and Time Dialog Box – biçimlendirilmiş bir tarih ve zaman yerleştirmek için kullanın.

Options Dialog Box – ölçüm birimlerini ve metin paketleme ayarlarını ayarlamak için kullanın.

Insert Object Dialog Box – ekleme için nesnenin türünü seçmede kullanın. 2.10.1.1. Circuit Description Kutusu Metin Biçimlendirmesi Description Edit Bar üzerinden aşağıdaki komutları kullanarak Circuit Description Box içinde geçerli seçilmiş metni biçimlendirebilirsiniz:

Font düğmesi – istediğiniz yazı tipi ismi, boyutu gibi özellikleri seçmek için tıklayın.

Bold düğmesi – seçimi kalın yapmak için tıklayın.

Italic düğmesi – seçimi eğikleştirmek için tıklayın.

Underline düğmesi – seçimi altı çizmek için tıklayın. Not Yukarıdaki komutlar Format / Font seçilerek elde edilebilir.

Color düğmesi – metin için bir renk seçeceğiniz bir paleti görüntülemek için tıklayın. Bu palet Format / Font Color seçerseniz görüntülenecektir.

Left Justification düğmesi – seçili sayfanın sol kenarı boyunca hizalamak için tıklayın. Bu komutu uygulamak için Format / Align Left seçebilirsiniz.

Center Justification düğmesi – seçilmiş paragrafı merkeze hizalama için tıklayın. Bu komutu uygulamak için Format / Align Center seçebilirsiniz.

Right Justification düğmesi – seçilmiş paragrafın sağ kenarı boyunca hizalamak için tıklayın. Bu komutu uygulamak için Format / Align Right seçebilirsiniz.

Insert Bullet düğmesi – seçili paragrafın başlangıcında bir ilan eklemek için tıklayın. Bu komutu uygulamak için Format / Insert a Bullet seçebilirsiniz.

2.10.1.2. Paragraph İletişim Kutusu Circuit Description Box için paragraf biçimlendirme bilgisini girmek için Paragraph iletişim kutusunu kullanın. Circuit Description Box için paragraf biçimlendirme bilgisi girmek için:

1. Edit Description penceresini açın. 2. Paragraph iletişim kutusunu görüntülemek için Format / Paragraph seçin. 3. Indentation kutusunda istediğiniz gibi aşağıdaki alanlardaki ölçümleri girin:

Left – sol kenardan paragrafın sol kenar mesafesine olan girintidir.

Right – sağ kenardan paragrafın sağ kenar mesafesine olan girintidir.

First Line – sol kenardan paragrafın ilk çizgi mesafesine olan girintidir. 4. Alignment aşağı açılır listede sol, sağ veya merkeze hizalanıp hizalanmadığını seçin. 5. İletişim kutusunu kapatmak için OK tıklayın. 2.10.1.3. Tabs İletişim Kutusu Circuit Description Box için sekme ayarlarını girmede Tabs iletişim kutusunu kullanın.

Page 51: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

43

Circuit Description Box sekme ayarlarını girmek için:

1. Edit Description penceresini açın. 2. Tabs iletişim kutusunu görüntülemek için Format / Tab seçin. 3. Tab Stop Position alanında sekmenin istediğiniz konumunu girin ve Set tıklayın. Not Bir sekme yerleştirmek için üstteki cetvel çubuğunu tıklayabilirsiniz. Sekme ayarlarını kaldırmak için: 1. İstediğiniz sekme ayarını vurgulayın ve Clear tıklayın. 2. Bütün sekmeleri temizlemek için Clear All seçin. 2.10.1.4. Date and Time İletişim Kutusu Circuit Description Box içinde biçimlendirilmiş tarih ve zaman girmek için Date and Time iletişim kutusunu kullanın. Circuit Description Box içinde bir tarih ve zaman girmek için:

1. Edit Description penceresini açın. 2. Tarih ve zaman eklemek istediğiniz konuma tıklayın. 3. Date and Time iletişim kutusunu görüntülemek için Insert / Date and Time seçin. 4. Available Formats listesinden istediğiniz tarih / zaman biçimini seçin ve seçim yerleştirmek için OK

tıklayın. 2.10.1.5. Options İletişim Kutusu Circuit Description Box içinde kullanılan Ölçüm birimleri ve metin sarma ayarlarını seçmek için Options iletişim kutusunu kullanın. Ölçüm birimleri ve metin sarma ayarlarını ayarlamak için:

1. Edit Description penceresini açın. 2. Options iletişim kutusunu görüntülemek için Options / Rich Edit Options seçin ve Options sekmesini

tıklayın. 3. Measurement Units kutusunda Inches, Centimeters, Points, Picas seçeneklerinden birini seçin. 4. İsteğe bağlı olarak imleci sürüklediğiniz zamanda bir kelime seçmek için Automatic Word Selection

etkinleştirin. Bir kerede bir karakter seçmek istiyorsanız bu onay kutusunu temizleyin. 5. Rich Text sekmesini tıklayın ve bunlardan birin seçin:

No wrap – yazılan metin ENTER tuşuna basana kadar bir satırda olacaktır.

Wrap to window – yazılan metin pencerenin sonuna ulaşıldığında bir sonraki satıra gidecektir.

Wrap to ruler – yazılan metin sayfa sınırlarına ulaşıldığında yeni satıra gidecektir. 6. OK tıklayarak iletişim kutusunu kapatın. 2.10.1.6. Insert Object İletişim Kutusu Circuit Description Box eklemek için bitmap veya bir grafik gibi bir nesne seçmek için Insert Object iletişim kutusunu kullanın. Bir nesne eklemek için: 1. Edit Description penceresini açın. 2. Insert object iletişim kutusunu görüntülemek için Insert / Object seçin. 3. Yeni bir nesne oluşturmak için Create New düğmesini etkinleştirin (bu ayarlar varsayılandır). 4. Object Type listesinden istediğiniz nesneyi seçin. 5. İsteğe bağlı olarak dosya tanımlama simgesini görüntülemek için Display as Icon etkinleştirin. 6. OK tıklayın. Mevcut dosyadan bir nesne oluşturmak için: 1. Create from File düğmesini etkinleştirin. 2. File alanında istediğiniz dosya yolu ve adını girin veya Browse seçin ve Browse iletişim kutusundan

görüntülenen istediğiniz dosyaya ulaşın. 3. İsteğe bağlı olarak orijinal dosyaya nesne bağlantısı için Link etkinleştirin. Orijinal dosya

güncelleştirmeleri nesnede yansıtılır.

Page 52: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

44

2.10.2. Simülasyonu Süresince Olayların Kaydırılması

Açıklayıcı metin ve grafikler ile birlikte Circuit Description Box simülasyonda olaylar ile video, grafik ve metnin görüntü ve kaydırılma zamanlamasına izin verir. Metin, grafikler veya videonun görüntülemesi ve kaydırılmasının zamanlaması ile olan olaylarla prob özellikleri ayar ve tasarımında ölçüm probunu yerleştirerek yapın. 2.10.2.1. Simülasyon Süresince Metin Kaydırılması Bir simülasyon süresince otomatik olarak metni kaydırma yapmak için:

1. Circuit Description Box içinde istediğiniz gibi metni girin: 2. Simulate / Instruments / Measurement Probe seçin ve Aşağıdaki örnekteki gibi istenilen bir noktada

bir prob yerleştirmek için tıklayın. Simülasyon bu noktada çalıştırılmamalıdır. Bu istenilen olayın meydana geldiği noktadır. Örneğin gerilim 5Volt’a eşit olduğunda.

Yerleştirilmiş prob – devre simülasyonu yokken verinin olmadığının görüntülendiğine dikkat edin.

3. Probe Properties iletişim kutusunu görüntülemek için yerleştirilmiş proba çift tıklayın ve Description

Box sekmesine tıklayın. 4. New tıklayın. Yanıp sönen metin imleci Condition(s) alanına taşır. 5. Condition(s) alanının sağındaki düğmeyi tıklayın ve görüntülenen açılır menüden eşiti yapın. Bu

durumda şartı “V=5” girdiğimizde, probtaki gerilim 5Volt’a eşit olduğunda metin kaydırmaya gereken koşul elde edilecektir.

6. Action açılır listesinden Start Scrolling seçin. 7. Parameter alanında kaydırma hızını yazın. Örneğin “100” (Bu 10 saniye başına 100 pixel oranında

kaydırmaya eşittir). 8. Apply tıklayın. Aşağıdakine benzer bir şeyle sonlandırın.

9. İletişim kutusunu kapamak için OK tıklayın. Simülasyon çalıştırıldığında probtaki gerilim 5Volta eşit olduğunda metin kaydırılacaktır. Not Bir tetikleme kapatıldığında, Description Box Triggers alanında vurgulayın ve Enabled onay kutusunu seçimini iptal edin.

Page 53: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

45

10. Simulation araç çubuğunda Run / Resume Simulation tıklayın. Probe Properties iletişim kutusunda

karşılaşılan Description Box sekmesinde şartlar ayarlandığında Circuit Description Box içinde metin kaydırılacaktır.

Not Simulate tıkladığınızda Circuit Description Box açık bırakmayı unutmayın. 2.10.2.2. Bir Video Klip Oynatma Simülasyon süresince bir video klip oynatmak için: 1. Tools / Description Box Editor seçerek Edit Description penceresini açın. 2. Video klip yerleştirmek istediğiniz noktaya tıklayın. 3. Insert / Object seçin ve Create from File seçin. 4. Multisim’de yansıtılan orijinal dosyayı değiştirmek istiyorsanız Link etkinleştirin. 5. Browse seçin ve istediğiniz video klipe gidin. 6. Klipi yerleştirmek için OK tıklayın. 7. Klip üzerine bir kez tıklayarak onu seçin ve Insert / Label seçin. Görüntülenen Description Label

iletişiminin Label Name alanında etiketin ismini girin ve OK tıklayın. Klipin dosya ismi (bu durumda “Filmclip.mpg”) aşağıda gösterildiği gibi görüntülenir.

8. Simulate / Instruments / Measurement Probe seçin ve aşağıdaki örnekteki gibi istediğiniz noktada bir

prob yerleştirmek için tıklayın. Simülasyon bu noktada çalıştırılmamalıdır.

Not Bu nokta istenilen şartın oluşacağı noktadır. Örneğin gerilim 5Volt’a eşit olur.

Yerleştirilmiş prob – devre simülasyonu yokken verinin olmadığının görüntülendiğine dikkat edin.

9. Probe Properties iletişim kutusunu görüntülemek için yerleştirilmiş proba çift tıklayın ve Description

Box sekmesine tıklayın. 10. New tıklayın. Yanıp sönen metin imleci Condition(s) alanına taşır. 11. Condition(s) alanının sağına düğmeye tıklayın ve görüntülenen açılır menüden eşiti yapın. Bu

durumda şart “V=5” yaptığımızda klipi çalıştırmak için gereken şartın probtaki gerilim 5 Volt olduğunda elde edileceği anlamındadır.

12. Action açılır listeden Play Media Clip seçin. 13. Parameter alanında Circuit Description Box içinde video klip etrafına yerleştirilen etiketin ismini girin. 14. Accept tıklayın. Aşağıdakine benzer bir şey ile sonlandırın.

Page 54: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

46

15. İletişim kutusunu kapamak için OK tıklayın. Devre çalıştırıldığında probtaki gerilim 5Volt’a eşit iken video klip oynayacaktır.

16. Simulation araç çubuğunda Run / Resume Simulation tıklayın. Probe Properties iletişim kutusunun

Description Box sekmesinde parametre ayarları ile karşılaşıldığında Video klip çalıştırılacaktır. Not Simulate tıkladığınızda Circuit Description Box açık bırakmayı unutmayın. Not Yukarıdaki süreci kullanarak simülasyon süresince audio kliplerini de çalıştırabilirsiniz. 2.10.2.3. Açıklama Etiketi İletişim Kutusu Simülasyon süresince oluşan belirli eylemlerin işaret noktası olan Circuit Description Box etiketler ekleyebilirsiniz. Örneğin devredeki belirli düğümlerde gerilim ön ayar değerlerinin altına düştüğünde metinde belirli bir noktaya atlamak isteyebilirsiniz veya diğer parametre ile karşılaşıldığında video klip çalıştırmak isteyebilirsiniz. Metindeki ve film klipindeki belirli noktada etiketle işaretlenir. Circuit Description Box içine eklemek için bir etiket oluşturmada Description Label iletişim kutusunu kullanın. Bir etiket eklemek için: 1. Edit Description penceresini açın. 2. İstediğiniz etiket nesnesini veya metin bloğunu seçin. (Bu etiketin içeriği olacaktır). 3. Insert Label iletişim kutusunu görüntülemek için Format / Insert Label seçin. 4. Label Name alanında etiket için bir isim girin. 5. Etiketin içeriğini Circuit Description Box içinde görüntülemek istiyorsanız Show Content in Description

Bar onay kutusunu etkinleştirin. Not İkinci adımda seçtiğiniz nesne veya metin etiketin içeriğidir. 6. İletişim kutusunu kapamak ve seçiminizi onaylamak için OK tıklayın. Etiket aşağıdaki gibi görüntülenir.

Alt ve üst raylar etiketi gösterir

Etiket içeriği

2.10.2.4. Etiketleri Düzenle İletişim Kutusu Circuit Description Box içinde mevcut etiketleri düzenlemek için: 1. Edit Description penceresini açın. 2. Edit Lables iletişim kutusunu görüntülemek için Edit / Labels seçin.

Circuit Description Box içinde etiketlerin içeriğini göstermek veya gizlemek için istediğiniz gibi onay kutularını etkinleştirin veya iptal edin.

Page 55: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

47

Bir etiketi silmek için istediğniiz etiketi vurgulayın ve remove tıklayın. 2.10.2.5. Diğer Eylemler Probe Properties iletişim kutusunun Description Box sekmesinde aşağıdaki eylemleri ayarlayabilirsiniz:

Jump to Label – Condition(s) alanında girilen şartlarla karşılaşıldığında Circuit Description Box içindeki metin Parameter alanında girilen etikete atlayacaktır.

Pause Simulation – Condition(s) alanında girilen şartlarla karşılaşıldığında simülasyon Parameter alanında girilen zaman miktarı (saniyede) kadar duraksatılacaktır.

Stop Scrolling – Condition(s) alanında girilen şartlarla karşılaşıldığında Circuit Description Box içindeki metnin kaydırılması durdurulacaktır.

2.10.3. Description Edit Çubuğu

Açıklama düzenleme çubuğu aşağıdaki tabloda açıklanan düğmeleri içerir.

Düğme Açıklama

Add Question Link düğmesi, Geçerli imleç konumunda bir soru bağlantısı ekler.

Insert Date and Time düğmesi, Tarih ve zaman için biçim seçeceğiniz Date and Time iletişim kutusunu görüntüler.

View and Select Options düğmesi, Metin paketleme ve ölçüm birimlerini ayarlarını seçeceğiniz Options iletişim kutusunu görüntüler.

Insert Embedded Object düğmesi, Ekleme için nesnenin türünü seçeceğiniz Insert Object iletişim kutusunu görüntüler.

Create New Label düğmesi, Eklenecek olan yeni etiketin ismini gireceğiniz Description Label iletişim kutusunu görüntüler.

Edit Labels düğmesi, Edit Labels iletişim kutusu görüntülenir.

Bold düğmesi, Seçimi koyu yapar.

Italic düğmesi, Seçimi italik yapar.

Underline düğmesi, Seçimin altını çizer.

Left Justification düğmesi, Sol kenar boyunca seçilmiş paragrafı hizalar.

Center Justification düğmesi, Seçilmiş paragrafı merkeze hizalar.

Right Justification düğmesi, Sağ kenar boyunca seçilmiş paragrafı hizalar.

Font düğmesi, Seçilmiş metnin yazı tipi, boyutu ve rengini değiştirir.

Color düğmesi, Seçilmiş metin için bir renk seçeceğiniz bir renk paleti görüntüler.

Paragraph Format düğmesi, Paragraf biçim bilgisini gireceğiniz Paragraph iletişim kutusu görüntülenir.

Insert Bullet düğmesi, Seçilmiş paragrafın başlangıcında bir maddeleme ekler.

Insert Tabs düğmesi, Seçme biçim bilgisini gireceğiniz Tabs iletişim kutusunu görüntüler.

2.11. Bir Devreye Bir Şekil Bağlama

Bir tasarım gereği üzerine herhangi bir geri besleme veya tasarım öngörünümleri, onaylama için devreleri gördermeye Multisim’in şekil işlevselliğini kullanabilirsiniz. Şekil bir kez tamamlandığında tamamlanmış şekli içeren devre dosyası üreten kişye e postayı geri döndürülebilir. Eğitim sürümü kullanıcıları uzaktan tamalanan öğrencilere vermede sınavlar ve ödevler için faydalı kısımları bulacaklardır. Bir kez sorular cevaplandırıldığında tamamlanmış şekli içeren devre dosyası düğmeye tıklanarak eğitimciye eposta olarak gönderilir. Bir şekil soruların aşağıdaki türlerinin herhangi birini içerebilir.

Multiple Choice – doğru cevap iki veya daha fazla mümkün cevaptan seçilmiştir.

True / False – şekil üzerinde bir açıklama yapılır ve True veya False cevap seçilir.

Data Entry – sınırlandırılmış veri girişi için bir alan sağlar.

Free Form – çok geniş veri girişi için bir alan sağlar. Çift tıklandığında bağlantılı bir soruya doğrudan şekil doldurarak alacak olan Circuit Description Box içinde bağlantı ekleyebilirsiniz. Bu şekle koymak istediğiniz daha çok metin gerektirebilen belirli soruların daha fazla tanımlanmasında veya açıklandığında faydalıdır.

Page 56: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

48

Aşağıdaki kısımda bir şeklin nasıl oluşturulduğu, Circuit Description Box’ndan şekle nasıl bağlantı olduğu, şekil alt görev seçenekleri nasıl ayarlandığı ve alıcının formu nasıl dolduracağı ve göndereceği tartışılmıştır.

2.11.1. Şekiller Oluşturma

Bu talimatlar yeniden göründüğünde lütfen Multisim’in eğitim sürümünde Edit Questions olarak anılan soruların girildiği iletişim kutusuna yazın. Bu iletişim kutusuna geçmek için Edit / Questions seçin. Bir şekil oluşturmak için: 1. Edit / Questions seçin. Edit Form iletişim kutusu görüntülenir.

Title – şekil göründüğünde bir başlık gibi görüntülenecek metin buraya girilir.

Instruction – bu alana istediğiniz talimatları girin. Form göründüğünde ilk soru üstünde görünecek metin buraya girilir.

User Profile – sorular formda görüntülendiğinde kullanıcı düzenlenebilir alanıyla buraya girilen her satır ayrı bir çizgi olarak görünür. Bu alanda istediğiniz gibi parçaları silebilir, düzenleyebilir veya ekleyebilirsiniz.

2. Add a Question düğmesine tıklayın ve açılır menüden istediğiniz soru türünü seçin. Seçiminizi

yansıtan Edit Form iletişim kutusu değişir. 3. Aşağıdakini esas alan soruyu girin:

Multiple Choice - Question alanında bir soru ekleyin ve Candidate Answers alanında mümkün cevapları girin.

Ture / False – Question alanında doğru veya yanlış cevap gerektiren bir soru girin.

Data Entry – Question alanında bir soru veya talimat girin.

Free Form – Free Form alanında bir soru veya talimat girin. 4. İlerlediğinizde Edit Form iletişim kutusunun Questions ağacında soru türü görünür.

İletişim kutusunda içeriği görüntülemek için ağaçtaki belirli bir soruya tıklayın.

Tamamen kaldırmak için Remove Selected Question düğmesine tıklayın.

Ağaçta seçilmiş sorunun konumunu değiştirmek için yukarı aşağı okları kullanın. Circuit Description Box düşük levhada tamamlanmış şekil gördüğünüzde ağaçtaki soruların dizisi yansıtılacaktır.

5. İstediğiniz soruyu bir kez girdiğinizde Edit Form iletişim kutusunu kapamak için OK tıklayın. 6. View / Circuit Description Box seçin. Sorular Circuit Description Box’nun alt levhasında görüntülenir. 7. Şimdi form içeren Multisim devre dosyasını kaydedin. Tamamlanmış devre dosyası elektroniksel

olarak istediğniiz alıcıya gönderebilirsiniz.

2.11.2. Soruya Bağlantı Ekleme

Çift tıklandığında bağlantılı bir soruya doğrudan şekil doldurarak alacak olan Circuit Description Box içinde bağlantı ekleyebilirsiniz. Bir formda belirli sorulara Circuit Description Box’nda bağlantı eklemek için: 1. Form oluşturun. 2. Tools (araçlar) / description box editor (açıklama kutusu düzenleyici) seçin. 3. Genelde olduğu gibi metni, grafikleri girin. 4. Bir bağlantı eklemek istediğiniz yere imleci yerleştirin ve Insert (ekle) / question link (soru bağlantısı)

seçin. Select a form (bir form seç) iletişim kutusu görüntülenir. Geçerli form üzerinde bütün sorular listelenir.

5. Bağlantı olmasını istediğiniz soruyu vurgulayın ve OK (tamam) tıklayın. Bağlantı imlecin konumunda yerleştirilir.

6. İstediğiniz gibi diğer bağlantıları ekleyin ve Description Box Editor kapatın. Bağlantı içeren değişiklikleriniz Circuit Description Box’nda görüntülenir.

Page 57: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

49

7. Circuit Description Box’nun alçak levhasında bağlantılı soruya atlamak için Circuit Description Box’nda bir bağlantıya çift tıklayın.

2.11.3. Form Alt Görev Seçenekleri Ayarlama

Tamamlama için gönderilemeden önce formu oluşturan kişi tarafından genellikle form alt görev seçenekleri ayarlanır. Bu talimatlar göründüğünde Multisim’in eğitim sürümünde edit Question olarak anılan soru girilen yere iletişim kutusuna yazın. Bu iletişimi geçmek için Edit / Questions seçin. Sorular tamamlandığında gönderme seçeneklerini ayarlamak için: 1. Edit / Questions seçin. Edit form iletişim kutusu görüntülenir. 2. Categories alanında Options tıklayın ve aşağıdakileri tamamlayın:

Email the Circuit File to – onay kutusunu etkinleştirin ve istediğiniz eposta adresini girin.

Subject – epostanın konusu alanına yerleştirilecek olan metni girin.

Body – eposta iletisinin gövde metninde yerleştirilecek olan metni girin.

Display the Message – onay kutusunu etkinleştirin ve gönderin tıkladığınızda Multisim çalışma alanı üzerinde görüntülenen iletide görmek istediğiniz metni girin.

3. Edit form iletişim kutusunu kapamak için OK tıklayın.

2.11.4. Tamamlanan Formlar

Aşağıda açıklandığı gibi elde edilen Multisim devrenizde formu tamamlayın ve gönderin. Sorular doğrudan Circuit Description Box’ndan cevaplanır. Soruları cevaplamak için: 1. View / Circuit Description Box seçin. 2. Circuit Description Box’nun alt panosunda formun üstünde bulunan alanda varsayılan bilgiyi girin. 3. Çoklu seçim ve doğru/yanlış soruları için istediğiniz cevabı seçerek soruları tamamlayın veya

cevaplarda veri girmek için ve serbest form soruları yazın. İpucu Eğer Circuit Description Box sorular bağlantı içeriyorsa bağlantılı sorulara doğrudan geçmek için bağlantıya çifit tıklayabilirsiniz. 4. Tamamlanmış soruları gönderin:

Tamamlanmış soruları bir kâğıda göndermek için Print tıklayın. Standart yazdır iletişimi görünür. İstediğiniz ayarları girin ve Print tıklayın.

Eposta ile tamamlanmış soruları göndermek için Submit tıklayın. Tamamlanmış soruları içeren devre dosyaları On Submit seçeneklerinde ayarlandığı gibi adreslenen epostaya eklenecektir. Genel şekilde bu eposta gönderilir.

2.12. Devre Yazdırma

Multisim yazdırınızın belirli yönlerinin kontrol etmenize izin verir.

Renkli veya siyah ve beyaz çıkış olup olmadığını,

Yazdırma için tasarımın hangi sayfası,

Yazdırılan çıktının arkaplan içerip içermediği,

Yazdırma için sayfa sınırları,

Yazdırma çıktısına uymak için devre görüntüsünün ölçeklenmesi Devreler için yazdırma ortamının ayarlanması: 1. File / Print Options / Print Circuit Setup seçin. 2. Page Margins, Zooms ve Page Orientation seçeneklerini istendiği gibi ayarlayın. 3. İstediğiniz gibi Output Options ayarlayın:

In Black/White – siyah ve beyazda devre yazdırır. Pasif yapıldığında renklendirilmiş bileşenler gri gölgeli yazdırır.

Instruments – bölünmüş tablolardaki devrelerde kullanılan araçların yüzleri ve devre yazdırılır.

Background – yazdırılmış çıktı arkaplan içerir. Renkli yazıcılar veya siyah üzerine beyaz çıktı için kullanın. Bu seçenek In Black / White seçilmişse pasiftir.

Current circuit – çalışma alanı üzerinde halen aktif olan pencereyi yazdırır.

Current and Subcircuits – herhangi hiyerarşik blok veya alt devre içeren halen geçerli pencereyi yazdırır.

Entire Design – bütün devreleri, alt devreleri, hiyerarşik blokları ve geçerli aktif penceredeki tasarımdan çoklu sayfaları yazdırır.

Page 58: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

50

4. Geçerli devre için yazdırma ortamını ayarlamada OK tıklayın veya bütün devrelerin yazdırma ortamını ayarlamak için Set as Default tıklayın.

Yazdırmadan önce dosyalarınızı görüntülemek için File / Print Preview seçin. Yakınlaştırma, Sayfadan sayfaya taşıma ve aşağıda gösterilen araç düğmelerini kullanarak yazıcıya devreyi göndermek için devre öngörünüm penceresinde görünür.

Devre dosyasını yazdırmak için File / Print seçin. Önce yazdırma seçeneklerinizi ayarlamanızı öneriyoruz.

Page 59: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

51

Bölüm 3

3. ŞEMATİK ÇİZİM – GELİŞMİŞ FONKSİYONLAR

Bu bölüm Multisim’de bir devreyi oluşturmada gereken gelişmiş fonksiyonları açıklamaktadır. Bu bölümde açıklanan özelliklerin bazıları Multisim’in sizin sürümünüzde kullanılmayabilir.

3.1. Yerleştirilmiş Bileşenlerin Özellikleri

Devre penceresi üzerine yerleştirilen her bileşen Multisim veritabanında kayıtlı olanlarla dışında bazı yönlerini kontrol özellikleri vardır. Bu özellikler bu devredeki diğer konumlarda veya diğer devrelerdeki bileşenlerin durumları ile değil yalnız yerleşmiş bileşenlerde etkilidir. Bileşenlerin türüne bağlı olarak aşağıdakilerin kimisini veya hepsinin özelliklerini belirleyin:

Devre penceresinde görüntülenen yerleşmiş bileşen hakkında tanımlayıcı bilgi ve etiketler

Yerleşmiş bileşenlerin modeli

Bazı bileşenler için yerleşmiş bileşenin analizde nasıl kullanılacağı

Bileşenin değeri veya model ve bacak bağlantısı

Kullanıcı alanları

3.1.1. Yerleşmiş Bileşen Hakkında Tanımlayıcı Bilginin Görüntülenmesi

Sheet Properties iletişim kutusunun Circuit sekmesinde devrenizde gösterilen tanımlayıcı bilginin ne olduğunu belirleyin. Tek yerleşmiş bileşen için bu ayarları burada açıklandığı gibi geçersizleştirebilirsiniz. Yerleşmiş bileşeni görüntülenmiş olan tanımlayıcı bilgiyi ayarlamak için: 1. Bileşen üzerine çift tıklayın. Seçilmiş bileşenler için özellikler iletişim kutusu görüntülenir. 2. Display sekmesini tıklayın. Bu seçenek etkinleştirildiğinde, devrenin ayarları bu tek bileşn için görüntülenen tanımlayıcı bilginin türü kontrol edilir.

Üsteki genel ayarlar sekmesi etkinleştirlmediğinde bu seçenekler elde edilemez ve bu tek bileşen için görüntülenen tanımlayıcı bilgi belirlenemez.

3. Use Schematic Global Setting kapatın. 4. Bu bileşen için görüntülemek istediğiniz tanımlayıcı bileşeni etkinleştirin ve bu bileşen için

görüntülemek istemediğiniz tanımlayıcı bilgiyi kapatın. 5. Ayarlarınızı iptal etmek için Cancel tıklayın. Ayarlarınızı kaydetmek için OK tıklayın.

3.1.2. Yerleşmiş Bileşenin Değer / Model Görünümü

Bir bileşen için Properties iletişim kutusunun Value sekmesi yerleşmiş bileşen için kullanılan değer / modeli gösterir. Bileşene bağlı olarak yerleşmiş bileşen üzerine çift tıkladığınızda Value sekmesinin içeriği farklı olacaktır. 3.1.2.1. Gerçek Bileşenler Kelimenin bir anlamda Multisim’de bulunan bütün bileşenler sanaldır. Diyot ve Transistör gibi bileşenlerin sanal temsilleri vardır. Multisim’de simülasyonu yapılmış ve birlikte kablolanmış bir devre prototip olduğunda nasıl çalışacağı hakkında fikir verecektir. Ancak bu kılavuzda gerçek bileşene başvurulduğunda Ultiboard gibi PCB ortam programlarında kullanmak için paket türü ve bacak uçları içeren, satın alınabilir bileşen değerleri ile gerçeğe karşılık gelir. Virtual bileşenler oysa ilk tasarım sürecinde belirli bileşenlerin parametreleri ile denemek için bile anlam verir. İstenen parametreler bir kez belirlendiğinde virtual bileşen real bileşenle yenilenebilir. Real bileşen için buna benzer bir sekme görünür.

Page 60: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

52

Veritabanında bileşeni düzenlemek için: Edit Component in DB üzerine tıklayın. 3.1.2.2. Dirençler, Bobinler ve Kondansatörler Bir direnç, bobin veya kondansatörün değerini düzenlemek için: 1. Bileşen üzerine çift tıklayın ve Value sekmesini seçin. 2. İstediğiniz gibi parametreleri değiştirin ve OK tıklayın. Not Bileşenin bu türü için Value sekmesinin içeriği üzerinde tam ayrıntı için Multisim bileşen referans kılavuzuna başvurun. 3.1.2.3. Edit Model İletişim Kutusu Model düzenleme iletişim kutusunu kullanarak yerleşmiş bileşenin modelini düzenleyebilirsiniz. Not Ortak direnç, bobin veya kondansatör kullanarak bütün oluşturulanlar gibi. Bu süreç dirençler, bobinler veya kondansatörlere uygulanmaz. Belirli R, L veya C elemanları için Parametreler parça yerleşme süresince veya sonra ayarlanır. Seçilmiş bileşenin modelini düzenlemek için: 1. Özellikler iletişim kutusunu görüntülemek için bileşene çift tıklayın. 2. Value sekmesinde Edit Model tıklayın. Edit Model iletişim kutusu görüntülenir. 3. İstediğiniz gibi (etiketlenmemiş) liste kutusunda modeli düzenleyin. 4. Aşağıdaki esas alan bir seçimi yapın:

Current Instance Parameters alanı – PARAMS parametler içeren standart PSPICE modelleri elde edilebilir. Buraya PARAMS düzenlemeye girin.

Change Part Model – yalnızca seçilmiş bileşen için model bilgilerini değiştirin. Düğme model bilgisinde bir değişiklik yapıldığında etkinleşir.

Change All Models – yalnızca aktif çalışma sayfası üzerinde aynı parça için bütün modelleri değiştirir. Düğme model bilgisinde bir değişiklik yapıldığında etkinleşir.

Restore – orijinal duruma modeli yeniler. Düğme model bilgisinde bir değişiklik yapıldığında etkinleşir.

Cancel – değişiklikleri yapmaksızın pencereyi kapatır. 5. Ayarlarınızı kaydetmek ve iletişim kutusunu kapamak için OK tıklayın. Hatırlayın! Yukarıdaki adımalardaki seçiminize bağlı olarak aktif çalışma sayfasındaki aynı parça için bütün bileşenler veya seçilmiş bileşenler burada uygulayarak değiştirilebilir. Bunlar seçilmiş olan veri tabanındaki aynı bileşene uygulanmaz. 3.1.2.4. Edit Footprint İletişim Kutusu Edit Footprint iletişim kutusunu kullanarak seçilmiş bileşenlerin bacaklarını düzenleyebilirsiniz. Seçilmiş bileşenlerin bacaklarını düzenlemek için: 1. Bileşen üzerine çift tıklayın ve bileşenlerin Value sekmesinde Edit Footprint tıklayın. 2. Select a Footprint iletişim kutusunu görüntülemek için Select from Database tıklayın. İstediğiniz

bacağı seçin veya Change Footprint iletişim kutusunu görüntülemek için Change tıklayın.

Page 61: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

53

Footprint Manufacturer ve Footprint Type istediğiniz gibi girin ve Edit Footprint iletişim kutusuna dönmek için OK tıklayın.

3. Ayarlarınızı iptal etmek için Cancel tıklayın. Ayarlarınızı kaydetmek için OK tıklayın. Not Advanced Pin Mapping iletişimi görüntülemek için Map Pins tıklayın. 3.1.2.5. Virtual Bileşenler Virtual bileşenler gerçek değildir; satın alınan ve bir kaynak tarafından alamazsınız. Bir model ve bir simge vardır, ama bacak yoktur. Eğer senaryoları simülasyon için izin vermenize kolaylık sağlamaktadır. Multisim gerçek bileşenlerden farklı olarak onları daha kolay işler. Varsayılan olarak Virtual bileşenler şeklinizdeki gerçek bileşenlerden farklı renkte gösterilir. Bu hatırlamanız içindir, gerçek olmadığı için PCB ortam yazılımına gönderilmeyecektir. Virtual bileşenler için değer elle ayarlanabilir, Value sekmesi buna benzer görünür:

Not Value sekmesinin içeriği bileşen seçiminize bağlı olarak değişir. Bu alanların herhangi birini düzenleyebilirsiniz. Değişiklikleri iptal etmek için Cancel tıklayın. Değişiklikleri kaydetmek için OK tıklayın.

3.1.3. Yerleşmiş Bileşenin Analizde Nasıl Kullanıldığını Kontrol Etme

Bazı bileşenler için, güç kaynakları gibi, devrenizde gerçekleştirilmesinde herhangi bir analizde nasıl kullanıldığını belirleyebilirsiniz. Bu bileşenler Value sekmesinde ek parametreler verir. Analizde kullanılan bileşenlerin kontrolü için: 1. Bileşenler üzerinde çift tıklayın. Bileşenler için özellikler iletişim kutusu görünür. 2. Value sekmesini tıklayın.

3. İstediğiniz gibi ayarları düzenleyin. 4. Değişiklikleri iptal etmek için Cancel tıklayın. Değişiklikleri kaydetmek için OK tıklayın.

Page 62: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

54

3.1.4. Yerleştirilmiş Bileşenlerin Kullanıcı Alanlarını Düzenleme

Bileşenler hakkında kullanıcı belli bilgileri sağlayan 20’den fazla kullanıcı alanlar vardır (örneğin Manufacturer, Hyperlink). Kullanıcı alanlarının başlıkları Database Manager düzenlenir ve girilir. Yerleşmiş bileşenlerin kullanıcı alanlarının içeriğini düzenlemek için: 1. Özellikler iletişim kutusunu görüntülemek için bileşenler üzerine çift tıklayın. 2. Use Fields sekmesi tıklayın. 3. Value sütununda istediğiniz kullanıcı Title alanı yanında alanında tıklayın ve istediğiniz bilgiyi girin.

3.2. Bileşenlere Hatalar Atama

Sorun giderme uygulamaları gibi eğitim amaçlı bileşenlere hatalar atamak isteyebilirsiniz. Devrenizde tek bileşene hatalar atayabilirsiniz veya Multisim devrede çeşitli bileşenlere rasgele hatalar atar.

3.2.1. Yerleştirilmiş Bileşene Hatalar Ayarlama

Bileşenin özellikleri iletişim kutusunun Fault sekmesini kullanarak yerleştirilmiş bileşenin herhangi bir ucuna bir hata atayabilirsiniz. Yerleştirilmiş bileşene bir hata atamak için: 1. Bileşene çift tıklayın. Bileşenin özellikler iletişim kutusu görüntülenir. 2. Fault sekmesine tıklayın ve hata y-uygulanacak ucu seçin:

Bileşen türüne bağlı değişken yerleştirilmiş bileşenlerin uçlarını gösterir.

Not Bileşenin özellikleri iletişim kutusundaki diğer sekmeler üzerinde bilgi için Multisim kullanıcı rehberine başvurun. 3. Bir uca atamak istediğiniz hatanın türünü etkinleştirin:

None – hata yok.

Open – kablo uçların kopmasına yol açması gibi uca çok yüksek direnç atanır.

Short – devre üzerinde bileşenin ölçülebilen etkisi olmaması için uca çok düşük direnç atanır.

Leakage – seçilmiş uçlar ile paralel olarak alt seçenek alanlarındaki belirli direnç değerine atanır. Bu uçlar arasından geçme yerine uçlar sızıntı akımının geçmesine sebep olur.

4. Değişikliklerinizi kaydetmek için OK tıklayın.

3.2.2. Otomatik Hata Seçeneğinin Kullanılması

Auto Fault seçeneğini kullandığınızda devrede yerleştirilmiş bileşene Multisim’in atamak istediğiniz hatanın farklı türü başına hataların sayısını veya hatanın herhangi bir türünün sayısını belirleyin. Otomatik hata seçeneğini kullanmak için: 1. Simulate / Auto Fault Option seçin. Auto Fault iletişim kutusu görüntülenir. Not Auto Fault seçeneği çalışma alanı üzerine bileşen yerleştirilene kadar etkin değildir. 2. Short, Open ve Leak alanlarında doğrudan sayısal değerleri girin veya yukarı aşağı tuşları kullanın

veya (sayı girilen) atanan hataların türünü Multisim’in rasgele seçmesine izin vermek için Any alanda sayısal değer girin.

3. Eğer sızıntının sayısı belirlediyseniz Specify Leak Resistance alanında ölçümün birimini ve sayısını girin.

4. Hataları uygulamak için OK veya iptal etmek için Cancel tıklayın ve devre penceresine geri dönün.

3.3. Spreadsheet Görünümü

Spreadsheet View bileşen Footprints, Reference Designator, Attributes ve Design gibi ayrıntılarını içeren parametrelerin düzenlenmesi ve hızlı gelişmiş görünümüne izin verir ve nesne özellikleri üzerinde genel görünümü sağlar.

Page 63: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

55

3.3.1. Spreadsheet View Results Sekmesi

Eğer Electrical Rules Check iletişim kutusunun ERC Options sekmesinde Result Pane seçerseniz elektriksel kural kontrolünün sonuçları görüntülenecektir. Edit / Find komutunun sonuçları Results sekmesinde görüntülenecektir. Results Sekmesinden Açılır Liste ERC ve Find sonuçları üzerinde açılır menüyü kullanmak için: 1. Açılır menüyü görüntülemek için istediğiniz sonuç üzerinde sağ tıklayın.

2. Bunlardan birini seçin:

Copy – panoya Results sekmesinin bütün içeriğini kopyalar.

Clear Results – Results sekmesinin içeriğini temizler.

Go to – çalışma alanı üzerinde parçayı seçer.

Page 64: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

56

3.3.2. Spreadsheet View Nets Sekmesi

Sütun Açıklama

Net Ağın ismi

Sheet Ağın bulunduğu tablonun dosya ismi

Color Ağın rengi. Sheet Properties iletişim kutusunun Circuit sekmesinde seçilmiş renk şeması üzerinde varsayılana dayanır. Color paletini görüntülemek için tıklayın ve istediğiniz rengi seçin.

Trace width PCB ortamına gönderdikten sonra bakır yolların genişliği. Ultiboard’da ölçüm birimi ayarlanır.

Trace width min Bakır yollara izin verilen minimum genişlik. Ölçüm birimi Ultiboard’da ayarlanır. İstediğiniz satırı seçin ve sonra düzenlemek için alanda tıklayın.

Trace width max Bakır yollara izin verilen maksimum genişlik. Ölçüm birimi Ultiboard’da ayarlanır. İstediğiniz satırı seçin ve sonra düzenlemek için alanda tıklayın.

Trace length min Bakır yolların izin verilebilir minimum uzunluğu. Ölçüm birimi Ultiboard’da ayarlanır. İstediğiniz satırı seçin ve sonra düzenlemek için alanda tıklayın.

Trace length max

Bakır yolların izin verilebilir maksimum uzunluğu. Ölçüm birimi Ultiboard’da ayarlanır. İstediğiniz satırı seçin ve sonra düzenlemek için alanda tıklayın.

Trace to trace PCB üzerinde herhangi bir ağda bakır yollar ve ağdaki bakır yollar arasında izin verilebilir minimum boşluk. Ölçüm birimi Ultiboard’da ayarlanır. Tıklayın ve değişiklikleri yapmak için yazın.

Trace to pad PCB üzerinde herhangi bir ağ üzerindeki padlar ve ağdaki bakır yollar arasında izinverilebilir minimum boşluk. Ölçüm birimi Ultiboard’da ayarlanır. Tıklayın ve değişiklikleri yapmak için yazın.

Trace to via PCB üzerinde herhangi bir ağ üzerinde vialar ve ağdaki bakır yollar arasında izin verilebilir minimum boşluk. Ölçüm birimi Ultiboard’da ayarlanır. Tıklayın ve değişiklikleri yapmak için yazın.

Trace to copper area

PCB üzerinde bakır alanlar ve ağdaki bakır yollar arasında izin verilebilir minimum boşluk. Ölçüm birimi Ultiboard’da ayarlanır. Tıklayın ve değişiklikleri yapmak için yazın.

Routing layer Ağın yerleştirileceği bakır katman. Elde edilebilir seçimler aşağı açılır listede görüntülemek için tıklayın. Bu aşağı açılır liste Number of Copper Layers alanında Sheet Properties iletişim kutusunun PCB sekmesinde yapılan seçime dayanarak doldurulur.

Net group Bir ağ için bir grup girmek için bu alanı tıklayın. Bu grup PCB ortam süreci süresince birlikte tutmak için Ultiboard’da kullanılabilir.

Lock PCB settings

Eğer etkinleştirilirse PCB üzerinde ağın etkin katmanı olan parametreler için değişiklikleri önler. Yes ve No arasında geçiş için tıklayın.

IC initial condition

Multisim’de Transient Analysis için ağın başlangıç koşulu.

NODESET Multisim’de DC Operating Point Analysis için ağın başlangıç koşulu. Program verilen gerilime tutulan belirli düğümler ile ön geçiş yaparak DC veya başlangıç çözümü bulmaya yardım eder. Kısıtlama serbest bırakılır ve gerçek çözüm için yineleme devam eder. NODESET hattı Kararlı veya iki durumlu devre üzerinde gerekli olabilir

Type Ağın türü. Power, Ground veya Signal olabilir.

Net specific setting

Eğer Sheet Properties iletişim kutusunda “Use Net-Specific Setting” etkinleştirilmişse seçilmiş ağ için ayarlar burada girilir. Show Net Name veya Hide Net Name seçin.

Page 65: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

57

3.3.3. Spreadsheet View Components Sekmesi

Sütun Açıklama

RefDes Bileşenin tek belirleyicisi

Sheet Bileşene ait tablonun dosya ismi görünür.

Section Dörtlü NAND kapısı gibi çoklu bileşenlerin bölümü.

Section name Çok bölümlü bileşenin bölüm ismi

Family Bileşenin veritabanı kümesi.

Value Bileşenin değeri, örneğin 5V batarya veya bileşenin modeli örneğin 2N2222A. Bileşenin modelini düzenlemek için çift tıklayın.

Tolerance Bileşenin toleransı.

Manufacturer Bileşenin üreticisi; belirli bir şirket veya “Generic”

Footprint Bileşenin fiziksel ayakizi. Ayakizini değiştirmek için alan üzerinde tıklayın.

Description Bileşenin açıklaması.

Label Bileşenin kullanıcı tanımlı etiketi. Alan üzerinde tıklayın ve istediğiniz metni yazın. Bileşenin özellikleri iletişim kutusunda etiket sekmesinde girilebilir.

Coordinate X/Y

Çalışma alanı üzerinde bileşenin konumu. Bu alan yalnız okunabilir ve çalışma alanı üzerinde bileşen taşındığında değişir.

Rotation Bileşeni döndürme için eldeedilebilir seçimlerin aşağı açılır listesini görüntülemek için tıklayın. Unrotated bileşenin orijinal konumudur. Diğer seçimler: Rotated 90 (orijinal konumdan 90 derece saat yönünde döndürülmüş), Rotated 180 (orijinal konumdan 90 derece saat yönünde döndürülmüş), Rotated -90 (orijinal konumdan 90 derece saat ibresi tersinde döndürülmüş). Çalışma alanı üzerinde bir bileşene sağ tıklayarak döndürebilrisiniz.

Flip Bileşeni çevirmek için eldeedilebilir seçimlerinin aşağı açılır listesini görüntülemek için tıklayın. “Unflipped” bileşenin orijinal konumudur. Diğer seçimler: Flipped X (orijinal konumdan yatay çevirme), Flipped Y (orijinal konumdan düşey çevirme), Flipped XY (orijinal konumdan bir yatay ve bir düşey çevirme). Çalışma alanı üzerinde bileşene sağ tıklayarak çevirebilirsiniz.

Color Bileşenin rengi. Varsayılan Sheet Properties iletişim kutusunun Circuit sekmesinde seçilen renk şeması üzerine dayanır. Color paletini görüntülemek için tıklayın ve istediğiniz rengi seçin.

Spacing Ultiboard’da itme seçeneği kullanıldığında bileşen ve diğer bileşen arasında minimum mesafedir. Sheet Properties iletişim kutusunun PCB sekmesinde ölçüm birimi ayarlanır. Yeni veri girmek için tıklayın.

Group Bir bileşene bir grup girmek için bu alanda tıklayın. Bu grup PCB ortam süreci süresince bileşenleri birlikte tutmak için Ultiboard tarafında nkullanılabilir.

Pin Swapping Eğer etkinse PCB ortam süreci süresince aynı bileşenle uçları değiştirebilmek için izin verir. Yes ve No arasında geçiş için tıklayın.

Gate Swapping

Eğer etkinse PCB süreci siresince değiştirmek için iki NAND kapısı gibi aynı işlevsellikte kapılara izin verir. Yes ve No arasında geçiş için tıklayın.

Lock PCB settings

Etkinleştirilirse PCB üzerinde bileşenlerin etkin katmanı olan parametreler için değiştirmeye engel olur. Yes / No arasında geçiş için tıklayın.

Fault Change Fault iletişim kutusunu görüntülemek için çift tıklayın. Bu bileşenin özellikleri iletişim kutusundaki Fault sekmesi bilgisi ile aynıdır.

VCC Gerilim kaynağı. Eğer boş değilse, girişe karşılık parçayı işaret eder. Şekil üzerinde yerleştirilen toprak ve güç ağlarından bir ağismi atamak için seçebilirsiniz.

VDD Gerilim kaynağı. Eğer boş değilse, girişe karşılık parçayı işaret eder. Şekil üzerinde yerleştirilen toprak ve güç ağlarından bir ağismi atamak için seçebilirsiniz.

VEE Gerilim kaynağı. Eğer boş değilse, girişe karşılık parçayı işaret eder. Şekil üzerinde yerleştirilen toprak ve güç ağlarından bir ağismi atamak için seçebilirsiniz.

VPP Gerilim kaynağı. Eğer boş değilse, girişe karşılık parçayı işaret eder. Şekil üzerinde yerleştirilen toprak ve güç ağlarından bir ağismi atamak için seçebilirsiniz.

GND Toprak. Eğer boş değilse, girişe karşılık parçayı işaret eder. Şekil üzerinde yerleştirilen toprak ve güç ağlarından bir ağismi atamak için seçebilirsiniz.

VSS Genellikle toprak, ama bazı bileşenler için negatif gerilim kaynağı olabilir. Eğer boş değilse, girişe karşılık parçayı işaret eder. Şekil üzerinde yerleştirilen toprak ve güç ağlarından bir ağismi atamak için seçebilirsiniz.

Variant Kullanılabilir her değişken için bir onay kutusu görüntülenir. Bileşenin içerdiği istediğiniz her bir devre değişken için onay kutusunu etkinleştirin.

Page 66: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

58

3.3.4. Spreadsheet View PCB Layers Sekmesi

Sütun Açıklama

Layer Sheet Properties iletişim kutusunun PCB sekmesinden bu sütundaki içerikler ayarlanır.

Routable Etkinleştirildiğinde PCB ortam süreci süresince katman yönlendirilebilir. Yes ve No arasında geçiş için tıklayın.

Type Katmanın türü tanımlanır. Açılır listeden görüntülemek için çift tıklayın ve işaret, güç veya toprak seçin.

3.3.5. Spreadsheet View Buttons

Spreadsheet View’de aşağıdaki düğmeler kullanılabilir.

Düğme Açıklama

Find and Select düğmesi, Bulur ve çalışma alanı üzerinde ağ veya seçilmiş bileşeni vurgular. Bu özellik Multisim’in bütün sürümlerinde elde edilemez.

Export to Textfile düğmesi, Bir metin dosyası olarak seçiminizin saklandığı standart Windows kaydet iletişimini görüntüler.

Export to CSV File düğmesi, Virgülle ayrılmış değerler dosyası olarak seçimin saklandığı standart Windows kaydet iletişimini görüntüler.

Export to Excel düğmesi, Seçilmiş verinin gösterildiği Microsoft Excel çizelgesini açmak için tıklayın.

Sort Ascending düğmesi, Artan sırada seçilmiş sütunu sıralar.

Sort Descending düğmesi, Azalan sırada seçilmiş sütunu sıralar.

Print düğmesi, Seçilmiş sekmede verileri yazar.

Copy düğmesi, Panoya seçimi kopyalar.

All düğmesi, Bütün tablolar, çoklu sayfalar, alt devreler ve hiyerarşik bloklardan (seçilmiş sekmeye bağlı olarak) bileşenler ve ağ listelerinin hepsini görüntüler.

Replace Selected Components düğmesi, Kullanmadan önce devre penceresine yeniden yerleştirmede istediğiniz bileşeni seçin. Yeni bileşen seçebileceğiniz bileşen seçim tarayıcıyı çağırır. Seçilmiş yeni biri ile eski bileşeni yeniden yerleştirmek için OK tıklayın.

3.4. Başlık Bloğu Düzenleyici

Title Block Editor Başlık bloğu düzenlemenize veya oluşturmanıza izin veren özelleştirilmiş grafik düzenleyicidir. Örneğin, başlık blok verisi konumlayabilir ve ekleyebilirsiniz, yazı tipi özelliklerini değiştirebilirsiniz, grafik nesnesini taşıyabilir veya yerleştirebilirsiniz. Title Block Editor bu gibi görünür: Menü çubuğu Araç çubukları Çalışma alanı Çizim ızgarası Alanlar Kutu Sınırları Çizelge görünümü Durum çubuğu

Örnek başlık bloğu

Page 67: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

59

Title Block Editor bunları içerir:

Menu bar, bağlı komutlarla menüleri içerir.

Toolbars, çok yaygın kullanılan araçlara kolay geçiş sağlar.

Workspace, başlık bloğunuzun düzenlenmesi veya yapıldığı yerdir. Draw Grids, Boundary Box içerisinde grafik elemanının yerleştirilmesini amaçlar.

Spreadsheet View değişken başlık blok parametrelerini düzenlendiği ve bulunduğu yerdir.

Status Bar, halen seçili nesne veya eylem üzerine bilgi verir. Devrenizde hâlihazırda olan bir başlık bloğunu düzenlemek için: 1. İstediğiniz başlık bloğu üzerinde sağ tıklayın ve açılır menüden Edit Title Block seçin. Title Block

Editor seçilmiş blok yüklenmiş olarak görüntülenir. Not Yukarıda açıklanan yöntemi kullanarak Title Block Editor başladığında iletişim kutusunun altında “In-Place Edit Mode” görüntülenir. Değişiklikler yalnızca seçilmiş başlık bloğuna uygulanacaktır. 2. Aşağıda açıklandığı gibi düzenleyin. 3. File / Exit seçin ve değişiklikleri kaydetmek için yönlendirildiğinde Yes tıklayın. Title Block Editor

kapanır ve Multisim ana çalışma alanına geri döner. Başlık bloğunda değişiklikler yansıtılır. Title Block klasöründe saklanan başlık bloğunu düzenlemek için veya yeni bir başlık bloğu oluşturmak için: 1. Tools / Title Block Editor seçin. Title Block Editor yeni bir isimsiz başlık bloğu görüntülenir. 2. Yeni bir başlık bloğu oluşturmak için buradan çalışmaya başlayın veya mevcut başlık bloğunu

düzenlemek için File / Open seçin. Title Blocks klasöründe dolaşın, istediğiniz başlık bloğunu seçin ve Open tıklayın.

3. Menüleri ve araç çubuklarını kullanarak aşağıdaki bölümde açıklandığı gibi başlık bloğunu düzenleyin. 4. File / Exit seçin ve değişikliklerinizi kaydetmek için Yes tıklayın. Eğer yeni bir bloksa bu, standart

Save as iletişim kutusu görüntülenir. İstediğiniz dosya yolu ve dosya ismini girin ve Save tıklayın. Mevcut başlık bloğu varsa değişiklikler kaydedilir ve Title Block Editor kapanır.

Aşağıdaki bölümde Title Block Editor’ün daha çok ayrıntılı işlevselliği açıklanmaktadır.

3.4.1. Enter Text İletişim Kutusu

Enter Text iletişim kutusu metni biçimlendirmek ve girmek ve başlık bloğu üzerine yerleştirmek için kullanılır. Bir başlık bloğuna metin girmek için: 1. Enter Text iletişim kutusunu görüntülemek için Graphics / Text seçin. 2. Enter Text alanında istediğiniz metni yazın. 3. İstediğiniz gibi metnin biçimini değiştirin.

Font alanı – istediğiniz yazı tipini listeden seçin veya yazın.

Font Style alanı – istediğiniz biçim listeden seçin.

Size alanı – istediğiniz boyutu listeden seçin veya yazın.

Text Orientation kutusu – yatay veya düşey yönlendirmeyi seçin.

Automatic açılır liste kutusu – tercihen aşağı oka tıkladığınızda görüntülenen açılır listeden yeni bir renk seçin.

4. OK tıklayın, istediğiniz yere işaretçiyi taşıyın ve metni yerleştirmek için fareyi tıklayın.

3.4.2. Alanları Yerleştirme

Devre şemanızda başlık bloğunda görüntülenen metin için yer tutucular alanlardır. Not Bu alanlarda görünen mevcut metin, Multisim’in ana ekranından erişilen Title Block iletişim kutusundan girilir. Multisim’de başlık bloğunda görünen alanın metni için Title Block Editor kullanarak başlık bloğundaki metin alanı önce yerleştirmelisiniz. Başlık bloğu üzerinde bir alan yerleştirmek için: 1. Fields menüsünden istediğiniz alan türünü seçin (örneğin revision) veya Draw Tools araç çubuğunda

Text Field düğmesini tıklayın ve görüntülenen açılır listeden istediğiniz alan türünü seçin. Enter Title Block Attribute iletişim kutusu Title Block Attribute alanında seçilmiş alan için kod görüntülenir. Bu örnekte revision seçtiğimiz için #REV görünütlenir.

2. İstediğiniz gibi metnin biçimini değiştirin:

Page 68: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

60

Font alanı – istediğiniz yazı tipini listeden seçin veya yazın.

Font Style alanı – istediğiniz biçimi listeden seçin.

Size alanı – istediğiniz boyutu listeden seçin veya yazın.

Text Orientation kutusu – yatay veya düşey yönlendirmeyi seçin.

Automatic aşağı açılır liste – tercihen, aşağı OK’a tıkladığınızda görünen açılır listeden yeni bir renk seçin.

3. OK tıklayın, istediğiniz konuma işaretçiyi taşıyın ve alana yerleştirmek için fareyi tıklayın.

Revision alanda yerleştirme için kod. Bütün yerleştirilmiş alanlar yeşil vurgulu görünür. Yerleştirilmiş metin vurgulanmamıştır.

Başlık bloğunda diğer herhangi düzenlemeler tamamlandıktan sonra File / Exit seçin ve yönlendirildiğinde değişiklikleri kaydedin. Metin olarak yerleştirilmekte olan alan kodunun olduğu yere Multisim ana ekranına döneceksiniz. Bu metin ana Multisim uygulamasında bulunan Title Block iletişim kutusunun revision alanında ayarlanır.

Alan kodu metin olarak yerleştirilmektedir.

Not Title Block Editor gösterildiği gibi alanın genişliği Title Block iletişim kutusunu kullanan alanda yerleştirilen geçerli metin gibi aynı değildir. Yazı tipi boyutuna bağlı olarak boşluk olur. Title Block iletişim kutusunu kullanarak başlık bloğunda geçerli metni yerleştirdikten sonra çakışan metin bulduğunuzda ya metni ayarlamalısınız ya da Title Block Editor dönmelisiniz ve alanın konumunu ayarlamalısınız. Not Eğer Title Block iletişim kutusu içindeki metin başlık bloğunda görüntülenmiyorsa, Title Block Editor kullanarak başlık bloğunda yerleştirilmemiş metne karşılık gelen alan olduğu içindir. 3.4.2.1. Alan Kodları Kullanılabilir alan kodları aşağıda açıklanmaktadır:

Alan Açıklama Kod

Title Başlık #TITLE

Description Açıklama #DSCRPT

Designed By Tasarlayan #DESIGNED

Checked By Kontrol eden #CHECKED

Approved By Onaylayan #APPROVED

Document number Belge Numarası #DOC_N

Date Tarih #DATE

Current Sheet Number Geçerli sayfa numarası #SN

Total Sheet Numbers Toplam sayfa sayısı #TSN

Revision Tashih – gözden geçirme #REV

Format Biçim #FMT

Custom Field 1 Özel Alan 1 #CUSTOM_1

Custom Field 2 Özel Alan 2 #CUSTOM_2

Custom Field 3 Özel Alan 3 #CUSTOM_3

Custom Field 4 Özel Alan 4 #CUSTOM_4

Custom Field 5 Özel Alan 5 #CUSTOM_5

Page 69: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

61

3.4.3. Title Block Editor Çizelge Görünümü (3.4.3)

Spreadsheet View değişken başlık blok parametrelerinin düzenlendiği ve bulunduğu yerdir. Çalışma alanı üzerinde onu seçtiğinizde çizelgede vurgulanmıştır. Eğer çizelgedeki bir parçayı değiştirirseniz bu çalışma alanında başlık bloğu üzerinde yansıtılır.

Sütun Açıklama

Name Grafik elemanının türü

Pen Type Grafik elemanı için çizgilerin görüntüsü dışına metin yerleştirilir. İstediğiniz satırı seçin ve kalem türünün listesini görüntülemek için tıklayın. Seçiniz: Solid, dash, dash-dot; invisible; solid inside frame.

Pen Width Grafik elemanın çizgilerinin genişliği, yerleştirilen metin dışında, İstediğiniz satırı seçin ve kalem türünün görüntülemek için tıklayın. Seçiniz: not scaleable; one pixels, two pixels, three pixels, four pixels, five pixels.

Pen Color Grafik elemanları için çizginin rengi. Dışına metin yerleştirilir. İstediğiniz satırı seçin ve renk paletini görüntülemek için tıklayın.

Brush Type Çokgen gibi elemanların bir dolgusu olan dolgu biçimi, İstediğiniz satırı seçin ve dolgu türlerinin listesini görüntülemek için tıklayın. Seçin: solid; invisible; horizontal; vertical; diagonal downward; diagonal upward; cross; diagonal cross

Brush Color Çokgen gibi elemanların bir dolgu olan dolgu rengi, İstediğiniz satırı seçin ve renk paletini görüntülemek için tıklayın.

Font Yazı ismi yalnızca yerleştirilmiş metin elemanı için aktif olur.

Font Style Yazı tipi türü yalnızca yerleştirilmiş eleman için aktif olur. İstediğiniz satırı seçin ve listeyi görüntülemek için tıklayın. Seçin: regular, italic, bold, bold italic

Font Size Yazı tipi boyutu yalnızca yerleştirilmiş metin elemanı için aktif olur. İstediğiniz satırı seçin ve boyutlar listesini görüntülemek için tıklayın.

Not Edit / Group kullanarak nesneler gruplandırılırsa farklı isimler ve özellikleri Name sütununda uzun gözükmeyecektir. Herhangi gruplanmış nesne için isim grup gibi görüntülenecektir.

3.4.4. Title Block Editor Menüleri

Title Block Editor menüleri başlık bloklarını düzenlemek ve oluşturmak için gerekli komutları içerir. 3.4.4.1. Title Block Editor File Menüsü File menüsü altında aşağıdaki seçimler elde edilebilir:

Menü Kullanımı

New Başlık blok düzenleyicide yeni başlıksız belge açar. Eğer bir açık varsa önce o kapanır, sonra değişiklikleri kaydetmek için yönlendirir.

Open Başlık blok düzenleyicide mevcut bir belgeyi açar. Eğer bir açık varsa önce o kapanır, sonra değişiklikleri kaydetmek için yönlendirir.

Save Geçerli belgede değişiklikleri kaydeder.

Save as Mevcut veya yeni bir isim altında geçerli belgeyi kaydedebileceğiniz yer olan Standart Windows “farklı kaydet” iletişim kutusunu açar.

Print setup Yazıcınız için istediğiniz parametreleri girebileceğiniz yer olan standart “yazıcı ayarı” iletişim kutusunu açar.

Print preview Geçerli belgede başlık bloğunu gösteren yazma önizleme iletim kutusunu görüntüler.

Print İstediğiniz yazdırma özelliklerinin girebileceğiniz ve başlık bloğunu yazan standart Windows yazdır iletişim kutusunu açar. Başlık bloğu sayfaya en uygun yere yazdırılır. Başlık bloğu yazdırma için kullanılabilir büyütme yoktur.

Exit Başlık blok düzenleyiciyi kapatır ve Multisim ana ekranına geri dönersiniz. Çıkıştan önce geçerli belgeye değişiklikleri kaydetmek için yönlendirir.

Page 70: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

62

3.4.4.2. Title Block Editor Edit Menüsü Edit menüsü altında aşağıdaki seçimler elde edilebilir:

Menü Kullanımı

Undo Önceki eyleme geri gider.

Redo Önceki geri alınan eylemi geri alır.

Cut Çalışma alanından seçilmiş elemanları kaldırır ve onları panoya yerleştirir.

Copy Panoya seçilmiş bir elemanın bir kopyasını yerleştirir.

Paste İmlecin konumunda çalışma alanı üzerinde bir panoya elemanların bir kopyasını yerleştirir.

Delete Çalışma alanından seçilmiş elemanı kaldırır. Panoya yerleştirilmezler.

Copy as Picture

Bir meta dosyası gibi çalışma alanı üzerine başlık bloğunu kopyalar.

Copy as Bitmap

Bir Bitmap resmi gibi çalışma alanı üzerine başlık bloğu kopyalar.

Select all Çalışma alanı üzerindeki elemanların hepsini seçer.

Flip horizontal Yatay olarak seçilmiş elemanları çevirir.

Flip vertical Düşey olarak seçilmiş elemanları çevirir.

Rotate 90 clockwise

Seçilmiş elemanı 90 derece saat yönünde döndürür.

Rotate 90 counter CW

Seçilmiş elemanı 90 derece saat tersi yönünde döndürür.

Snap to grid Başlık bloğunun sınır kutusu içinde bulunan çizim ızgarasına seçilmiş elemanı sığdırır.

Group Bir grup içine seçilmiş elemanları yerleştirir.

Ungroup Grup komutunu kullanarak yapılan elemanı bireysel elemanlara geri döndürür.

Bring to front Çalışma alanı üzerinde önplana seçilmiş elemanı getirir. Diğer elemanlar onun arkasında görünür.

Send to back Çalışma alanı üzerinde seçilen elemanı arkaplana gönderir. Diğer elemanlar onların önünde görünür.

Resize boundary box

Sınır kutularının alt sağ kenarında bir imleç yerleştirir. Sınır kutusunu yeniden boyutlandırmak için istediğiniz konuma onu sürükleyin. Elemanların içeriğinden daha küçük sınır kutuları yapamazsınız.

3.4.4.3. Title Block Editor View Menüsü View menüsü altında aşağıdaki seçenekler elde edilebilir.

Menü Kullanımı

Toolbars Aşağıdaki araç çubuklarını açar veya kapar: Standart araç çubuğu, Zoom Toolbar, Draw Tools, Drawing Toolbar

Spread-sheet Çizelge görünümünü açar veya kapar.

Status bar Ekranın altındaki Status Bar’ı açar veya kapar.

Show draw grid

Sınır kutusu içinde gösterilen çizim ızgarasına açar veya kapatır.

Draw grid size Sınır kutusunda görüntülenen çizim ızgarasının boyutunu ayarlar. Seçin: No Grid (eğer ızgaraya uymayacak olan eleman çizmek istiyorsanız seçin). Smallest Grid, Small Grid, Regular Grid, Large Grid

Zoom in Çalışma alanında elemanları büyütür.

Zoom out Çalışma alanında elemanların görünen boyutunu azaltır.

Zoom %100 Çalışma alanındaki parçaları normal görünüm boyutunda görüntüler. Multisim’de görüntülenecek olan boyuttur. Başlık blok düzenleyici ile açıldığında, büyüklük %100’e ayarlıdır.

Center by Mouse

Yüksek büyüklüklerde çalışma alanı görüntülendiğinde çalışma alanı üzerinde bu resmi merkeze almak için komut kullanabilirsiniz. Center by Mouse seçin ve sonra çalışma alanının merkezine yerleştirilecek olan noktaya tıklayın.

Redraw Çalışma alanında bütün elemanları yeniden çizer.

Page 71: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

63

3.4.4.4. Title Block Editor Fields Menüsü Alanlar Multisimin ana ekranında başlık bloğunda görüntülenen metin için yer tutuculardır. Fields menüsü altında aşağıdaki seçimler elde edilebilir.

Menü Kullanımı

Select Çalışma alanı üzerinde belirli elemanları seçmenize izin verir.

Title Giriş Başlık blok nitelik başlık alanı (#TITLE) için biçim bilgisi gireceğiniz iletişim kutusunu görüntüler ve başlık bloğu üzerine alanı yerleştirmek için OK tıklayın.

Description Açıklama alanı (#DSCRPT) için biçim bilgisi girdiğiniz Giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Designed by

Tasarlayan alanı için (#DESIGNED) biçim bilgisi girdiğiniz giriş başlık blok iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Checked by Kontrol eden alanı için (#CHECKED) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Approved by Onaylayan alanı için (#APPROVED) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Document Number

Belge numarası alanı için (#DOC_N) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Date

Tarih alanı için (#DATE) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Current Sheet Number

Geçerli tablo numarası (#SN) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Total Sheet Numbers

Toplam tablo numarası için (#TSN) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Revision

Düzeltme alanı için (#REV) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Format Biçimlendirme alanı için (#FMT) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Custom Field 1 Özel alan 1 için (#CUSTOM_1) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Custom Field 2 Özel alan 2 için (#CUSTOM_2) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Custom Field 3 Özel alan 3 için (#CUSTOM_3) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Custom Field 4 Özel alan 4 için (#CUSTOM_4) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Custom Field 5 Özel alan 5 için (#CUSTOM_5) biçim bilgisini girdiğiniz giriş başlık blok nitelik iletişim kutusunu görüntüler ve başlık bloğuna alanı yerleştirmek için OK tıklayın.

Page 72: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

64

3.4.4.5. Title Block Editor Graphics Menüsü Graphics menüsü altında aşağıdaki seçimler elde edilebilir:

Menü Kullanımı

Text Çalışma alanında yerleştirilmiş olan metn biçimlendirmek ve girmek için giriş metin iletişim kutusu görüntülenir.

Line Çalışma alanına bir çizgi çizer.

Multiline Çalışma alanı üzerine çoklu çizgi çizer.

Half ellipse arc

Çalışma alanına bir elipsin yarısını yerleştirir. İstediğiniz yerde başlama noktasına bir kez tıklayın ve elipsin çapını bitirmek istediğiniz yerde tekrar tıklayın. İmleci hareket ettirdikçe, elips noktalı bir anahat görünür. Yayın dış kısmını istediğiniz noktada yerleştirmek için tekrar tıklayın.

Segment arc

Çalışma alanına bir yay yerleştirir. Yayın merkez noktasını yerleştirmek için bir kez tıklayın, dış çap noktasını yerleştirmek için tekrar tıklayın. İmleci hareket ettirdikçe, elips noktalı bir anahat görünür. İmleci hareket ettirin ve yayın son noktasını yerleştirmek için tıklayın.

Bezier

Çalışma alanına bir Bezier eğrisi yerleştirir. Eğrinin başlangıcını yerleştirmek için tıklayın, sonra imleci çalışma alanında hareket ettirin. İmleci hareket ettirdikçe, Bezier eğrisinin dışhatları noktalı görünür. Bezier eğrisinin son noktasını yerleştirmek için tıklayın, sonra hareket ettirin ve Bezier’in son şeklini biçimlendirmek için imleci iki kez tıklayın.

Rectangle Çalışma alanına bir dikdörtgen yerleştirir.

Circle

Çalışma alanına bir çember yerleştirir. Çemberin merkezini yerleştirmek için tıklayın, sonra çalışma alanı üzerinde imleci hareket ettirin. İmleci hareket ettirdiğinizde çember dış hatları noktalı görünür. Çember istediğiniz şekil ve boyutta olduğunda çalışma alanı üzerine yerleştirmek için tıklayın.

Ellipse

Çalışma alanına bir elips yerleştirir. Elipsin merkezini yerleştirmek içni tıklayın, sonra çalışma alanında imleci hareket ettirin. İmleci hareket ettirdiğinizde elipsin dışhatları noktalı görünür. İstediğiniz şekil ve boyut olduğunda çalışma alanı üzerine yerleştirmek için tıklayın.

Polygon Çalışma alanına çokgen yerleştirir.

Bitmap Çalışma alanına bir bitişlem resmi yerleştirir. İstediğiniz bitişlemi seçebileceğiniz yer olan Standart Windows aç iletişim kutusunu görüntülemek için tıklayın.

3.4.4.6. Title Block Editor Tools Menüsü Tools menüsü altında aşağıdaki seçimler elde edilebilir:

Menü Kullanımı

Customize Customize iletişim kutusunu görüntüler.

3.4.4.7. Title Block Editor Help Menüsü Help menüsü altında aşağıdaki seçimler elde edilebilir:

Menü Kullanımı

Help Topics Yardım dosyasını görüntüler.

About Title Block Editor

Başlık blok düzenleyici hakkında bilgi iletişimi görüntüler.

3.4.4.8. Title Block Editor Popup Menüleri Title Block Editor’de sağ tıkladığınız yere bağlı olarak farklı içerik duyarlı açılır menüler görüntülenir. Menu / Toolbar alanı üzerinde sağ tıklama aşağıda araç çubuklarını açıp kapanan geçiş için izin verildiği bir açılır menü görüntülenir: standart araç çubuğu, yakınlaştırma araç çubuğu, çizim araçları, çizim araç çubuğu. Çalışma alanında sağ tıklama kes, kopyala, yapıştır, çizim ızgarası görüntüle, çizim ızgara boyutu, ızgaraya bağla, yatay çevir, düşey çevir, 90 derece saat yönünde döndür, 90 derece saat ibresi tersi yönünde döndür içeren bir açılır menü görüntülenir. Eğer çalışma alanında belirli bir parçaya sağ tıklama yapmazsanız açılır menüdeki parçalar grileştirilmemiş olacaktır.

3.4.5. Title Block Editor Araç Çubukları

Araç çubukları Title Block Editor’de çok yaygın olarak kullanılan araçlara erişim sağlar.

Page 73: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

65

3.4.5.1. Standart Araç Çubuğu – Title Block Editor Title Block Editor’de bulunan Standart araç çubuğundaki düğmeler aşağıdaki gibi tanımlanır:

Menü Kullanımı

Yeni düğme. Başlık blok düzenleyicide yeni isimsiz bir belge açar. Eğer bir tane çoktan açılmışsa önce o kapanacak sonra değişiklikleri kaydetmeye yönelir.

Aç düğmesi, Başlık blok düzenleyicide mevcut belgeyi açar. Eğer bir tane çoktan açılmışsa önce o kapanacak sonra değişiklikleri kaydetmeye yönelir.

Kaydet düğmesi, Geçerli belgede değişiklileri kaydeder.

Kes düğmesi, Seçilmiş elemanı çalışma alanından kaldırır ve onu panoya yerleştirir.

Kopyala düğmesi, Seçilmiş elemanın bir kopyasını panoya yerleştirir.

Yapıştır düğmesi, Pano üzerindeki elemanın bir kopyasını çalışma alanında imlecin bulunduğu konuma yerleştirir.

Resim gibi kopyala düğmesi, Bir meta dosyası gibi çalışma alanına başlık bloğunu kopyalar.

Bitmap gibi kopyala düğmesi, Çalışma alanı üzerinde başlık bloğunu bir Bitmap resmi gibi kopyalar.

Geri al düğmesi, Önceki eylemi geri alır.

Yeniden yap düğmesi, Önceki geri alınan eylemi yeniden yapar.

Baskı önizleme düğmesi, Geçerli belgede başlık bloğu sayfaya en uygun gösteren baskı önizleme iletişim kutusu açılır. Diğer boyutları elde etme yoktur.

Yazdır düğmesi, İstenilen yazdırma özelliklerinin girildiği ve başlık bloğunun yazdırıldığı standart Windows yazdır iletişim kutusunu açar. Başlık bloğu sayfaya en uygunu ile yazdırılır. Başlık bloğunu yazdırmak için büyütmeler elde edilmez.

Başlık blok düzenleyici hakkında düğmesi, Başlık blok düzenleyici hakkında bilgi “hakkında” kutusunu görüntüler.

3.4.5.2. Zoom Araç Çubuğu – Title Block Editor Title Block Editor’de aşağıdaki açıklanan Zoom araç çubuğundaki düğmeler bulunur:

Menü Kullanımı

Yakınlaştırma düğmesi, Çalışma alanında elemanları büyütür.

%100 yakınlaştırma düğmesi, Çalışma alanındaki parçaları normal görünüm boyutunda görüntüler. Multisim’de görüntülenecek boyuttur. Başlık blok düzenleyici ilk açıldığında büyütme %100’e ayarlıdır.

Uzaklaştırma düğmesi, Çalışma alanında elemanların görünüm boyutlarını azaltır.

Page 74: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

66

3.4.5.3. Draw Tools Araç Çubuğu – Title Block Editor Title Block Editor’de aşağıdaki açıklanan Draw Tools araç çubuğundaki düğmeler bulunur:

Menü Kullanımı

Seçim düğmesi, Çalışma alanında tıklayarak ve fareyi sürükleyerek eleman seçimi için kullanılır.

Dikdörtgen düğmesi, Çalışma alanına bir dikdörtgen yerleştirir.

Çizgi düğmesi, Çalışma alanına bir çizgi yerleştirir.

Çember düğmesi, Çalışma alanına bir çember yerleştirir. Çemberin merkezini yerleştirmek için tıklayın, sonra çalışma alanında imleci hareketlendirin. İmleç hareketlendiğinde çemberin dışhatları kesikli görünür. Çember istediğiniz şekli be boyut olduğunda çalışma alanına yerleştirmek için tıklayın.

Elips düğmesi, Çalışma alanına bir elips yerleştirir. Elipsin merkezini yerleştirmek için tıklayın, sonra çalışma alanında fareyi hareketlendirin. İmleç hareketlendiğinde elipsin dışhatları kesikli görünür. Elips istediğiniz şekli ve boyutu aldığında çalışma alanına yerleştirmek için tıklayın.

Çoklu çizgi düğmesi, Çalışma alanına çoklu çizgi yerleştirir.

Çokgen düğmesi, Çalışma alanına bir çokgen yerleştirir.

Yarım elips yay düğmesi, Çalışma alanına yarım elips yay yerleştirir.

Parça yay düğmesi, Çalışma alanına yay yerleştirir. Yayın merkez noktasını bir kez yerleştirmek için tıklayın, dış çap noktasını yerleştirmek için tekrar tıklayın. İmleç hareketlendiğinde yayın dışhatları kesikli görünür. Yayın son noktasını yerleştirmek için imleci hareket ettirin ve tıklayın.

Bezier düğmesi, Çalışma alanına bir bezier eğrisi yerleştirir.

Metin düğmesi, Çalışma alanı üzerine yerleştirilir biçiminde metin girin ve giriş metin iletişim kutusunu görüntüler.

Bitmap düğmesi, Çalışma alanına bir Bitmap resmi yerleştirir. İstediğiniz Bitmapı seçebileceğiniz standart Windows “aç” iletişim kutusunu görüntülemek için tıklayın.

Metin alanı düğmesi, Belirli bir alan için biçimli bilgi girmek için tıklayın. Başlık, açıklama, tasarlayan, denetleyen, onaylayan, belge numarası, tarih, geçerli sayfa numarası, toplam sayfa numarası, düzeltme, biçim, özel alan 1, Özel alan 2, Özel alan 3, Özel alan 4, Özel alan 5 seçenekleri içeren açılır listeyi görüntüler. Bir seçim yapıldığında, giriş başlık blok nitelik iletişim kutusu görüntülenir.

Page 75: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

67

3.4.5.4. Drawing Araç Çubuğu – Title Block Editor Title Block Editor’de Drawing araç çubuğu üzerindeki düğmeler aşağıda tanımlanmaktadır:

Menü Kullanımı

Sola hizala düğmesi, En soldaki nesnenin sol kenarı ile diğerlerinin sol kenar çizgisine seçilmiş nesneleri yatay olarak taşır. Düğmenin etkin olması için en az iki nesne seçilmiş olmalıdır.

Sağa hizala düğmesi, En sağdaki nesnenin sağ kenarı ile diğerlerinin sağ kenar çizgisine seçilmiş nesneleri yatay olarak taşır. Düğmenin etkin olması için en az iki nesne seçilmiş olmalıdır.

Üste hizala düğmesi, En üstteki nesnenin üst kenarı ile diğerlerinin üst kenar çizgisine seçilmiş nesneleri düşey olarak taşır. Düğmenin etkin olması için en az iki nesne seçilmiş olmalıdır.

Alta hizala düğmesi, En alttaki nesnenin alt kenarı ile diğerlerinin alt kenar çizgisine seçilmiş nesneleri düşey olarak taşır. Düğmenin etkin olması için en az iki nesne seçilmiş olmalıdır.

Izgaraya yapış düğmesi, Başlık bloğunun sınır kutusu içinde bulunan çizim ızgarasına seçilen elemanları yapıştırır.

Yatay dağıt düğmesi, Seçilmiş nesneleri yatay olarak eşit şekilde aralar. Düğmenin etkin olması için en az iki nesne seçilmiş olmalıdır.

Düşey dağıt düğmesi, Seçilmiş nesneleri düşey olarak eşit şekilde aralar. Düğmenin etkin olması için en az iki nesne seçilmiş olmalıdır.

Öne getir düğmesi, Seçilen elemanı çalışma alanında ön plana getiriyor. Diğer elemanlar onun arkasında görünür.

Arkaya gönder düğmesi, Seçilen elemanı çalışma alanında arka plana gönderir. Diğer elemanlar onların önünde görünür.

Saat ibresi tersi yönünde 90 döndür düğmesi, Seçilen elemanı saat ibresinin tersi

yönünde 90 döndürür.

Saat ibresi yönünde 90 döndür düğmesi, Seçilen elemanı saat ibresi yönünde

90 döndürür.

Yatay çevir düğmesi, Seçilen elemanı çalışma alanında yatay olarak çevirir.

Düşey çevir düğmesi, Seçilen elemanı çalışma alanında düşey olarak çevirir.

Grup çözme düğmesi, Grup komutunu kullanarak yapılan bir elemanı bireysel elemanlara geri döndürür.

Grup düğmesi, Seçilen elemanları bir grupta yerleştirir.

Sınır kutusunu yeniden boyutlandır düğmesi, Sınır kutusunun alt sağ kenarında bir imleç yerleştirir. Sınır kutusunu yeniden boyutlandırmak için istediğiniz konuma onu sürükleyin. İçerikteki elemanlardan daha küçük veya ona eklenen uçlar için gerekenden daha küçük sınır kutusunu yapamazsınız.

3.5. Elektriksel Kurallar Denetimi

Devreniz bir kez kablolandığında Electrical Rules Check iletişim kutusunda ayarlı doğruluk temelli kurallar için bağlantıları denetleyebilirsiniz. Electrical Rules Checking oluşturulur ve ayrıntılı rapor bağlantı hataları (bir güç ucuna bağlı çıkış ucu gibi) ve bağlanmamış uçlar görüntülenir. Devrenize bağlı olarak, bazı bağlantı türleri, bağlantı türleri için hata mesajları ve diğer bağlantılar için uyarı ve hatalar mevcutsa, uyarıların yayınlananmasını isteyebilirsiniz. Electrical Rules Check iletişim kutusunun ERC Rules sekmesinde bulunan ızgaradaki kurallar ayarlanarak elektriksel kural denetimi yapılan bağlantıların türlerini kontrol edin. Elektriksel Kurallar Kontrolü (ERC) çalıştırıldığında, herhangi bir kural dışı ekranın altında bir sonuç bölmesi içinde raporlanmaktadır ve devre dairesel hata işaretleri ile açıklanmaktadır. Sonuç bölmesinde hata üzerine çift tıklayınca hata konumu üzerine yakınlaşacak ve merkezlenecektir. Elektriksel kurallar denetimini çalıştırmak için:

1. Electrical Rules Check iletişim kutusunu görüntülemek için Tools / Electrical Rules Check seçin. 2. ERC Options sekmesi ve ERC Rules sekmesinde açıklandığı gibi raporlama seçeneklerini ayarlayın. 3. ERC Rules sekmesinde açıklandığı gibi kuralları ayarlayın. 4. OK’e tıklayın. ERC Options sekmesinde Output kutusunda seçilen biçimde sonuçlar görüntülenir.

Page 76: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

68

Aşağıdaki örnekte bir güç pini ERC Rules sekmesinde bir hata olarak tanımlanan çıkış pinine bağlanmıştır. Bütün diğer pinler bağlantısız bırakılmıştır. Not ERC de bir bileşende belirli uçlar içerip içermediğini seçebilirsiniz. Ayrıntılar Component’s Pins sekmesinde bahsedilmiştir. Result Pane Eğer çıkışınızı görüntülemek için Result Pane seçerseniz aşağıda gösterildiği gibi Spreadsheet View Results sekmesinde hatalar ve uyarılar ayrıntılandırımıştır.

Çalışma alanını üzerinde yerleşen Hata ve uyarıyı yakınlaştırmak için Results sekmesinde bireysel hatalar ve uyarılar üzerine çift tıklayın.

Diğer uçlarla bağımlı hata veya uyarıya yakınlaşmak için aynı hata ve uyarı üzerine çift tıklayın. (Bağlantısız uçlara uygulanmaz). ERC etiketleyici – hata veya uyarı kırmızı çember ile işaretlenir.

File Output kutusunda File seçerseniz, ERC sonuçları File alanında girdiğiniz isim ve dosya yolunda saklanır.

List View Eğer List View’i seçerseniz, aşağıda gösterildiği gibi bir rapor görüntülenir.

Denetlenen pinlerin toplam sayısı Toplam çıkarılan uyarılar Toplam çıkarılan hata mesajları Hataların ve uyarıların ayrıntıları bu alanda görüntülenir.

Page 77: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

69

Gerektiği gibi aşağıda ayrıntılanmış düğmeleri kullanın.

Bir metin dosyasına kaydet Bir yazıcıya gönder Yazdırma önizleme

Bir MS Excel uygulamasına

Save to a Text File – bir metin dosyasına iletişim kutusundaki verileri kaydetmek için tıklayın. Standart Windows kaydet iletişim kutusu görünür. İstediğiniz dosya yolunu seçin ve Save tıklayın.

Send to a Printer – standart Windows yazdır iletişim kutusunu görüntülemek için tıklayın. İstediğiniz yazdırma seçeneklerini seçin ve OK’i tıklayın.

Print Preview – yazdırma önzileme iletişim kutusunu görüntülemek için tıklayın.

To MS Excel App – iletişim kutusundan görünen verileri MS Excel sayfasına açmak için tıklayın. (bu fonksiyonu kullanmak için Excel kurmuş olmalısınız).

3.5.1. ERC Options Sekmesi

Bu kısım elektriksel kural denetimi (ERC) seçeneklerini nasıl ayarlanacağını açıklar. ERC seçeneklerini ayarlamak için:

1. Electrical Rules Check görüntülemek için Tools / Electrical Rules Check seçin ve ERC Options

sekmesini tıklayın. 2. Scope kutusunda birini seçin:

Current Page – çalışma alanınızda seçilmiş ve görüntülenmiş sayfa üzerinde ERC’yi çalıştırmak için.

Whole Design – bütün altdevreler, hiyerarşik bloklar ve mevcut tasarımla bağımlı çoklu sayfalar üzerinde ERC’yi çalıştırmak için.

3. Flow Through kutusunda istediğniiz gibi aşağıdaki çoğunu seçin:

Offpage Connectors – kapalı sayfa konektörlere bağlı uçlar arasında bağlantıları kontrol eder. Check Touched Pages seçilmedikçe çoklu sayfalara bağlı herhangi diğer pinleri kontrol etmez.

Hierarchical Block Pins – HB/SC (hiyerarşik blok veya altdevre) bağlantılı pinler arasında bağlantıları kontrol eder. Check Touched Pages seçilmedikçe hiyerarşik blok veya altdevreye bağlantılı herhangi diğer pinleri kontrol etmez.

Bus Offpage Pins – kapalı sayfa bus konektörleri buslara bağlı pinler arasında bağlantıları kontrol eder. Check Touched Pages seçilmedikçe çoklu sayfalardaki bağlantılar üzerinde diğer pinleri kontrol etmez.

Bus Hierarchical Block Pins – Bus HB/SC (hiyerarşik blok veya altdevre) konektörler buslara bağlantılı pinler arasında bağlantıları kontrol eder. Check Touched Pages seçilmedikçe hiyerarşik blok veya altdevre bağlantıları üzerindeki diğer pinleri kontrol etmez.

Check Touched Pages – Yukarıdakilerden bir veya birkaç tanesi seçildiğinde aktiftir. Seçildiğinde ERC çoklu sayfa, hiyerarşik blok veya altdevre bağlantıları üzerinde bütün bağlantıları kontrol edecektir.

4. Report Also – istediğiniz gibi aşağıdakileri seçin:

Unconnected Pins – Herhangi bir şeye bağlantısı olmayan pinleri kontrol eder.

Excluded Pins – bileşenlerin özellikleri iletişim kutusunun Pins sekmesinde ERC’den hariç olan pinleri kontrol eder.

5. ERC Marker kutusunda istediğiniz gibi aşağıdakileri seçin:

Clear ERC Markers – ERC’yi çalıştırdığınızda çalışma sayfanızdan mevcut ERC etiketleyicileri (kırmızı çemberle işaretlenmiş hatalar ve uyarılar) temizler.

Create ERC Markers – çalışma alanına kırmızı çemberle işaretli hatalar ve uyarıları yerleştirir. 6. Output kutusundan birini seçin:

Result Pane – Spreadsheet View’in Results sekmesinde ERC sonuçlarını görüntüler. Eğer Clear Pane seçerseniz, önceki ERC sonuçları, yeni ERC çalıştırıldığında Results sekmesinden temizlenecektir.

File – sonuçlar File alanında girdiğiniz isim ve dosya yolunda saklanacaktır.

List View – sonuçlar bir rapor biçiminde görüntülenecektir.

Page 78: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

70

3.5.1.1. ERC Etiketleyicileri Temizleme Yeni bir Elektriksel kurallar denetimi çalıştırılmaksızın ERC etiketleyicileri temizlemek için: 1. ERC Markers Deletion Scope iletişim kutusunu görüntülemek için Tools / Clear ERC Markers seçin. 2. Aşağıdakilerden birini seçin:

Current Page – geçerli seçilmiş sayfadan ERC etiketleyicileri temizlemek için kullanılır.

Whole Design – tasarıma bağlı bütün sayfalardan ERC etiketleyicileri temizlemek için kullanılır. 3. Seçilmiş etiletleyicileri silmek için OK’i tıklayın.

3.5.2. ERC Kurallar Sekmesi

Bu kısım elektriksel kurallar denetimi çalıştırıldığında kullanılan elektriksel kuralların nasıl ayarlanacağını açıklar. Elektriksel kuralları ayarlamak için:

1. Electrical Rules Check iletişim kutusunu görüntülemek için Tools / Electrical Rules Check seçin ve

ERC Rules sekmesini tıklayın. 2. Definition kutusunda bulunan ızgaradaki istediğiniz pin türlerinin alt seçimlerindeki düğmeleri

tıklayarak istediğiniz uyarı ve hata seviyelerini ayarlayın. Legend görünümüne dayalı istenilen renk görünene kadar tıklayın. Açıklık için bazı örnekler aşağıda gösterilmiştir.

ERC sembolleri. Aşağıdaki tablodan bakın. Örnek 1 Bu düğme giriş pininde Pasif bağlantı için OK işaret eder.

Örnek 2 Bu düğme Oe (açık emiter) pinine Oc (açık kolektör) bağlantısı için bir hata işaret eder.

Hata ve uyarı seviyeleri

Not Multisim’de değişik bileşenler üzerinde elde edilebilir pin türleri aşağıdaki tabloda ayrıntılandırılmıştır.

Pin Türü Multisim Bileşen Düzenleyiciden Pin Tipi ERC Sembolü

INPUT Giriş, 74LS Giriş, 74S Giriş, 74STD Giriş, CMOS Giriş, Schmitt Trigger, ECL Giriş

In

OUTPUT Çıkış, Aktif Sürücü, 74LS Aktif Sürücü, 74S Aktif Sürücü, 74STD Aktif Sürücü, CMOS Aktif Sürücü

Out

OPEN_COLLECTOR Açık Kolektör, 74S Açık Kolektör, 74STD Açık Kolektör, CMOS Açık Kolektör, 74LS Açık Kolektör

Oc

OPEN_EMITTER ECL çıkışı Oe

BI_DIRECTIONAL İki Yönlü, 74LS İki Yönlü, 74S İki Yönlü, 74STD İki Yönlü, CMOS İki Yönlü

Bi

3-STATE 3-Konum, 74LS 3-Konum, 74S 3-Konum, İki Yönlü 3-Konum, CMOS 3-Konum

Tri

PASSIVE Pasif Pas

POWER Güç, Vcc, Vdd, Vee, Vpp Pwr

GND Gnd, Vss Pwr

NS NC (Bağlantı yok) NC

Page 79: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

71

Aşağıda elde edilebilir uyarı ve hata seviyeleri açıklanmaktadır.

Seviye Açıklama

OK Yeşil düğme. Elektriksel kurallar denetiminden sonra bildiri görüntülenmez.

Warning Yeşil düğme. Elektriksel kurallar denetiminden sonra bir uyarı bildirisi görüntülenir.

Error Kırmızı düğme. Elektriksel kurallar denetiminden sonra bir hata bildirisi görüntülenir.

Warning* Mavi düğme. Başka bir pin türü mevcut olması durumunda Elektriksel kurallar denetiminden sonra bir uyarı bildirisi görüntülenir.

Error* Mor düğme. Başka bir pin türü mevcut olması durumunda elektriksel kurallar denetiminden sonra bir hata bildirisi görüntülenir.

3.5.3. Component’s Pins Sekmesi

Elektriksel kurallar denetimi çalıştırılmadan önce belirli bileşenler için hariç veya dâhil pinleri ayarlayabilirsiniz. ERC’den hariç veya dâhil etmek için bir bileşendeki pinleri ayarlamak için: 1. İstediğiniz bileşen üzerinde özellikler iletişim kutusunu görüntülemek için çift tıklayın ve Pins

sekmesini tıklayın. 2. İstediğiniz gibi aşağıdaki sütunda hücreleri ayarlayın:

ERC Status – bileşenin pinlerinin her biri için dâhil veya hariçten birini seçin.

NC – Bir pine NC (bağlantı yok) etiketleyici eklemek için Yes seçin. Bu yolla etiketlenmiş bir pin ERC’yi çalıştırdığınızda bağlantısız pin hatası atanmayacaktır. Ayrıca, NC etiketli pinleri kablolayamazsınız.

Not Name, Type ve Net sütunları yalnızca okunurdur. 3. İletişim kutusunu kapatmak için OK tıklayın.

Page 80: Multisim 10
Page 81: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

73

Bölüm 4

4. DAHA BÜYÜK TASARIMLARLA ÇALIŞMAK

Bu bölüm, daha büyük, daha karmaşık tasarımlar ile uğraşırken özellikle yararlı olan özellikleri açıklanmaktadır. Bu bölümde açıklanan özelliklerin bazılarını Multisim sürümünüzde kullanamayabilirsiniz.

4.1. Düz Çoklu Sayfa Tasarımı

Birçok durumda devre tasarımları tüm bileşenleri tek bir sayfaya sığmayacak kadar büyük veya mantıksal sebeplerden dolayı bölünmüş bir devre tasarımını düşünmek kolaydır. Bu durumda Multisim’in düz çoklu sayfa tasarım özelliğini kullanabilirsiniz. Bu devrenizin farklı bölümleri arasında kapalı sayfa konektörlerini yerleştirmenize izin verir. Bir devreye diğer bir sayfayı eklemek için: 1. Place / Multi-Page seçin. Page Name iletişim kutusu görüntülenir. 2. İstediğiniz ismi girin ve OK tıklayın. Girdiğiniz isim ile boş bir devre belirir. 3. Bileşenleri yerleştirin ve istediğiniz gibi devreyi kablolayın. 4. Place / Connectors / Off-Page Connector seçin. Kapalı sayfa konektörünün bir hayal resmi fare

işaretçinize eklenmiş görünür. 5. İstediğiniz konuma hayal resmi sürükleyin ve konektör yerleştirmek için tıklayın. Diğer gereken kapalı

sayfa konektörleri için tekrarlayın. 6. Devrenizde kapalı sayfa konektörlerini kablolayın. 7. Dosyayı kaydedin ve ana devre penceresine geri dönün. 8. Place / Connectors / Off-Page Connector seçin. Kapalı sayfa konektörünün bir hayal resmi fare

işaretçinize eklenmiş görünür. 9. İstediğiniz konuma hayal resmi sürükleyin ve konektör yerleştirmek için tıklayın. Diğer gereken kapalı

sayfa konektörleri için tekrarlayın. 10. Ana devre içerisindeki kapalı sayfa konektörlerini kablolayın. Not Ana devredeki bir nokta ve diğer sayfadaki bir nokta arasında bir bağlantı elde etmek için ana devredeki kapalı sayfa konektörünün ismi diğer sayfadaki gibi aynı olmalıdır. Örneğin, ana devrede OffPage1, diğer sayfadaki OffPage1’e bağlanacaktır.

4.1.1. Çoklu Sayfa İletişim Kutusunu Silme

Çoklu sayfa devre dosyasından bir sayfayı silmek için: 1. Delete Multi-Page iletişim kutusunu görüntülemek için Edit / Delete Multi-Page seçin. 2. Silmek istenilen sayfayı vurgulayın ve OK tıklayın.

4.2. Hiyerarşik Tasarım

Hiyerarşik bloklar ve altdevreler işlevsel olarak yönetilebilir parçalar halindeki bir tasarımın ilgili parçalarını düzenlemek için kullanılır. Multisim’in hiyerarşik işlevselliği alt bağlantılı devrelerin bir hiyerarşisini yapmanıza, devre tasarımlarınızın yeniden kullanılabilirliğini arttırmak ve tasarımcının bir grup arasında tutarlılık sağlamasına izin verir. Örneğin devrelerde yaygın olarak kullanılan bir kütüphane oluşturabilir bir merkezi konumda saklayabilirsiniz. Bu devreler devre tasarımının diğer seviyelerinde oluşturmada kullanılan daha karmaşık devreler içeriyor olabilir. Altbağlantılı devreler birbirine bağlı ve otomatik güncelleştirilebilir olduğundan ilgili devreler için yapılan ayrıntılandırmaların yanında bütün ilgili devrelerde gerçekleştirilmesini sağlayabilirsiniz. Bu olanak sağlar, örneğin, bir karmaşık proje tek tek takım üyeleri tarafından tamamlanması için alt bağlantılı daha küçük devrelere bölünebilir. Hiyerarşik bloklar ve altdevreler, orijinal devre ile saklanan altdevreler olması ve ana dosyadan referans alan bireysel devre dosyaları hiyerarşik bloklar olması dışında benzerdir. Bağlantı yöntemi HB/SC konektör kullanılarak her ikisi ile aynıdır. Altdevrelerin yönetimi kolaydır, yanlışlıkla onların referansları olan devrelerden ayrılamazlar. Hiyerarşik bloklar çoklu tasarımlar arasında iç içe geçmiş devreler yeniden kullanıldığında veya aynı tasarım üzerinde birden çok tasarımcı çalışıyorken faydalıdır. Hiyerarşik blok kullanıldığında blok düzenlenebilen ayrı bir şema dosyası olarak kalır. Devre yerleştirildiğinde devre ve blok arasındaki bağlantılar aktif bir linktir – eğer B devresinin bir bloğunu A devresinin içerisine yerleştirirseniz, A devresini ayrı açabilirsiniz, gerekli değişiklikleri yapabilirsiniz ve bu değişiklikler ve A devresinde kullanılan diğer herhangi devrelerde ve ileriki zamanda onu açtığınızda B devresinde yansıtılır.

Page 82: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

74

4.2.1. İç İçe Geçmiş Devreler

Multisim’de bir devre dosyası açıldığında veya oluşturulduğunda tanımı gereği geçerli tasarımın üst seviye devresidir. Bütün devreler diğerlerine referans olabilir, gömülebilir (altdevre) veya devre karmaşıklığını kontrol etmek için yapılan bloklar gibi davranan iç içe geçmiş devreler (hiyerarşik bloklar) link olabilir. Buna ek olarak, herhangi bir devre (iç içe geçmiş veya başka türlü), anlayış ve yazdırma kolaylığı için birden fazla sayfalarda yer alabilecektir. Design Toolbox’daki Hierarchy sekmesi açık tasarımın grafiksel bir görüntüsünü görüntüler.

Eğer bir tasarımda iç içe geçmiş olan bir devre birden çok kez kullanılırsa hiyerarşi görünümünde birden çok kez görüntülenecektir ve ana çalışma alanında birden çok sekme olacaktır. Her bir görünüm iç içe geçmiş olan bir devrenin kullanımının bir örneğidir. Kullanım örnekleri, onlara ulaşmak için kullanılan referanslar isimleri tarafından oluşturulan bir yol ile tanımlanır. Yukarıdaki basit örnekte alt devre “sub” elde etmek için iki yol vardır: biri devre1’de X1 referans geçişi ve X2 referans geçişidir. Bir istisna dışında (RefDes atama), kullanımının bir örneği için yapılan düzenlemeler, diğer hepsine yansıtılır (aslında değiştirilmiş olan aynı devre için). Farklı görünümler simülasyon onları ayırdığı için her bir kullanım örneğini korunur. Böylece eğer bir prob alt devrenin (sub) X1 örneğindeki IO1 ağı üzerinde sürüklenirse, o X2 örneğindeki ağ üzerinde sürüklendiğinden farklı bir gerilim gösterebilir.

4.2.2. İç İçe Geçmiş Bir Devrede Bileşen Numaralandırma

Bir tasarımdaki her parça tek bir referans işaretçisine (RefDes) sahiptir, R5, U2 gibi. Bir bileşen yerleştirildiğinde en yüksek bir sonraki sayıyi izleyerek yerleştirilen parçanın tipik tek bir harfini alarak (direnç için R, kondansatör için C) varsayılan olarak RefDes atanır. Bir tasarımda tek olduğu sürece istediğiniz herhangi bir şey için bunu düzenleyebilirsiniz. Çok seçimli parçaların durumunda RefDes bölüm tanımlayıcısı içerecektir. Parça için RefDes’in ataması üst seviye devre ile saklanır ve iç içe geçmiş herhangi bir devre ile değildir. Bu tasarımda aynı iç içe geçmiş devre birden çok kez görüntülenebilir olduğu içindir. İç içe geçmiş bir devre ile ilişkili olan RefDes olursa, bu durumda yinelenen bir RefDes görünecektir. Bu durum için kullanımın her bir örneği ile ilişkili olan üst seviye bir devredir. Böylece üst seviye devre2 geçişi açılan aynı hiyerarşik blokdaki gibi aynı bütün bileşenler olacak, üst seviye devre 1 hiyerarşik blok açılacak, ancak bunlar farklı RefDes’ler olacaktır.

Yukarıdaki örnekte alt devreyi “sub” iki kez referans alan devre 1’i göreceğiz. Bileşenlerin altdevredeki yerleşimi, kablolaması ve ağ isimleri iki örnekte tanımlanır (“sub” aynı altdevrede, hepsi olduğu için).

Page 83: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

75

Ancak, diğer bileşenlerin örnek bileşen için RefDes’in arasındaki ilişki Devre1 tasarım içeriği tarafından yönetildiği ve saklandığı gibi RefDes’leri farklıdır.

4.2.3. İç İçe Geçmiş Devrelerde Ağ Numaralandırma

Ağ isimleri çok sayfalı devrelerin bütün sayfaları arasında tektir. Ancak, ağ isimleri iç içe geçmiş devrelerde tekrarlanabilir. Bu herhangi bir belirsizliğe neden olmaz, ancak, iç içe geçmiş devrelerde ağlarının “gerçek” isimleri gibi devre örneğine ulaşmak için noktayla ayrılmış referans yolu beklemede

oluşmaktadır. Aşağıdaki şemada, 'X1.IO1' üst düzey devre RefDes 'X1' tarafından başvurulan alt devrede

net sayısı IO1’in tam adıdır.

4.2.4. Genel Ağlar

Belirli ön tanımlı adlandırılmış ağlar bütün bir tasarım üzerinde geneldir – hiyerarşi içinde veya herhangi bir sayfada herhangi bir seviyede bir genel ağ herhangi bir zamanda, bu ayrılmış ağların biri için yeniden isimlendirilmiştir. Bu ayrılmış genel ağlar 0, GND, VCC, VDD, VEE ve VSS’dir. 0 ağı analog toprak için karşılık ve bütün gerilimler için simülasyon süresince referanstır. GND dijital topraktır (bu ili toprak ağları yalıtmak için istenilen PCB düzeninin amacı için ortaktır). Not PCB düzeni işlemi süresince analog ve dijital toprağı ayrı tutmak istiyorsanız, Sheet Properties’in PCB sekmesindeki Connect digital ground to analog ground onay kutusunu şemanızı Ultiboard’a göndermeden önce seçilmemiş olduğundan emin olun. “T” sembolü kullanılan VCC, VDD gibi bileşenler veya üçgen sembol kullanılan GND gibi bileşenler istediğiniz gibi yeniden isimlendirebilirsiniz. Bir kablo bir bileşene takıldığında, onun ağ ismi otomatik olarak bileşenin ağ ismine değiştirilir. Örneğin, aşağıdaki şekilde VCC yerleştirilmiştir ve sonra bileşen üzerine çift tıklayarak “power” olarak yeniden isimlendirilir ve “power” için RefDes değişir. Ağ ismi yeni bileşenin RefDes değerine eşleştirmek için otomatik olarak “power” olarak değiştirildi.

Kapalı sayfa konektörleri kullanmaksızın çok sayfalı devredeki sayfalar arasında geçişi ağlar bu yolla oluşturur. Eğer sembol iç içe geçmiş devre içinde (HB veya SC) yerleştirilirse eklenmiş ağ üst seviye ağ olarak yeniden isimlendirilir ve aynı isimli üst seviyede diğer ağlarla ilişkilidir. Örneğin, üst seviye devreden X1 tarafından başvurulan altdevrede “güç” isimli ağ “X1.power” ağının ismi normal olarak yeniden yazılmaktadır. Bu tasarımda iç içe geçmiş diğer veya üst seviye devrede diğer herhangi power isimli ağ için tek ağ olarak yapılır (aynı alt devrenin örneğini diğerleri kullansa bile). Ancak, “güç” olarak yeniden isimlendirilen “T” bileşeni yerleştirilir ve sonra basitçe “güç” olarak anılan ağda sonuçlanması için bir kablo eklenir. Bu "güç" olarak adlandırılan tüm bu ağlar arasında sanal bir kablolama durumu oluşturur. Dolayısıyla, bu iç içe geçmiş devre içine gömülü olsa bile en üst ağ ismi olarak ifade edilebilir bir düzenektir.

Page 84: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

76

“gücün” bütün örnekleri burada gösterilmiştir.

4.2.5. Bir Hiyerarşik Blok Ekleme

Yeni bir hiyerarşik blok eklemek için: 1. Hierarchical Block Properties iletişim kutusu görüntülemek için Place / New Hierarchical Block seçin. 2. Bir dosya ismi girin veya 3. Browse üzerine tıklayın, hiyerarşik bloku saklamak istediğiniz klasöre gidin ve Save tıklayın.

Hierarchical Block Properties iletişim kutusuna geri döneceksiniz. 4. İstediğiniz pinlerin sayısını girin ve OK tıklayın. Yeni hiyerarşik bloğun hayali resmi görüntülenir.

Hiyerarşik bloğu görüntülemek istediğiniz yere tıklayın. 5. Yeni hiyerarşik blok üzerine çift tıklayın ve görünen Hierachical Block / Subcircuit iletişim kutusunun

Label sekmesinden Edit HB/SC seçin. Yalnızca girilen pinleri içeren bir devre penceresi görüntülenir. 6. Yerleştirin ve yeni hiyerarşik blokta istediğiniz gibi bileşenleri kablolayın. 7. Aşağıdaki örnekteki gibi devre içerisinde hiyerarşik bloğu kablolayın. 8. Devreyi kaydedin.

Not Eğer bir ana devreye göre bir hiyerarşik bloğu taşımak veya yeniden isimlendirmek istiyorsanız, Multisim’in onu bulması mümkün değildir. Bu durumda hiyerarşik blok için yeni konumu sağlamanızı soracak olan bir iletişim kutusu görünecektir. 4.2.5.1. Mevcut Bir Dosyadan Bir HB Yerleştirme Mevcut bir dosyadan bir hiyerarşik blok eklemek için: 1. Place / Hierarchical Block from File seçin, istediğiniz dosyaya ulaşın ve Open tıklayın. Devre çalışma

alanına yerleştirilir. 2. Eğer zaten mevcut değilse HB’ye HB/SC konektörler eklemeniz gerekebilir.

Bunu yapmak için yerleştirilen HB’in üzerine çift tıklayın ve Edit HB/SC seçin. Place / Connectors / HB/SC Connector seçin ve istediğiniz gibi konektörü yerleştirin ve kablolayın. Ana devreye geri döndüğünüzde HB için sembol eklediğiniz konektörlerin sayısı için pinler içerecektir.

Not Eğer hiyerarşik bloğun örnekleri zaten yerleştirilmişse aşağıdaki iletişim kutusu görüntülenir:

Page 85: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

77

Yeni hiyerarşik blokta gruplama bilgisini atamak için kullanmak istediğniz hiyerarşik bloğu seçin ve OK tıklayın.

4.2.5.2. HB ile Bileşenleri Yeniden Yerleştirme Bir hiyerarşik blok ile bir şemanın bir kısmını yerleştirmek için: 1. Çalışma alanında istediğiniz bileşenleri ve ağları seçin. 2. Place / Replace by Hierarchical Block seçin. Hierarchical Block Properties iletişim kutusu görüntülenir.

İstediğiniz dosya ismini girin ve OK tıklayın. 3. Seçim devre içine doğru kablolanmış olan HB sembolü olarak yeniden yerleştirilir.

4.2.6. Bir Altdevre Ekleme

Yeni bir altdevre eklemek için: 1. Place / New Subcircuit seçin. Subcircuit Name iletişim kutusu görüntülenir. 2. Altdevre için kullanmak istediğiniz ismi girin, örneğin, “güç kaynağı” ve OK tıklayın. İmleç

yerleştirilmeye hazır olan altdevreyi işaret eden altdevrenin hayali resmi için değişir. 3. Altdevreyi yerleştirmek istediğiniz devredeki konuma tıklayın (eğer gerekliyse onu daha sonra

taşıyabilirsiniz). Altdevre ismi ile bir simge devre penceresinde istediğiniz konumda altdevre görünür.

4. Yeni altdevre üzerine çift tıklayın ve görüntülenen Hierarchical Block / Subcircuit iletişim kutusunun Label sekmesinden Edit HB/SC seçin. Boş devre penceresi görüntülenir.

5. Yeni hiyerarşik blokta istediğiniz gib bileşenleri yerleştirin ve kablolayın. 6. Place / Connectors / HB/SC Connector seçin ve istediğiniz gibi konektörleri yerleştirin ve bağlayın.

Diğer gerekli HB/SC konektörler için tekrarlayın. Ana devreye geri döndüğünüzde altdevre için sembol eklediğiniz konektörlerin sayıları için pinler içerecektir.

7. Her zamanki gibi ana devreye alt devrelerinden pinleri kablolayınız. Aynı altdevrenin diğer örneklerini yerleştirmek için: 1. Çalışma alanında istediğiniz altdevreyi seçin ve Edit / Copy seçin. 2. Çalışma alanı üzerine altdevrenin kopyasını yerleştirmek için Edit / Paste seçin. Not Kopyası orijinal altdevreden aynı dosyada yapıştılabilir olmalıdır. 4.2.6.1. Bir SC’de Bileşenleri Yeniden Yerleştirmek Bir altdevrede (SC) şemanın bir kısmını yeniden yerleştirmek için: 1. Çalışma alanında istediğiniz bileşenleri ve ağları seçin. 2. Place / Replace by Subcircuit seçin. Subcircuit Name iletişim kutusu görüntülenir. 3. Altdevre için kullanmak istediğiniz ismi girin ve OK tıklayın. Devre içerisine doğru olarak kablolanmış

olan SC sembolü olarak yeniden yerleştirilir.

4.2.7. Ana Sayfa Görüntüleme

Bir altdevre veya hiyerarşik blok görüntülendiğinde ana sayfaya onu kolaylıkla taşıyabilirsiniz. Aynı anda birçok devrelerin açık olduğunda özellikle faydalıdır.

Bir aktif altdevre veya hiyerarşik bloğun ana devresini görüntülemek için View / Parent Sheet seçin.

Page 86: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

78

Not Bu komut hiyerarşisinde bir sonraki devre yukarı hareket edecektir. Eğer birden fazla iç içe geçmiş devre var ve görüntüleniyorsa, örneğin, bir altdevre içinde bir altdevre hiyerarşinin en üstüne taşıyamazsınız.

4.3. Bileşen Örneklerini Yeniden Adlandırma

Rename Component Reference Designators iletişim kutusu bileşenleri yeniden isimlendirme / yeniden numaralandırmak için kullanılır, örneğin numaralandırmayı ortadan kaldırmak için. Bu PCB düzenine devreyi göndermeden önce yapılmalıdır. İletişim kutusundaki sütunlar aşağıdaki bilgileri içerir:

RefDes Path – bileşen için yol. Eğer bileşen ana sayfaya konumlandırılmışsa (Bu bir altdevrede, hiyerarşik blokta veya çoklu sayfada değildir), V1 örneğinde olduğu gibi yol yalnızca bileşen referans işaretçisi (RefDes) içerecektir. Eğer bileşen ana sayfa üzerinde değilse, “X3.R1” örneğinde olduğu gibi, aynı zamanda bulunduğu sayfada gösterir. Bu X3 tarafından başvurulan altdevre üzerinde R1’in bulunduğunu işaret eder.

RefDes – bileşenin referans işaretçisi çalışma alanı üzerinde onun gibi görünür.

Section – çoklu bölüm bileşeninin bölümü.

Locked – “Yes” Renumber veya Gate Optimizer komutu tarafından bileşenin değiştirilmeyeceğini işaret eder.

Bir devredeki bileşeni yeniden numaralandırma için: 1. Tools / Rename / Renumber Components’i seçin.

X1 altdevresindeki dirençler X2 altdevresindeki dirençler

2. Renumber’ı tıklayın.

X2’deki RefDes’in (R1’den R5) arasında dizi takip etmesi için değişmektedir.

3. İletişim kutusunu kapatmak ve değişiklikleri kabul etmek için OK tıklayın. Çok bölümlü bileşenleri mümkün olduğunca verimli kullanmak amacıyla devreyi optimize etmek için: 1. Tools / Rename / Renumber Components’i seçin. 2. Gate Optimizer’i tıklayın.

4.3.1. Referans İşaretleme Önek Kurulum İletişimi

Reference Designator Prefix Setup iletişim kutusu her bir altdevre, hiyerarşik blok veya çoklu sayfa için tek bir sistem numarası atamak için kullanılabilir. Bu büyük tasarımda bileşen tanımlamaya çalışıldığında faydalıdır. Bu bölüm aynı altdevrenin iki örneğinin örneğini kullanır.

Page 87: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

79

Not X1 ve X2 aynı altdevrenin örneğidir.

X1 X2 Yeniden isimlendirme parametrelerini ayarlamak için: 1. Rename Component Reference Designator iletişim kutusundan Setup tıklayın. Designator Prefix

Setup iletişim kutusu görüntülenir. 2. Numaralandırma parametrelerini ayarlamak istediğiniz parçayı seçin ve Use RefDes Prefix

etkinleştirin. 3. Eğer istiyorsanız, Prefix alanına seçim bileşenleri için bir önek girin, örneğin “Beta”. 4. Offset alanında bölümde her RefDes için başlangıç numarasını girin, örneğin “100”. 5. Rename Component Reference Designator iletişim kutusuna geri dönmek için OK tıklayın. 6. Renumber tıklayın. Reference Designator Prefix Setup iletişim kutusunda yaptığınız kurulum

değişikliklerini yansıtmak için RefDes yeniden numaralandırılır.

Beta öneki altdevre örneğinin her bir bileşenine eklenecektir. Altdevre örneğindeki bileşenler için bileşen numaralandırma dizisi 100’den başlar.

7. Değişiklikleri kabul etmek için OK tıklayın.

Beta öneki altdevre örneğinin her bir bileşenine eklenecektir. Altdevre örneğindeki bileşenler için bileşen numaralandırma dizisi 100’den başlar.

Page 88: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

80

4.4. Buses

Basit bir kablolamaya göre buslar çoklu ağları taşıyabilirler. Buslar bir sayfa içinde, sayfalar arasında ve iç içe geçmiş devreler içinde altdevreler ve hiyerarşik bloklarda kullanılabilirler. Buslar iki durumda işletilir. Ağ durumunda bir bus ağın basit olarak bir toplamıdır. Her zaman bir kablo bir bus ile bir busa bağlanabilir, busa halihazırda mevcut bir ağa yeni bir kablo bağlamayı veya busa ağ eklemeyi seçebilirsiniz. Bus durumunda busa bağlı olan bus halarının isimlerini ve numaralarını ön tanımlayabilirsiniz. Bus girişi ile bir busa kablo bağlarken yeni kablo eklerken mevcut bus hatlarını belirlemeniz istenebilir. Aynı bus hatlarına bağlı bütün kablolar aynı ağ içinde birleştirilir. Not Bu kablolama durumu Sheet Porperties’in Wiring sekmesinde ayarlanır.

Ağ durumu Bus hattı durumu

Ağ durumu şema çizim programlarında bus kullanımının çok geleneksel bir yoludur. Bus hatları durumu giriş hatalarını en aza indiren bus tasarımı daha önce açıklanan daha modern bir yaklaşıma olanak sağlar. İki durum arasında geçiş yapabilmenize rağmen verilen herhangi bir devre için bir stil veya diğerini kullanmanız teşvik edilir (bus hattı durumundan ağ durumuna geçiş bilgi kaybeder). Buslar birden çok bus kısımlardan mevcuttur. Bütün aynı isimli bus kısımları aynı busun parçalarıdır. Onlar fiziksel bağlantıya ihtiyaç duymazlar. Aynı devrenin diğer sayfasına bir bus bağlamak için bir bus kapalı sayfa konektörü kullanılır. Bu ikinci veya üçüncü sayfa üzerinde bir busa devam etmenize izin veren kapalı sayfa konektörleri analog olarak düzenlemeye çalışır.

Kapalı sayfa konektörler İç içe geçmiş bir devre bir bus pini olan hiyerarşik blok veya altdevrenin pinlerinin birini belirlemek için bir bus HB/SC konektör kullanabilirsiniz. Böyle bir bus pin bir bus bağlama, iç içe geçmiş devre kullanırken, iç içe geçmiş bir devrede bu ana devre bus ile Bus hatlarını (ya da ağlar) eşleştirmeyi soran bir iletişim kutusu açar. Bu eşleme genelde farklı bir busa bağlı olan iç içe geçmiş devrenin her bir örneği gibi gereklidir. Eğer ana devredeki bus boş ise, iletişim sunulmayacak ve bus doğal olarak kablolanacaktır.

Page 89: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

81

Bus HB/SC konektör Busların kullanımını kolaylaştırmak için güçlü bir bus bağlantı tesisi tek bir işlemle bir busa bağlamak için bir çipin çoklu pinlerine izin vermeyi sağlamaktadır. Bu iletişim çok pinli bileşenlerden bus hatlarına bağlantı için pinleri seçmenize izin verir.

4.4.1. Bir Bus Yerleştirme

Devrenizde bir bus yerleştirmek için:

1. Place / Bus seçin. 2. Bus için ilk noktaya tıklayın. 3. Bus için sonraki noktaya tıklayın. 4. Bus tamamlanana kadar noktalar üzerinde tıklamaya devam edin. Busları yatay, düşey veya 45

derecede yerleştirebilirsiniz. 5. Busun son noktasını işaretlemek için çift tıklayın.

Bus örnekleri 4.4.1.1. Çoklu Sayfalar Arasında Bir Busu Yerleştirme Çoklu sayfalar arasında aynı busu yerleştirmek için: 1. Çalışma alanına Bus-Offpage Connector yerleştirmek için Place /Connectors /Bus-Offpage Connector

seçin. 2. İstediğiniz busa konektörü kablolayın. 3. Çoklu sayfa üzerine bir bus yerleştirin ve busa diğer Bus-Offpage Connector bağlayın. 4. Bus üzerine çift tıklayın ve ana sayfa üzerindeki busun bus ismine uyması için onun ismini değiştirin. 4.4.1.2. HB/SC’ye busları bağlama Hiyerarşik blok (HB) veya bir altdevrede (SC) bir busu bir busa bağlamak için: 1. Çalışma alanınıza bir bus yerleştirin. 2. Busa kablolar ekleyin. 3. Çalışma alanınızda bir hiyerarşik blok veya altdevre ekleyin. 4. HB/SC’de bir bus yerleştirin ve onu istediğiniz gibi kablolar ekleyin.

Ana devredeki hiyerarşik blok Hiyerarşik bloğun içeriği

Page 90: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

82

Not Bu örnekte bir hiyerarşik blok kullanılır. 5. Place / Connectors / Bus HB/SC Connector seçin ve busun sonuna HB/SC’deki konektörü yerleştirin.

Ana devredeki HB/SC için simge Bus HB/SC Connector ekini yansıtmak için değişecektir.

6. Ana devredeki busun bir ucu üzerinde imleci gezdirerek HB/SC üzerine BusIO pini ekleyin ve imleç

artıya değiştiğinde tıklayın. HB/SC sembolü üzerine BusIO pinine imleci taşıyın ve yerleştirmek için tıklayın. Bus HB/SC Mapping Properties iletişim kutusu görüntülenir.

7. Bus Pin kutusunda eşlemek istediğiniz BusIO pininden bus hatlarını seçin ve aktif olan aşağı ok düğmesini tıklayın.

8. Sağdaki Bus kutusunda, yukarıda seçtiğiniz bus hatlarını eşlemek istediğiniz ana sayfa üzerinde bus hatlarına tıklayın ve aşağı oka tıklayın.

9. OK tıklayın. Ana devredeki Bustan bağlantı yukarıdaki eşleme gibi BusIO pinine yapılır. Not Eğer Busa daha çok ağ eklemek istiyorsanız, altdevre veya hiyerarşik blok sembolü üzerindeki BusIO pini üzerine çift tıklamalısınız ve Bus HB/SC Mapping Properties iletişim kutusun yeni ağlar eşleştirin.

4.4.2. Bus Özellikleri

Bus Properties iletişim kutusundan bus hatlarını silebilir, ekleyebilir ve yeniden adlandırabilirsiniz. 4.4.2.1. Bir Busa Bus Hatları Ekleme Bus hatları durumunda olduğunuzda bir bus kabloladığınızda kullanmak için bus hatlarını önceden girebilirsiniz. Bir busa bus hatları eklemek için: 1. Bus Porperties iletişim kutusunu görüntülemek için yerleştirilmiş bir bus üzerine çift tıklayın. 2. Add Buslines iletişim kutusunu görüntülemek için Add tıklayın. 3. Eğer seçilmiş bir Busa tek bir bus hattı eklemek istiyorsanız, Add a Busline etkinleştirin ve Name

alanına bir isim yazın. Eğer bir bus hattının bir sayısını eklemek istiyorsanız, Add Bus Vector etkinleştirin ve aşağıda açıklandığı gibi alanlarda ki bilgileri girin.

Prefix – girdiğiniz bus hattı için önek, örneğin “Out”.

Start Value – bus hatlarının numaralandırmaya bağlayacağı numara, örneğin “0”.

Increment by – her bir sayı arasındaki adımın boyutu, örneğin “1”.

Number – eklemek için bus hattının toplam sayısı, örneğin “4”. 4. OK tıklayın. Add Buslines iletişim kutusu görüntülenir ve Bus Properties iletişim kutusu Buslines (net)

alanında görüntülenen eklenmiş bus hatları ile görüntülenir. 4.4.2.2. Bir Bustan Bus Hatlarını Silme Bir bustan bus hatlarını silmek için: 1. Bus Properties iletişim kutusunu görüntülemek için yerleştirilmiş bir bus üzerine çift tıklayın. 2. Buslines (Net) alanında istediğiniz busu seçin ve Delete tıklayın. 4.4.2.3. Bir Busta Bus Hatlarını Yeniden Adlandırma Bir busta bus hatlarını yeniden adlandırmak için: 1. Bus Properties iletişim kutusunu görüntülemek için yerleştirilmiş bir bus üzerine çift tıklayın. 2. Buslines (Net) alanında istediğiniz busu seçin ve Rename tıklayın. Rename Busline iletişim kutusu

görüntülenir. 3. New Name alanında istediğiniz ismi girin ve OK tıklayın.

Page 91: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

83

4.4.3. Busları Birleştirme

Aynı bus ismine sahip olan iki busu birlikte birleştirmek için: 1. İki busu vurgulayın ve Bus Merge iletişim kutusunu görüntülemek için Place / Merge Bus seçin.

Bus hattının bağlı olduğu ağ Bus hattı

İpucu Busları birleştirmeden önce bus hatlarını yeniden adlandırmak isterseniz istediğiniz bus hatlarını seçin ve Rename tıklayın. İlişkili busların her birinde aynı isimli bus hatları ilişkilendirdikten sonra elektriksel olarak bağlanacaktır. 2. Merged Bus alanında Name açılır listeden ilişkili bus için kullanılacak busu seçin. 3. Merge tıklayın. Aşağıdaki örnekteki gibi seçilmiş ismi şimdi çalışma alanında iki busunda

paylaştıklarına dikkat edin.

Not Bir bus üzerine çift tıklayarak Bus Merge iletişim kutusuna geçiş yapabilirsiniz ve Bus Properties iletişim kutusundaki Merge düğmesini tıklayabilirsiniz. Bu durumda Merge’ye tıklamadan önce Name açılır listeden ikinci busu seçmelisiniz. Yanı sıra, birlikte onları kablolayarak busları ilişkilendirebilir veya mevcut başka busun ismi ile bir busu yeniden adlandırabilirsiniz.

4.4.4. Bir Busu Kablolama

Bus Hattında Bus Kablolama Durumu 1. Bus üzerine herhangi bir konuma bir kablo çizerek devrenizdeki busa bağlayın. Bus Entry Connection

iletişim kutusu görünür:

Page 92: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

84

Bus hattı ismini varsayılan olarak kullanın veya yeni bir isim yazın. Veya Elde edilebilir bus hatlarından birini seçin.

2. İstediğiniz bus hattını seçin ve OK tıklayın.

Busa kablo bağlandığında fare konumu ayarlanarak her iki yöndeki noktaya 45 derece bağlantı yapılabilir.

Not Kabloladıktan sonra ağın üzerine çift tıklayarak ağı yeniden isimlendirebilirsiniz ve görünen Net iletişim kutusunda ismi düzenleyebilirsiniz. Not Yön düğmeleri ile onu taşımak için bir bus girişini seçebilirsiniz veya SHIFT-R ile döndürebilirsiniz. Not Bus Entry Connection iletişim kutusu ile özellikleri düzenlemek için bus girişi üzerine çift tıklayabilirsiniz. Ağda Bus Kablolama Durumu 1. Bus üzerine herhangi bir konuma bir kablo çizerek devrenizdeki busu kablolayın. Bus Entry

Connection iletişim kutusu görünür:

Varsayılan ağı kullanın. Veya Mevcut ağlardan birini seçin.

Page 93: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

85

2. İstediğiniz ağı seçin ve OK tıklayın.

Not Kablolamadan sonra onun üzerine çift tıklayarak ve görünen Net iletişim kutusunda ismini düzenleyebilir ve her zamanki gibi ağa yeniden isimlendirebilirsiniz. Not Yön düğmeleri ile onu taşımak için bus girişini seçebilirsiniz veya SHIFT-R ile onu döndürebilirsiniz. Not Bus Entry Connection iletişim kutusu ile özellikleri düzenlemek için bus girişi üzerine çift tıklayabilirsiniz. Busu Yeniden Boyutlandırmak Bir busu yeniden boyutlandırmak için: 1. Bus üzerine tıklayın ve bus üzerinde görünen kolların birini sürükleyin. Bir busa eklemek için: 1. Busun üzerine imleci yerleştirin ve artıya döndüğünde fareye tıklayın. 2. İstediğiniz konuma imleci sürükleyin ve busu tamamlamak için çift tıklayın.

4.4.5. Bus Vektör Bağlantısı

Bir busu kablolama yöntemi süresince Bus Vector Connect komutunu kullanabilirsiniz. Bu bir busa, bir IC gibi birçok uçlu bir cihazdan çok sayıda bağlantıları yerleştirmek için tercih edilen bir yöntemdir. Aşağıdaki örnekte bir busa bir entegrenin bağlantısı ayrıntılandırılmıştır. Ancak bus vektör bağlantısı işlevselliği bir busa herhangi çok pinli bir cihazı bağlamak için kullanılabilir. Bus Hattında Bus Kablolama Durumu Bus hattında bus kablolama durumunda bir busa bir cihaz bağlamak için: 1. Çalışma alanında busa bağlamak istediğiniz bileşeni yerleştirin. 2. Bir bus yerleştirme konusunda açıklandığı gibi çalışma alanına bir bus yerleştirin.

En iyi sonuç için bus pozisyonu pinlere doğru açıda bağlanmalıdır. Ayrıca bus rahatlıkla bağlantı sayısını karşılamak için yeterince uzun olmalıdır.

3. Seçmek için bileşen üzerine bir kez tıklayın ve Bus Vector Connect iletişim kutusunu görüntülemek

için Place / Bus Vector Connect seçin. 4. Component kutusunda, Pins açılır listesinden bağlanmış terminallerden bileşenin kenarını seçin. Pins

alanının altındaki liste seçiminize göre doldurulur. 5. Busa eklemek istediğiniz pinleri aşağıdaki örnekteki gibi vurgulayın.

Page 94: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

86

6. Sol alt alana seçilen pinleri taşımak için etkinleştirilmiş aşağı ok düğmesini tıklayın.

Not Eğer yanlış parçayı taşırsanız, onu vurgulayın ve Pins listesine geri dönmek için yukarı ok düğmesine tıklayın. 7. Bus kutusunda Name açılır listesinden bağlamak istediğiniz busu seçin.

8. Bus hattı alanında kullanmak istediğiniz bus hatlarını seçin ve alt sağ alana seçimi taşımak için etkin aşağı ok düğmesini tıklayın.

(Eğer Buslines boşsa, otomatik olarak oluşturmak için Auto-Assign düğmesi üzerine tıklayabilirsiniz ve pin isimlerine karşılık bus hatları isimlerini atayabilirsiniz.)

9. OK tıklayın. Busa bağlantılar aşağıdaki örnekteki gibi yapılmaktadır.

Page 95: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

87

Ağda Bus Kablolama Durumu Ağda bus kablolama durumunda bir busa bir cihazı bağlamak için: 1. Çalışma alanında busa bağlamak istediğiniz bileşeni yerleştirin. 2. Bir bus yerleştirme konusunda açıklandığı gibi çalışma alanı üzerine bir bus yerleştirin.

En iyi sonuç için bus pozisyonu pinlere doğru açıda bağlanmalıdır. Ayrıca bus rahatlıkla bağlantı sayısını karşılamak için yeterince uzun olmalıdır.

3. Seçmek için bileşen üzerine bir kez tıklayın ve Bus Vector Connect iletişim kutusunu görüntülemek

için Place / Bus Vector Connect seçin. 4. Component kutusunda Pins açılır listesinden bağlanacak terminallerden bileşenin kenarını seçin.

Pins alanının altındaki liste seçiminize göre doldurulur. 5. Busa eklemek istediğiniz pinleri aşağıdaki örnekteki gibi vurgulayın.

6. Sol alt alan için seçilen pinleri taşımak için aktif aşağı ok düğmesini tıklayın.

Not Eğer yanlış bir parçayı taşırsanız, onu vurgulayın ve Pins listesine geri dönmek için yukarı ok düğmesine tıklayın. 7. Bus kutusunda Name açılır listesinden bağlamak istediğiniz busu seçin.

Page 96: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

88

8. Nets in Bus alanında seçin:

Mevcut ağlar – örneğin, yukarıdaki “1” veya “2” ve aşağı sağ alana onları taşımak için aşağı açılır düğmeye tıklayın.

<new> – seçilmiş bileşen pinlerine yeni ağlar birleştirmek için. Her zaman <new> vurgulandığında açılır aşağı ok düğmesine tıklayın, alt sağ alanda <new> örneği görünür.

Not Pinleri eşleştirmede yeni ağları otomatik olarak atamak için Auto-Assign tıklayabilirsiniz. 9. OK tıklayın. Busa bağlantılar aşağıdaki örnekteki gibi yapılmaktadır.

Mevcut ağlar

Bağlantılar Bus vektör bağlantısı kullanılarak yapılır.

4.5. Değişkenler

Bir değişken bir devrenin belirli bir sürümüdür. PCB’ler genel ölçekte dağıtım için üretilmektedir, bazı tasarımlar hedef pazarlara bağlı olarak değişiklik yapmakta gerekebilir. Örneğin, güç kaynağı gereksinimleri Avrupa pazarı için Kuzey Amerika pazarından farklıdır. Güç kaynağı gereksinimlerindeki değişiklikler bir tasarımda farklı bileşenlerin kullanımı için aranabilir. Tasarımcılar Kuzey Amerika ve Avrupa sürümleri için gereksinimlerini karşılamak için tek PCB üretmek istemektedirler. Bordun kendisi tasarımın her iki değişiklikleri için tracelerin yanı sıra alan desenleri / ayak izleri içermelidir. PCB sonra cihazın hedef pazara göre bileşenleri ile doldurulur.

4.5.1. Değişiklikleri Ayarlama

Değişiklikler Variant Manager iletişim kutusunda tanımlanmaktadır. Aşağıdaki örnekteki değişiklikler bir tasarımın Kuzey Amerika (NA) ve Avrupa (EU) sürümleri için girilmelidir. Devre değişikliklerini tanımlamak için: 1. Multisim’de bir devreyi açın. Bu örnekte devre ismi “Variant Test”tir. 2. Tools / Variant Manager seçin. Variant Manager iletişim kutusu görüntülenir. 3. Sol bölmede görüntülenen hiyerarşideki devreyi vurgulayın. “Default1” ilk değişik biçim için varsayılan

isimdir. 4. Sağ bölmede “Default1”i vurgulayın. Rename Variant düğmesi aktif olur. Not Her devre atanan en az bir değişken olması gerektiğinde Remove Variant düğmesini devre dışı kalır. Bir devreye iki veya daha fazla değişiklik atandığında bu düğme aktif olur.

Page 97: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

89

Varsayılan değişiklik

5. Rename Variant tıklayın. Rename Variant iletişim kutusu görüntülenir. 6. Değişiklik için yeni bir isim girin (bu örnekte “NA”) ve OK tıklayın. Değişikliğin adı Variant Manager’in

sağ bölmesinde girilen isim değişir. Avrupa’nın değişik ismi şimdi girilmelidir. 7. Variant Manager iletişim kutusunda Add Variant üzerine tıklayın. Add Variant Name iletişim kutusu

görüntülenir. 8. Yeni değişiklik için isim girin (bu örnekte “EU”) ve OK tıklayın. Variant Manager iletişim kutusu şimdi

aşağıdaki gibi görüntülenir. 9. Çalışma alanına geri dönmek için Close tıklayın.

Avrupa değişikliği Kuzey Amerika Değişikliği

Devrenizden bir değişikliği silmek için: 1. Variant Manager iletişim kutusunu görüntülemek için Tools / Variant Manager seçin. 2. Sol bölümde istediğiniz devreyi seçin ve sağ bölmede silmek istediğiniz değişikliği seçin. 3. Remove Variant tıklayın. Vurgulanmış değişiklik kaldırılır. 4. Çalışma alanına geri dönmek için Close tıklayın. Devrenizdeki değişikliği yeniden adlandırmak için: 1. Variant Manager iletişim kutusunu görüntülemek için Tools / Variant Manager seçin. 2. Sol bölümde istediğiniz devreyi seçin ve sağ bölmede yeniden adlandırmak istediğiniz değişikliği

seçin. 3. Rename Variant tıklayın. Rename Variant iletişim kutusu görüntülenir. 4. Yeni değişiklik ismini girin ve Variant Manager iletişim kutusuna geri dönmek için OK tıklayın. 5. Çalışma alanına geri dönmek için Close tıklayın. Değişiklikte olmayan bileşeni kaldırmak için:

1. Variant Manager iletişim kutusunda Remove Components üzerine tıklayın. Components for Delete iletişim kutusu görüntülenir.

2. Çalışma alanından bileşeni kaldırmak için OK tıklayın.

Page 98: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

90

Bir bileşeni kaldırmak istemiyorsanız onun onay kutusu seçimini kaldırın.

4.5.2. Değişikliklerde Parçalar Yerleştirme

Bu bölüm Kuzey Amerika (NA) ve Avrupa (EU) pazarları için değişiklikleri ayarlamada kullanılan değişikliğin örneğine devam eder. Değişiklik içeren bir devrede bir parçayı yerleştirmek için: 1. Her zamanki gibi parçaları yerleştirin.

Örnekteki parçalar kablolandıktan sonra devre aşağıdaki gibi görünür. Bu noktada her bir bileşenin devrenin NA ve EU değişikliklerini içerdiğine dikkat edin.

NA ve EU değişikliklerinde görünen bu bileşeni işaret eder.

Not Değişkende (NA, EU) gibi bileşenler için değişken durumunu görüntülemek için Sheet Properties iletişim kutusunun Circuit sekmesinde Variant Data onay kutusunu etkinleştirmelisiniz. 2. Devreye 220V, 50Hz bir güç kaynağı ekleyin. (Bu EU değişkeni içindir).

3. Devreye 220V lamba ekleyin. (Ayrıca EU değişkeni için).

4. Aşağıda açıklandığı gibi her bileşen için (NA veya EU durumunda) değişiklik durumunu atayın.

Page 99: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

91

4.5.2.1. Bileşenlere Değişken Durumu Atama Bu bölüm değişiklik ayarlamada kullanılan Kuzey Amerika (NA) ve Avrupa (EU) pazarları için değişikliklerin örneğine devam eder. Bu değişiklikler ayarlandıktan sonra değişkene ait bileşeni ayarlamalısınız. Değişikliklere bileşenleri atamak için: 1. Aşağıdaki örnekteki gibi istediğiniz devreyi açın.

2. Bileşenin özellikleri iletişim kutusunu görüntülemek için bileşen (örneğin V2) üzerine çift tıklayın ve

variant sekmesi üzerine tıklayın.

Devrenin EU ve Na değişikliklerindeki seçilmiş bileşenleri içermekte olanları işaret eder.

EU (Avrupa) değişikliklerinde onu içermesini istediğimiz için bu 220V, 50Hz güç kaynağıdır, ama NA (Kuzey Amerika) değişikliği o dışarıda bırakılır.

3. Variant Name sütunundaki NA içeren hattı vurgulayın ve sonra Status sütunundan excluded seçin.

4. Bileşenin özelikleri iletişim kutusunu kapamak için OK tıklayın.

Page 100: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

92

Aktif değişken olmadığını işaret eden bileşen soluktur.

Yalnızca EU değişikliğindeki bileşeni işaret eder.

5. Bu örneğe devamla V1 üzerine çift tıklayın ve Variant sekmesini seçin.

NA (Kuzey Amerika) değişikliğinde onu içermesini istediğimiz için güç kaynağı 120V, 60Hz’dir, ama EU (Avrupa) değişikliğinden o hariç bırakılır.

6. Variant Name sütunundaki “EU” içeren hattı vurgulayın ve sonra Status sütunundan Excluded seçin. 7. Bileşenin özellikleri iletişim kutusunu kapatmak için OK tıklayın.

Aktif değişken olmadığını işaret eden bileşen soluk değildir.

Yalnızca NA değişikliğindeki bileşeni işaret eder.

8. X1 ve X2 lambaları için değişiklik durumunu önceki adımlarda açıklandığı gibi Variant sekmesini

kullanarak ayarlayın. Kuzey Amerika değişkeni olduğu için X1 120V değerindedir ve Avrupa değişkeni olduğu için X2 220V değerindedir.

Yaptığınızda devre aşağıdaki gibi görüntülenecektir.

Bir devrenin gelecek değişkenlerinden bir bileşeni hariç tutmak için: 1. Bileşen özellikleri iletişim kutusunu görüntülemek için istediğiniz bileşenin üzerine çift tıklayın ve

Variant sekmesi üzerine tıklayın. 2. For New Variants açılır listesinden Excluded seçilmiştir. Bu bileşen gelecekte oluşturabileceğiniz bu

devrenin değişikliklerinde mevcut olmayacaktır. Not Eğer Excluded durumunu ayarladıktan sonra yeni bir değişiklik oluşturursanız ve sonra veritabanından tanımlı bir bileşen yerleştirirseniz, yeni bileşen için varsayılan ayarlarda olduğu gibi o hala mevcut olacaktır. İpucu Eğer bir devreden bir değişiklik bileşenini kopyalarsanız ve diğer değişikliklerle bir devre içine onu yapıştırırsanız yapıştırılmış bileşen kopyalanmış devreden değişiklikleri içerecektir. Bu durumda birleştirmek isteyebilirsiniz (ki USA ve Kuzey Amerika) değişiklikleri olan devre ile sonlandırabilirsiniz.

Page 101: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

93

Variant Manager iletişim kutusundaki yeniden Rename düğmesini kullanarak bunu yapabilirsiniz. Diğer eşleşme için onları bir yeniden adlandırın, örneğin yeniden adlandırmak, Kuzey Amerika, ABD ve iki değişiklikler bir değişiklik olarak adlandırılan Kuzey Amerika olarak birleştirilecektir. 4.5.2.2. İç İçe Geçmiş Devrelere Değişiklik Durumu Atama İç içe geçmiş bir devre için (HB veya SC) aktif değişiklik devrenin aktif değişikliğine eşleştirilen değişikliktir. Ayrıntılar için aşağıya bakın. Bir altdevreye veya hiyerarşik bloğa değişiklik durumu atamak için: 1. İstediğiniz değişiklik üzerine sağ tıklayın ve açılır menüden Include in Active Variant seçin.

Aktif değişklik Aktif değişikliğe dahil

Not HB/SC özellikleri iletişim kutusunun Variant sekmesinde değişiklikdurumunu atamak isteyebilirsiniz. 4.5.2.3. Simülasyon İçin Aktif Değişikliği Ayarlama Bu bölümde değişiklikleri ayarlamada kullanılan Kuzey Amerika (NA) ve Avrupa (EU) pazarları için değişikliklerin örneğine devam eder. Yalnızca tasarımın bir değişikliği aynı zamanda simüle edilebilir. Sonuç olarak aşağıda açıklandığı gibi elde edilebilir devreden simülasyon için değişikliği seçebilirsiniz. Tasarım Araç Kutusundan Aktif Değişikliği Ayarlama Design Toolbox’dan aktif değişikliği ayarlamak için: 1. Design Toolbox’taki Hierarchical sekmesini tıklayın.

“Variant Test” için hiyerarşi ağacı)

2. Klasörü açmak için Variants klasörününü yanındaki “+” ya tıklayın.

Mavi kutu aktif değişiklik NA’yı işaret eder. Beyaz kutu aktif olmayan değişken EU’yu işaret eder.

Page 102: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

94

NA aktif değişken olarak ayarlandığında devre aşağıdaki gibi görünür.

Yukarıdaki devre simüle edildiğinde yalnızca aktif bileşenleri içerir. Aktif olmayan bileşenler soluktur ve simülasyonu olmayacaktır.

3. EU değişikliğin üzerine sağ tıklayın ve görünen açılır menüden Set Variant Active seçin.

Seçin… … aktif değişiklik EU olur.

EU aktif değişiklik olduğunda devre aşağıdaki gibi görünür.

Yukarıdaki devre simüle edildiğinde yalnızca aktif bileşenleri içerir. Aktif olmayan bileşenler soluktur ve simülasyonu olmayacaktır. Menüden Aktif Değişikliği Ayarlama Menüden aktif değişikliği ayarlamak için: 1. Tools / Set Active Variant seçin. Active Variant iletişim kutusu görüntülenir. 2. Aktif yapmak istediğiniz değişikliği vurgulayın ve OK tıklayın.

4.6. Proje Yöneticisi ve Sürüm Kontrol

Bir tasarım ile ilgili çeşitli dosyaları yönetmenize yardımcı olmak için, Multisim Projeler kullanabilir. Bir proje dosyaların bir topluluğudur. Örneğin, bir tasarım oluşturan bütün devre dosyaları hem dış tasarım belgeleri gibi (diyelim ki Microsoft Word’de yazılmış), simülasyon çıkışı, raporlar ve Ultiboard ile oluşturulmuş PCB ortamı bir projede birlikte gruplandırılıyor olabilir. Aslında, herhangi bir dosya, bir proje altında toplanabilir.

Page 103: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

95

Olanaklar bir bütün olarak bir proje dosyaları yönetmek için verilmiştir: onların sürümleri, onların yedekleri, taşımaları ve aynı zamanda iki tasarımcı aynı dosya üzerinde yanlışlıkla çalışmaması için kilitli dosyalar. Not Bir proje bir hiyerarşik blok referans olan üst seviye devre içerse bile, aynı projede hiyerarşik blok durumu için gerekli değildir. Bu tamamen size kalmış bir seçimdir. Eğer örneğin çoğu farklı projelerden hiyerarşik bloğa erişilirse onu referans alan devre ile hiyerarşik bloğun sürüm ve geri yükleme istenmeyebilir.

4.6.1. Projeleri Ayarlama

Devre dosyaları ile bir proje oluşturmak için: 1. File / New Project seçin. New Project iletişim kutusu görüntülenir. 2. Projeniz için bir isim proje klasöründe saklanacak olan ve proje dosyalarının yedekleneceği yer olan

klasörü belirleyin. Eğer klasörler mevcut değilse, onlar oluşturulacaktır. İstediğiniz konum için göz ata konum dosyaları için ileri düğmesine tıklayın.

3. Projenizi kaydetmek için OK, İptal etmek için Cancel tıklayın. Design Toolbox’ta Project View sekmesi görüntülenir.

Projeye devre dosyalarını eklemek için: 1. Proje göz atıcıdaki Schematic klasörü üzerinde sağ tıklayın. Görüntülenen açılır menüden Add File

seçin. 2. Bir standart dosya seçici penceresi görüntülenir. Projenizde içermesini istediğiniz devre dosyasının

konumuna gidin, onu seçin ve Open tıklayın. Dosya projenize eklenir ve proje gözatıcısinda ismi görüntülenir.

3. Tam dosya yolunu görüntülemek için dosya adı üzerine imleci getirin. 4. Aşağıdaki klasörler Project View sekmesindeki proje klasörü altında görüntülenir:

Schematic klasörü – devreler Multisim’de şematik olarak çizilir. Bir projede birden çok devre dosyası olabilir ve bir devre dosyası bir projden daha fazlasının parçası olabilir.

PCB klasörü – devreler için Ultiboard’da ortaya konur; mantıksal olarak projenin şematiği ile eşleşir.

Documents klasörü – projeniz için toplamak istediğiniz belgeler için. Örneğin, MS Word projenin açıklaması, Excel hesap tablosu maliyetleri gibi.

Reports klasörü – Multisim tarafından oluşturulan raporlar için, örneğin, materyallerin faturası, ağ listesi raporu gibi.

Bir projeye PCB, belge veya rapor dosyası eklemek için, dosyanın istediğiniz türü için klasör üzerine sağ tıklayın, örneğin PCB ve Add File seçin. Görüntülenen dosya göz atıcısında istediğiniz dosyaya gelin ve OK tıklayın. Bir projeden bir dosyayı kaldırmak için, dosya üzerine sağ tıklayın ve Remove seçin. Bir projeyi saklamak için File / Save Project seçin. Bir projeyi kapatmak için, File / Close Project seçin. Kapalı projelere File / Recent Projects seçilerek ve görünen listeden seçerek kolaylıkla ulaşılabilir.

4.6.2. Projelerle Çalışma

Bir proje içinde bir dosyayı açmak için: 1. Design Toolbox’un Project View sekmesindeki devre dosyası ismi üzerinde sağ tıklayın. 2. Görüntülenen açılır menüden ya Open a File for Editing veya Open as Read-Only seçin. Eğer dosya

başka bir kullanıcı tarafından açılmışsa Open a File for Editing komutu kullanılamaz. Eğer dosya sadece okunur olarak açılmışsa, bu dosyada değişikliklerinizi saklayamayacaksınız. Veya

1. Proje göz atıcısındaki bir devre dosyası üzerinde çift tıklayın. Eğer dosya başka bir kullanıcı tarafından kullanılmıyorsa açılacaktır. Eğer kullanılıyorsa sadece okunur olarak açmak istenir.

Not Diğer kullanıcı tarafından kullanımdaki dosyalar proje göz atıcıda kullanımda olmayan dosyalardan farklı renkte görüntülenmektedir. Bir projeyi açmak için: 1. File / Open Project seçin. Standart Windows dosya göz atıcısı görüntülenecektir. 2. Eğer gerekliyse doğru klasöre gidin ve proje dosyasını açın.

Veya 1. File / Recent Projects seçin ve görüntülenen listeden projeyi seçin.

Page 104: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

96

2. Proje açıldığında, proje tarayıcı bu proje içindeki tüm dosyaların bir listesini gösterir.

4.6.3. Projelerdeki Bulunan Dosyalar ile Çalışmak

Bir projedeki herhangi bir dosya hakkında özet bilgi görebilirsiniz, kilitleyebilirsiniz, kilidi açabilirsiniz. Bir dosyayı herkesin dosyayı açmasını engellemek kilitlemek için, proje göz atıcısında dosya ismi üzerine sağ tıklayın ve görüntülenen açılır menüden Lock File seçin. Kilitli bir dosyayı bir başkası tarafından serbestçe kullanıma açmak için, proje göz atıcısında dosya ismi üzerine sağ tıklayın ve görüntülenen açılır menüden dosya Unlock File seçin. Bir projedeki bir dosya üzerinde bilgiyi görmek için, proje göz atıcısında dosya ismi üzerine sağ tıklayın ve görüntülenen açılır menüden Properties seçin. File Properties iletişim kutusu görüntülenir.

4.6.4. Sürüm Kontrol

Herhangi bir zamanda, bir proje klasörünün içeriğini yedekleyebilirsiniz. Daha sonra, o gün ve saat olarak klasörü geri yükleyebilirsiniz. Bir proje klasörünü geri yüklemek için: 1. File / Version Control seçin. Version Control iletişim kutusu görüntülenir. 2. Back up Current Version seçin. 3. Yedekleme için sistem sistem tarihini temel alan bir isim oluşturur. Eğer isterseniz alanda yeni bir isim

yazarak bunu değiştirebilirsiniz. 4. OK tıklayın. Proje dosyası yedeklenir. Yedeklenmiş bir proje klasörü geri yüklemek için: Not Yedeklenmiş bir proje klasörü geri yükleme geçerli klasörü yeniden yerleştirir. Geçerli klasörde yedeklenmiş sürümü tutmak istiyorsanız, devam etmeden önce yeni bir adla veya yeni bir konuma klasöre kaydedin. 1. Proje ile bağlantılı bütün devreleri kapatın. 2. File / Version Control seçin. Version Control iletişim kutusu görüntülenir. 3. Restore Project'yi seçin. Yedeklenen proje klasörlerin bir listesi görüntülenir. 4. İstediğiniz dosyayı seçin ve OK tıklayın. 5. Yedeklenmiş sürüm ile mevcut proje klasör içeriğini üzerinden yazmak istediğinizi onaylamanız istenir.

Page 105: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

97

Bölüm 5

5. BİLEŞENLER

Bu bölümde Multisim bileşen veritabanının temel yapısı ve organizasyonu tanıtılmaktadır. Bu bölüm ayrıca parçaların veritabanına erişiminin nasıl yapıldığını ve bilgilerin veritabanında nasıl araştırıldığını açıklar.

5.1. Bileşen Veritabanının Yapısı

Multisim bileşen veritabanı herhangi bir bileşeni açıklamada gerekli bilgiyi tutmak için tasarlanmıştır. Şematik yakalama (semboller), simülasyon (modeller) ve PCB düzeni (bacak bağlantıları) yanında diğer elektriksel bilgiler için gerekli her şeyi içerir. Multisim tarafından sağlanan veri tabanının üç aşaması vardır. Master Database yalnız okunabilir ve Electronics Workbench tarafından desteklenen bileşenleri içerir. User Database tek bir kullanıcı için özeldir. Paylaşmak için uygun olmayan, bir kişi tarafından yapılmış bileşenler için kullanılır. Corporate Database bir organizasyon arasında paylaşımı amaçlanan özel bileşenleri depolamak için kullanılır. Çeşitli veritabanı yönetim araçları, veritabanlarını birleştirme, bileşenleri veritabanları arasında taşıma ve bunları düzenleme için kullanılır. Bütün veritabanları gruplara ve sonra bu gruplar içinde ailelere bölünür. Bir tasarımcı veritabanından bir bileşen seçtiğinde ve onu devreye bıraktığında devre üzerine yerleştirilen bileşenin bir kopyasıdır. Herhangi bir devre bileşeni için yapılan düzenlemeler, kopyalamak ya da daha önce devre üzerine yerleştirilen benzer bileşenler özgün veritabanını etkilemez. Aynı şekilde, bir kopyası bırakıldıktan sonra veritabanındaki bileşen için yapılan düzenlemeler sonra önceki yerleştirilen bileşenleri etkilemez, ancak sonradan yerleştirilenleri etkileyecektir. Bir devre kaydedildiğinde bileşen bilgisi onunla saklanır. Yük üzerinde, User veya Corporate içerisine yerleştirmek için kopyalar yapmak veya veritabanından en son değerler ile benzer isimli bileşenleri güncelleştirmek gibi yüklenmiş parçaları tutmak için kullanıcı seçeneği vardır.

5.1.1. Veritabanı Seviyeleri

Bileşenler üç farklı veritabanı seviyelerinde depolanır:

Master Database bilgi bütünlüğünü sağlamak için Multisim ile orijinal olarak birlikte gelen bileşenler depolanır, bunlar düzenlenemez.

Corporate Database seçilmiş ve muhtemelen değiştirilmiş, bireysel veya şirket / kurum tarafından oluşturulmuş bileşenleri depolar; bu bir diğer seçilmiş kullanıcılar için kullanılabilir durumda kalır.

User Database sizin tarafınızdan düzenlenmiş, dâhil edilmiş veya oluşturulmuş bileşenleri depolar; bu yalnızca sizin için elde edilebilir.

User Database ve Corporate Database Multisim’i ilk kullandığınızda boştur. User Database sık kullanılan bileşenleri veya bileşen düzenleme (aşağıdaki bölümde açıklanmıştır) kullanarak oluşturduğunuz bileşenleri saklamak için kullanabilirsiniz. Corporate Database, öncelikle, bir grup ya da proje içinde belirli özelliklere sahip bileşenleri ortak projeler üzerinde çalışan şirketler / kurumlar (veya bireyler) için tasarlanmıştır. Eğer bir bileşeni değiştirirseniz, böylece kendi sürümünüzü oluşturur, User Database veya Corporate Database içinde onu saklamanız gerekir. Master Database’i değiştiremezsiniz. Kullanılabilir bütün veritabanlarının bileşenlerini içeren bir devre yapabilirsiniz.

5.1.2. Veritabanındaki Bileşenlerin Sınıflandırılması

Multisim, bileşenleri mantıksal gruplar halinde böler. Her grup, ilişkili bileşenlerin ailelerini içerir. Bu gruplar aşağıda listelenmiştir:

Sources

Basic

Diodes

Transistors

Analog

TTL

CMOS

MCU Module

Misc Digital

Mixed

Indicators

Power

Page 106: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

98

Misc

RF

Electro-mechanical

Ladder Diagrams

5.2. Veritabanında Bileşenleri Konumlandırma

Daha yaygın bir yöntem olan mevcut veriler arasından seçerek veya belirli ölçütlere uygun olan bileşenleri arayarak belirli bir veritabanı içinden belirli bir aile içinde bileşenleri bulabilirsiniz. Bu işlevler bu bölümde açıklanmaktadır.

5.2.1. Bileşenler İçin Tarama

Bir bileşeni yerleştirdiğinizde, görünen tarayıcı iletişim kutusu Multisim veritabanında herhangi bir yerdeki bileşen için tarama yapmanızı sağlar.

5.2.2. Bileşenler İçin Arama

Eğer ihtiyacınız olan bileşenin türü hakkında bazı bilgiler biliyorsanız, Multisim hızlı bir şekilde bileşenleri bulmanıza yardımcı olmak için güçlü bir arama motoru ile birlikte gelir. Multisim ölçütlerinize uyan bileşenler için veritabanında onu arar ve size sunar, adayların listesinden uygulamanızın gereklerine en uygun olan bileşeni seçmenizi sağlar. Veritabanında standart bir arama yapmak için: 1. Select a Component’in tarayıcısını görüntülemek için Place / Component’i seçin. 2. Search’ü tıklayın. Search Component ‘in iletişim kutusu görüntülenir. 3. Ek arama seçeneklerini görüntülemek için tercihen Advanced’ı tıklayın. 4. Uygun alanlarda arama ölçütlerinizi girin (en az bir öğe girmelisiniz). Alfanümerik karakterler, metin

veya numaralar girin. Durum kabul edilmemektedir ve kısmen karakter dizileri üzerinde aramak için “*” jokeri kullanabilirsiniz. Örneğin, Footprint Type alanında:

“CASE646-06” yalnızca tam metin “CASE646-06”yı bulur.

“*06”, “06” ile sonlanan metni bulur.

“CASE*”, “CASE” ile başlayan herhangi metni bulur.

Bir “?” metinde herhangi bir yerdeki tam olarak bir karakteri karşılayacaktır. Örneğin, “CAS?” “CASE” karşılık gelecektir, ama “CASE646-06” ya değil.

5. Search’ü tıklayın. İpucu Eşleşen bileşenlerin daha küçük sayısı daha çok arama ölçütlerinizi belirler. Arama tamamlandığında, ölçütlerinize uyan ilk bileşen hakkında bilgileri görüntüleyen Refine Search Component Result iletişim kutusu görünür. Component listesi, ölçütlerinize uyan bütün bileşenlerin bir listesini içerir. Örneğin, yukarıdaki örnekteki arama kullanılarak buna benzer sonuçlar görünür:

Arama ölçütüne uyan bileşenlerin sayısı

Arama kriterine uyan bileşenlerin listesi

Listeden seçilmiş bileşenin ayrıntıları

Page 107: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

99

Component listesinden istediğiniz bileşeni seçin. Arama tarafından bulunan herhangi bir bileşen hakkında bilgiyi görüntülemek için, listeden onu basitçe seçin ve görüntüleme alanlarını değiştirin.

6. Seçilmiş bileşeni yerleştirmek için, OK‘u tıklayın. OK’u tıklayarak bileşeni yerleştirebilirsiniz. Select a

Component iletişim kutusuna geri dönün. Aramanıza incelik kazandırmak için: 1. Refine Search’ü tıklayın. Refine Search Component iletişim kutusu görünür. Not Bileşen yerleştirilene kadar Refine Search Component iletişiminde orijinal arama parametreleri kalır. 2. İstenilen parametreleri girin ve Search‘ü tıklayın.

5.3. Bileşenler İçin Bilgi Depolamanın Türleri

Multisim veritabanları ön tanımlı alanlar (bu, Multisim’de önceden doldurulmuş olan alanlardır) ve kullanıcı alanlarında (bir bileşen hakkında kaydetmek istediğiniz bilgileri elde tutmak için kullanabileceğiniz alanlardır) bileşenler hakkında bilgileri depolar. Multisim, ayrıca bileşenler, onların modelleri ve paketleri hakkında bilgilerin ayrıntılı raporlarını sağlar.

5.3.1. Ön Tanımlı Alanlar

Aşağıdaki ön tanımlı alanlar Select a Component tarayıcısında görünür:

Alan Açıklama Örnek

Database Bileşenin depolandığı Multisim veritabanının ismi User

Group Bileşenin yeri olan grubun ismi TTL

Family Bileşenin yeri olan ailenin ismi 74S

Component Bireysel bileşenin ismi 74S00D

Symbol Şema çizimi süresince bileşeni ifade etmek için kullanılan simgedir (ya ANSI veya DIN).

Function Bileşen açıklamaları. Direnç, bobin ve kondansatör için elde edilemez.

QUAD 2-INPUT NAND

Component type Yalnızca direnç, bobin veya kondansatörün yapısını açıklar. Bileşen seçimine bağlı değişen içeriklerdir.

Karbon film (bir direnç için)

Tolerance Bileşen listesinde seçilmiş direnç, bobin veya kondansatör için yüzde tolerans değerini verir.

0,5

Model Manuf./ID Bileşen üreticisi ve bileşenin ID’si olan şirketin ismidir. Texas Instruments / 74S00

Footprint Manuf. / Type

Bileşen (yalnızca gerçek bileşen) için ayakizi ve paket türüdür. (Ultiboard’da veya diğer PCB üretim satıcılarında kullanılan)

DO14

Hyperlink İstenilen bir belgeye bir link’i gösterir. www.analog.com

5.3.2. Kullanıcı Alanları

Ek olarak Multisim’e yollamadan önce bilgi ile doldurulmuş ve ön tanımlı olan verilerin alanlarına ek olarak, ayrıca bileşenler hakkında depolanacak olan verinin kendi alanlarını oluşturabilirsiniz.

5.4. Veritabanı Yönetimi

Multisim’in veritabanı Database Manager iletişim kutusundan yönetilmektedir, izin verdiğiniz:

User Database veya Corporate Database’den bileşen ailelerini kaldırma veya ekleme. Master Database’deki aileleri kaldıramaz veya ekleyemezsiniz.

Herhangi bir veritabanı için kullanıcı alan başlıklarını ayarlama veya düzenleme.

User Database veya Corporate Database’deki bileşen aileleri için bileşen araç çubuğu düğme simgelerini ekleme veya değiştirme.

Database Manager iletişim kutusunu çağırmak için: 1. Main araç çubuğu üzerindeki Database Manager düğmesini tıklayın veya Tools/ Database/ Database

Manager’i seçin.

Page 108: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

100

5.4.1. Görüntülenmiş Bileşenlerin Filtrelenmesi

Database Manager iletişim kutusunun Components sekmesinde görüntülenmiş olan bileşenleri filtrelemek için:

1. Database Name açılır listesinden istediğiniz veritabanını seçin. 2. Filters iletişim kutusunu görüntülemek için Filter’i tıklayın. 3. Aşağıda açıklandığı gibi seçimleri yapın:

Family listesi – İstediğiniz aileyi seçin. Listedeki çoklu parçaları seçmek için farenin sol düğmesi ile CTRL ve SHIFT düğmelerini kullanın.

Component alanı – Bileşen isminin türü. Ayrıca wildcard’ı kullanabilirsiniz.

Show User Data Columns listesi – görüntülemek için User alanlarını seçin.

Select All – Bütün User alanlarını görüntülemek için tıklayın.

Clear All – Bütün onay kutularını temizlemek için tıklayın. 4. OK’a tıklayın. Filters iletişim kutusu kapanır ve Database Manager iletişim kutusunun Components

sekmesinde seçimleriniz yansıtılır.

5.4.2. Bileşenleri Silme

Corporate veya User veritabanından mevcut bir bileşeni silmek için: 1. Main araç çubuğu üzerindeki Database Manager düğmesini tıklayın veya Tools/ Database / Database

Manager’ı seçin.

2. Components sekmesini seçin. 3. Database Name açılır listesinden silmek istediğiniz (yalnız Corporate veya User Database’den)

bileşeni içeren veritabanını seçin. Not Master Database’den bir bileşeni silemezsiniz. 4. Silmek istediğiniz bileşeni seçin. Listede çoklu parçaları seçmek için farenin sol düğmesi ile SHIFT ve

CTRL düğmelerini kullanabilirsiniz. 5. Delete’ye tıklayın. Eylemi gerçekleştirmek için yönlendirin. 6. Yes’e tıklayın. Bileşenler veritabanından kaldırılır.

5.4.3. Bileşenleri Kopyalama

Corporate Database veya User Database’ye mevcut bir bileşeni kopyalamak için: 1. Main araç çubuğu üzerinde Database düğmesini tıklayın veya Tools / Databse / Database Manager’i

seçin.

2. Database Manager iletişim kutusundaki Components sekmesini seçin. 3. Database Name açılır listesinden kopyalamak istediğiniz bileşeni içeren veritabanını seçin. 4. Kopyalamak istediğiniz bileşeni seçin. Listeden çoklu parçaları seçmek için farenin sol düğmesi ile

SHIFT ve CTRL düğmelerini kullanabilirsiniz. 5. Copy’e tıklayın. Select Destination Family Name iletişim kutusu görüntülenir. 6. Family Tree’de, bileşeni kopyalamak istediğiniz veritabanı, grup ve aileye gidin ve OK’a tıklayın.

Database Manager iletişim kutusundaki Components sekmesine geri dönersiniz. Not Eğer seçilen grupta bir bileşen ailesi yoksa Add Family düğmesi üzerine tıklayarak bir tane oluşturabilirsiniz. 7. Bileşen kopyalamayı tamamladığınızda Close’u tıklayın.

5.4.4. Yerleştirilmiş Bileşenleri Saklama

Yerleştirilmiş bir bileşende değişiklik yapmak istiyorsanız (örneğin, ayakizini değiştirme) User Database veya Corporate Database’ye yerleştirilmiş bileşeni saklayabilirsiniz. Veritabanına yerleştirilmiş bir bileşeni saklamak için: 1. Çalışma alanı üzerinde bileşeni seçin ve Tools / Database / Save Component to DB’yi seçin. Select

Destination Family Name iletişim kutusu görüntülenir. 2. User Database veya Corporate Database’de istenilen bileşen grubu ve aileye gidin. Eğer gerekliyse,

istediğiniz grupta bir aile oluşturmak için Add Family’i tıklayın.

Page 109: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

101

3. OK’a tıklayın. Bileşen hakkında bilgi ile Save Components to the Database iletişim kutusu görünür. 4. OK’a tıklayın.

5.4.5. Veritabanları Arasında Bileşenleri Taşıma

Corporate Database’den User Database’ye veya tersine bileşenleri taşımak için:

1. Tools / Database / Database Manager seçin. 2. Database Manager iletişim kutusunda Components sekmesini seçin. 3. Taşımak istenilen bileşeni seçin. Listeden daha çok parça seçmek için farenin sol düğmesi ile SHIFT

ve CTRL düğmelerini kullanabilirsiniz. 4. Move tıklayın. Select Destination Family Name iletişim kutusu görünür. 5. User Database veya Corporate Database’de istenilen grup ve aileye gidin. Eğer gerekliyse, istenilen

grupta bir aile oluşturmak için Add Family’i tıklayın. 6. Bileşeni taşımak için OK ‘atıklayın.

5.4.6. Aileleri Yönetme

User Database veya Corporate Database veritabanına bir bileşen ailesi eklemek için: 1. Database Manager iletişim kutusundaki Family sekmesini seçin. 2. Database Family Tree alanında Corporate veya User Database’i seçin. 3. Add Family ‘i tıklayın. New Family Name iletişim kutusu görünür. 4. Select Family Group açılır listesinden istenilen grubu seçin, Enter Family Name alanında yeni aile için

istediğiniz ismi yazın ve OK’a tıklayın. Database Manager iletişim kutusuna dönersiniz. 5. Yeni aileye bir varsayılan simge otomatik olarak atanmaktadır. Onu değiştirmek için aşağıdaki süreci

izleyin. 6. Yeni oluşturulmuş aile ilişkili bileşen grubunda görünür. Bir bileşen araç çubuğu düğmesi yüklemek için: 1. İstediğiniz bileşen ailesini seçip, Load’a tıklayın. İstenen araç çubuğu düğme dosyasına gitmeye

yönlendirilirsiniz. 2. İstenilen araç çubuğu düğme dosyasını seçin ve Open’a tıklayın. 3. Yeni araç çubuğu düğmesi Database Manager iletişim kutusunda Family alanında ve ailenin eklendiği

grubun altında görüntülenecektir. Varsayılan aile ismi düğmesini düzenlemek için: 1. İstenilen bileşen ailesini seçip Edit’i tıklayın. 2. Paint programınız başlar ve düğmenin Bitmap dosyası açılır. 3. Gereksinimlerinize göre Bitmap dosyasını düzenleyin ve sonra kaydedin ve paint programını kapatın. 4. Değiştirilmiş düğme aile ismi düğmesi olarak görüntülenecektir. 5. Database Manager’in Family alanındaki ANSI veya DIN seçiciyi seçerek ANSI ve DIN düğmelerinin

ikisini de düzenleyebilirsiniz. User Database veya Corporate Database’den bir bileşen ailesini silmek için: 1. Silmek istediğiniz bileşen ailesine gidin. 2. Delete Family’i tıklayın. Silmeyi doğrulamaya yöneltilirsiniz. 3. Bileşen ailesi otomatik olarak kaldırılacaktır. User Database veya Corporate Database’den boş aileleri silmek için: 1. Delete Empty Families’i tıklayın. Bütün boş aile klasörlerini silmek için komutunuzu doğrulamaya

yöneltilirsiniz. 2. Başlamak için Yes’i tıklayın. Bütün boş aile klasörleri seçilmiş veritabanı için Family listesinden

silinecektir.

5.4.7. Kullanıcı Alan Başlıkları ve İçeriğini Değiştirme

Bileşen hakkında belirli kullanıcı bilgilerini sağlamak için düzenleyebileceğiniz 20 kullanıcı alanı vardır. Değiştirilen kullanıcı alan başlıkları bütün veri tabanları için başlıkları değiştirir, yalnız seçilmişleri değil. Kullanıcı alan başlıklarını değiştirmek için: 1. Database Manager’deki User Field Titles sekmesini tıklayın. 2. Title alanında istediğiniz ismi girin. 3. Save’e tıklayın.

Page 110: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

102

Not Hyperlink kullanıcı alanının başlığı değiştirilmez veya link’ler tıklandığında işlevsiz olabilir. Veritabanındaki R, L veya C olmayan bileşenlerin çoğunluğu için kullanıcı alanlarının içeriği girilir ve Database Manager’in Components sekmesinden düzenlenir. RLC olmayan bileşenler için kullanıcı alan içeriğini değiştirmek için: 1. Database Manager’deki Components sekmesini tıklayın. 2. İstenilen Database Name ve bileşeni seçin. 3. Seçilmiş bileşenler için istenilen kullanıcı alanlarını sağa kaydırın. 4. Kullanıcı alanında istenilen bilgiyi girin. 5.4.7.1. RLC Bileşenleri İçin Kullanıcı Alan İçeriğini Değiştirme RLC bileşenleri için kullanıcı alan içeriklerini değiştirmek için: 1. Database Manager’deki RLC bileşenleri sekmesini tıklayın. 2. İstenilen bileşeni seçin. 3. Seçilmiş bileşen için istenilen kullanıcı alanını sağa kaydırın. 4. Kullanıcı alanında istenilen bilgiyi girin.

5.4.8. Veritabanı Bilgisini Görüntüleme

Veritabanı bilgisini yeniden incelemek için: 1. Database Manager iletişim kutusundaki About düğmesini tıklayın. Database Information iletişim

kutusu görünür. 2. Sürüm ve diğer bilgileri görüntülemek için, istenildiği gibi aşağı kaydırın.

5.4.9. Bileşenleri Düzenleme

Bileşen düzenleme “Bileşen Düzenleme” bölümünde ayrıntılı olarak tartışılacaktır.

5.5. Veritabanlarını Dönüştürme

Eğer Multisim’in daha önceki sürümlerinin kullanıcısı iseniz, Multisim 10’daki o bileşenleri kullanmak istiyorsanız User Database ve Corporate Database, Multisim 10’a dönüştürülmelidir. Not Master Database’i dönüştürmek için seçenek elde edilemez. Multisim’i kurduğunuzda yeni Master Database yüklenmektedir. Veritabanlarını güncelleştirmek için: 1. Tools / Database / Convert Database’i seçin. Convert Database iletişim kutusu görünür. 2. Type açılır listesinden birini seçin:

Convert DB V8 / V9 ==> V10 – V8 veya V9 bileşenlerini V10 biçimine dönüştürür.

Convert DB V7 ==> V9 – V7 bileşenlerini V9 biçimine dönüştürür.

Convert DB V6 ==> V9 – V6 (Multisim2001) bileşenlerini V9 biçimine dönüştürür. İletişim kutusunun başlığı seçiminizi yansıtmak için değişir. 3. Select Source Database Names’i tıklayın. Select a Component Database Name iletişim kutusu

görünür. 4. Files of type açılır listesinden dönüştürmek istediğiniz veritabanının türünü seçin:

User – kullanıcı veritabanı

Corporate – şirket veritabanı 5. İstenilen veritabanı dosyasını (dönüştürmek istediğiniz birini) vurgulayın ve Open’ı tıklayın. Convert

Database iletişim kutusuna geri dönün. 6. Start’ı tıklayın. Duplicate Database iletişim kutusu görünür. 7. İstenilen seçeneği seçin ve OK ‘a tıklayın. Veritabanı dönüştürülür.

Auto-Rename… - ithal eder ve otomatik olarak kopya bileşeni yeniden isimlendirir.

Overwrite… - Multisim bileşenleri eski bileşenlerle yeniden yerleştirir.

Ignore… - kopya isimlerle bileşenleri ithal etmez. 8. Convert Database iletişim kutusunu kapatmak için Close’a tıklayın.

5.6. Veritabanlarından Bileşenleri Güncelleştirme

Eğer Multisim’in veritabanının eski sürümlerinde oluşturulmuş bir devreyi açarsanız geçerli veritabanına eşleştirmek için bileşenleri güncelleştirebilirsiniz.

Page 111: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

103

Bileşenleri güncelleştirmek için: 1. Tools / Update Circuit Components’i seçin. Aşağıdaki iletişim görünür.

Bileşen farkını görmek için

tıklayın.

Eğer herhangi bir farklılık varsa onlar uygun sütunda ve bir kırmızı okla Diff düğmesi tarafından işaretlenecektir.

2. Eğer Model sütununda Diff düğmesi görünürse, mevcut veritabanındaki model ve çalışma alanı üzerindeki bileşen arasındaki modellerde farklılığı göstermek için ona tıklayabilirsiniz.

3. Eğer Footprint sütununda Diff düğmesi görünürse, mevcut veritabanındaki ayakizi ve çalışma alanındaki bileşen arasındaki farkı görüntülemek için ona tıklayabilirsiniz.

4. Aşağıdaki örnekte işaret edildiği gibi güncelleştirme için bileşenleri seçin.

Bütün farklı modelleri güncelleştirecek şekilde seçin. Belirli modellerin güncelleştirmesini önlemek için seçimi iptal edin.

5. Seçilmiş bileşenleri güncelleştirmek için Update’yi tıklayın.

5.7. Database’yi Birleştirme

User veya Corporate Database içinde diğer veritabanının içeriğini birleştirmek için: 1. Tools / Database / Merge Database’yi seçin. Database Merge iletişim kutusu görüntülenir. 2. Select a Component Database Name’e tıklayın ve veritabanınız içine birleştirmek istediğiniz

veritabanına gidin ve Open’ı tıklayın. Database Merge iletişim kutusuna geri dönersiniz. 3. İstenilen Target Database’i seçin. 4. Start’a tıklayın. Seçilmiş veritabanı Corporate veya User Database içinde birleştirilir. 5. Close’u tıklayın.

Page 112: Multisim 10
Page 113: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

105

Bölüm 7

6. SİMÜLASYON

Bu bölüm, bazı Multisim simülasyon altında yatan mantık sonunda simülasyon türlerinin ayrı ayrı ve birlikte nasıl kullanıldığını, her tür için uygun olan uygulama, Multisim’de kullanılan simülasyonun çeşitli türlerini açıklar. Ayrıca kullanılan devre sihirbazı ve problem simülasyon hataları için kullanılan araçları ayrıntılandırır. Ayrıca kullanılabilen devre sihirbazı ve problem çözme simülasyon hataları için araçları ayrıntılandırır.

6.1. En İyi Tasarım İçin Simülasyon Kullanma

Bir devrenin davranışını taklit etmenin matematiksel bir yolu simülasyondur. Simülasyon ile fiziksel olarak devreyi yapmaksızın veya gerçek test araçlarını kullanmaksızın devrenin performansını belirleyebilirsiniz. Multisim sezgisel olarak kolay kullanımlı simülasyon yapmasına rağmen, kolay kullanımın yanı sıra simülasyonun hız ve doğruluğunun altında yatan teknoloji karmaşıktır. Multisim, Electronics Workbench tarafından dijital ve karışık-mod simülasyon ile simülasyon performansını optimize etmek için özellikle tasarlanmış özelleştirilmiş geliştirmeleri, kendi simülasyon motoru çekirdeğinde SPICE3F5 ve XSPICE içermektedir. SPICE3F5 ve XSPICE endüstri kabul edilen kamuya açık standarttır. SPICE3F5, Berkeley Californiya Üniversitesi tarafından tasarlanmış SPICE (Entegre devre vurgulu simülasyon programı) çekirdeğinin en gelişmiş sürümüdür. XSPICE, olay güdümlü karma mod simülasyon ve bir son kullanıcı genişletilebilir modelleme alt sistemi içeren ABD Hava Kuvvetleri sözleşmeleri kapsamında, SPICE yapılan bir takım benzersiz donanımlardır. Electronics Workbench ayrıca sahadışı SPICE modellerinin daha geniş bir yelpazede kullanarak izin vermek için bazı SPICE standardı olmayan PSPICE uyumluluk özellikleri ile bu çekirdekleri artırmıştır. Multisim’in RF tasarım modülü optimize edilmiş SPICE motoru kullanarak RF devrelerini simüle eder. Devrenizin bir RF devresi olduğunu Multisim’e söylemeye gerek yoktur. RF simülasyonu SPICE simülasyon motoru kullanır, ancak doğru şekilde yüksek frekanslarda çalışacak şekilde tasarlanmış devreleri simüle etmek için optimize edilmiştir. Bu optimizasyon, özel olarak tasarlanmış ve bu yüksek frekanslara doğru şekilde simüle etmek için modellenmiş parçalar kullanır.

6.2. Multisim Simülasyonunu Kullanma

Simülasyonunuzun sonuçlarını görmek için bir sanal araç kullanmaya veya simülasyon çıkışını görüntülemek için bir analizi çalıştırmanız gerekecektir. Bu çıkış bütün Multisim simülasyon motorlarının düzenlenmiş sonuçlarını içerecektir.

Multisim’de etkileşimli simülasyon kullandığınızda (Run / Resume Simulation düğmesine tıklayarak), Osiloskop gibi sanal görüntüleme aracı ile simülasyon sonuçları anlık olarak görülebilir. Ayrıca LED’ler ve 7 segmentli sayısal display gibi bileşenler üzerinde simülasyonun etkilerini görebilirsiniz. Etkileşimli simülasyonun yanı sıra devrelerinizde çok sayıda analizleri çalıştırabilirsiniz. Analizlerin sonuçları Grapher’de görüntülenmektedir ve ayrıca Postprocessor’de sonraki düzenleme için kaydedilebilir. Not Bütün simülasyonlar verilen bütün gerilimlerle ilgili olarak bir referans ağı gerektirir. SPICE’de bu her zaman “0” ağıdır. Bu nedenle devrede bir yerde “0” olarak isimlendirilmiş bir ağ tanımlanmalıdır. Bir ağ ya “0” ismi olabilir veya eğer devrede bir bileşen topraklanmışsa bu otomatik olarak olacaktır. Bu aşağıda gösterilmiştir.

6.2.1. Etkileşimli Bileşenler

Etkileşimli bileşenlerin değerlerini klavyenizde belirli bir düğmeye basarak (bileşenini value sekmesindeki ayarlama) değiştirebilirsiniz. Etkileşimli bileşenin değerini simülasyon süresince değiştirebilir ve hemen etkisini görebilirsiniz. Örneğin, sonraki küçük bir direnç için 100kOhm direnci değiştirerek istenenden

Page 114: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

106

daha çok sonuçları değiştirebilir, ama Multisim ile değişken bir direnç kullanabilir ve istenilen sonuç elde edene kadar simülasyon için değişime bakarken değer aşamalı olarak azaltılabilir. İpucu Eğer bir düğmeye basarsanız, Value sekmelerinde bu anahtarla eşlenen şematik bütün etkileşimli cihazların değeri değişecektir. Eğer yalnızca belirli bir bileşenin değerini değiştirmeyi istiyorsanız, bir kontrol elemanını görüntülemek için bu bileşen üzerinde imleci gezdirin. Örneğin, eğer bir potansiyometre üzerinde imleci gezdirirseniz, potansiyometre ayarını yükseltmek veya düşürmek için kaydırabileceğiniz bir kaydırma çubuğu görüntülenir. Etkileşimli bileşenler potansiyometre, değişken kondansatör, değişken bobin ve anahtarlar gibi aygıtlar içerir.

6.2.2. Multisim’de Bileşen Toleransları

Multisim bileşen toleranslarının bir sonucu olarak tanıtılan simülasyonlarınızdaki sapmaları eklemenize imkân sağlar. Örneğin, %10 toleranslı 1kohm direnç, devrenin simülasyonunun sonuçlarını değiştirme etkisi olan artı veya eksi 100 ohm değiştirebilir. Kullanıcı ayarlanabilir toleranslı olan bileşenler dirençler, bobinler, kondansatörler ve bazı kaynaklardır. Bir konumdan bütün dirençlerin, bütün kondansatörlerin toleranslarını ayarlamak mümkün değildir. Toleranslar aşağıdaki süreci takip ederek bireysel olarak ayarlanmalıdır. Not Worst Case ve Monte Carlo analizleri bileşenler ile ilgili toleransları alacaklardır. Not Multisim’in eski sürümlerinden devre yüklendiğinde, Global Component Tolerances iletişim kutusundan herhangi bir toleranslar ihmal edilir ve bu size Spreadsheet View’in Results sekmesinde bir ileti bildirisi görünür. Yerleştirilmiş bileşen için tolerans ayarlamak için: 1. Bileşen üzerine çift tıklayın ve Value sekmesini seçin. 2. Tolerance alanında istenilen değeri girin veya seçin ve OK’a tıklayın. Simülasyon süresince bileşen toleranslarını kullanmak için Simulate / Use Tolerances’ı seçin. Menü öğesi yanında bir onay işareti görünür.

6.2.3. Simülasyonu Başlatma / Durdurma / Duraklatma

Bir devreyi simule etmek için, Run / resume simulation düğmesini tıklayın. Multisim devresinin davranışını simüle etmek için başlar. Ayrıca Simulate / Run’u seçebilirsiniz. Not Hiyerarşik bloklarda, alt devreler veya çoklu sayfalar içeren bir tasarım simüle edildiğinde sadece mevcut sayfa değil bütün tasarım simüle edilmektedir. Yalıtımdaki bir hiyerarşik bloğu simüle etmek için File / Open’ı seçilerek yeni bir tasarım olarak bu bloğu açmanız gereklidir. Alt devreler kendileri tarafından simüle olamazlar. Simülasyon süresince, simülasyon sonuçları ve simülasyon ile ilgili sorunlar ve mesajlar simülasyon hata günlüğü / denetim izi’ne yazılır. Eğer simülasyonun ilerlemelerini bir göz tutmak için isterseniz, simülasyon sırasında hata günlüğü / denetim izi görüntüleyebilirsiniz.

Çalıştırılan bir simülasyonu duraklatmak için Simulate / Pause’yi seçin. Duraklatıldığında aynı noktadan simülasyona devam etmek için, Simulate / Run’u seçin.

Bir simülasyonu durdurmak için Stop Simulation düğmesine tıklayın veya Simulate / Stop’u seçin. Eğer durdurduktan sonra simülasyonu yeniden başlatmak isterseniz, o başlangıçtan başlayacaktır (duraklatıldığı noktadan yeniden başlamaya izin veren Pause gibi değil).

Page 115: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

107

Not Simülasyonunuz çalıştırılmadan önce elektrik kural denetimi gerçekleştirme seçeneği vardır. 6.2.3.1. Simülasyon Çalıştırma Göstergesi Bir simülasyonun çalıştığını göstermek için, aşağıdaki örnekteki gibi durum çubuğunda Simulation Running Indicator görünür. Bu gösterge simülasyonu durdurana kadar yanıp söner. Bu IV Analyzer gibi kararlı bir duruma ulaşmış olan araç görüntülendiğinde özellikle faydalıdır.

6.2.3.2. Simülasyon Hızı Simülasyon hızı ve yakınsaklığını etkileyen birçok parametre vardır. Bunlara Interactive Simulation Settings iletişim kutusundan erişilebilir. En önemli ayarların bazıları ilk sekmede gösterilmiştir. Simülasyon hızını düzenleyen en önemli simülasyon ayarı TMax’tır. TMax, simülatör almak için izin veren maksimum zaman adımıdır. Sonuçlar üretmek amacıyla simülatör kendi takdirine bağlı olarak daha küçük zaman adımları sürebilir, ancak TMax tarafından belirtilenden daha büyük bir adım asla almayacaktır. Küçük TMax, daha doğru simülasyon sonuçları olacaktır. Ancak verilen herhangi bir simülasyon sonuçlarına ulaşmak daha uzun sürer. Genelde çoğu simülasyonlar gerçek zamanlıdan daha yavaş sürecektir. Ancak zaman çözünürlüğü çok gerekli değilse veya devre öncelikle sayısal ise (bu durumda zaman adımları her zaman sayısal olayların gerçekleştiği yere eklenecek ve böylece TMax büyük belirtilebilir) sonra TMax daha yüksek bir değere ayarlanmış olabilir. Eğer simülasyondaki bu sonuçlar gerçek zamandan daha hızlı çalıştırılırsa yapay gerçek zamanlıya yavaşlatılır ve CPU diğer görevler için serbest bırakılır. Eğer “Generate time steps automatically” seçilirse, şekildeki AC güç kaynağının en yüksek frekansı veya en küçük çözünürlük aracı ile uygun ayarlanacaktır.

6.2.4. Devre Tutarlılık Kontrolü

Devrenin simülasyon kurallarına uyduğunu belirlemek için, devrenizi simüle ettiğinizde veya analizi gerçekleştirdiğinizde bir devrenin tutarlılık denetimi gerçekleştirilir – örneğin eğer bir toprak mevcutsa, hatalar hata günlüğüne yazılmaktadır. Bu işlev, simülasyon hatalarına sebep olabilecek öğeler için sizi uyarır ve simülasyondan önce onları düzeltmenize izin vererek simülasyon sürecini hızlandırır.

6.2.5. Şekil Olmaksızın Ağ Listesinden Simülasyon

Bir komut hattından simülasyonları çalıştırabilirsiniz: Komut hattı arayüzünü açmak için, Simulate / Xspice Command Line Interface’i seçin. XSpice Command Line iletişim kutusu görünür. Bu iletişim kutusunda doğrudan ağlisteleri ve komutları girebilirsiniz. En önemli komutlar: SOURCE, PLOT, OP, SAVE, WRITE, TAN, SET VE ANAC’tır. Bu iletişim User Defined Analysis olarak aynı şekilde çalışır.

6.3. Multisim SPICE Simülasyonu: Teknik Ayrıntılar

Bu kısım devre simülasyonunda kullanılan bir çözüm ve formülasyonu açıklama ve devre simülasyonu aşamalarında kullanılan çözüm yöntemlerine ilişkin açıklamalar içeren bir SPICE tabanlı simülatörü, içinde devre simülasyonunun temel teknik yöntemlerini açıklar. (Not: Bu kısım daha sonra eklenecektir.)

6.4. RF Simülasyonu

Bir RF devresi Multisim’de bir board / sistem düzeyi devresi simülasyonu aynı şekilde simülasyon yapar. Multisim’in RF tasarım modülü en iyi duruma getirilmiş SPICE motorunu (VHDL’ye karşıt olarak) kullanarak RF devrelerini simülasyonunu yaptığı için böyledir. Devreniz bir RF devresi ise Multisim’in çağırmasına gerek yoktur. RF simülasyonu SPICE simülasyon motorunu kullanır, ancak yüksek frekanslarda çalıştırmak için tasarlanmış devreleri doğru olarak simülasyon yapmak veya daha yüksek saat hızları (RF karakteristikleri oluşturan) için en iyi duruma getirilmektedir. Bu en iyi duruma getirme özel olarak tasarlanmış ve bu yüksek frekanslarda doğru olarak simülasyon yapmak için modellenmiş parçalar kullanır.

6.5. MultiVHDL

VHDL (çok yüksek hızlı birleşik devre (VHSIC) donanım açıklama dili) karmaşık sayısal aygıtların davranışını açıklamak için tasarlanmıştır. Bu sebepten dolayı “davranışsal düzey” dili olarak adlandırılır; bu aygıtların davranışını açıklamak için davranışsal seviye modelleri (transistör/kapı seviyesi yerine

Page 116: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

108

SPICE gibi) kullanabilirisiniz. VHDL kullanarak büyük ölçüde tasarım sürecini basitleştirerek, kapı seviyesinde açıklanan bu aygıtların kullanışsız görevini önler. MultiVHDL iki şekilde kullanılabilir:

Bileşenler SPICE yerine VHDL’de modellendiğinde board/sistem tasarım sürecinin bir parçası olarak. Multisim gereği gibi VHDL simülatörü otomatik olarak çağırır (buna eş simülasyon denir). Bu yöntemde kapsamlı VHDL bilgisine gerek yoktur, fakat basitçe karmaşık sayısal yongalar için simüle edilebilir modellerin geniş veritabanı avantajlarından yararlanabilirsiniz.

VHDL kaynak kodu editörü / simülatörü olarak, VHDL kaynak kodu yazma ve hata ayıklama için.

6.6. Devre Sihirbazları

Multisim’in devre sihirbazları bir şematik diyagram, simülasyon modelleri ve bir ağ listesi içeren devreler oluşturmanızı sağlar. Sihirbazın iletişim kutusundaki tasarım parametrelerini basitçe girin ve devre inşa et düğmesine tıklayın. Devre inşa ettikten sonra her zamanki gibi simüle edilebilir. Kullanılabilir devre sihirbazları; 555 Zamanlayıcı Sihirbazı, Filtre Sihirbazı, Ortak Emiterli BJT Yükselteç Sihirbazıdır.

6.6.1. 555 Zamanlayıcı Sihirbazı

555 Timer Wizard, 555 zamanlayıcı kullanan kararsız ve tek kararlı osilatör devreleri inşa etmek için kullanılır.

Astable Operation – herhangi bir giriş işareti gerekmeyen serbest çalıştırılan osilatör üretir.

Monostable Operation – bir giriş tetikleme işareti tepkisinde bir tek çıkış işareti üretir. Bir giriş işareti uygulandığında her giriş işareti bir çıkış işareti üretecektir.

Bir kararsız osilatör inşa etmek için: 1. Tools / Circuit Wizards / 555 Timer Wizard’ı seçin. 555 Timer Wizard iletişim kutusu görüntülenir. 2. Type açılır listesinden Astable Operation’u seçin.

Varsayılan ayarlar başlangıçta görüntülenir. Devredeki bu bileşenlerin konumu için bu iletişim kutusunun sağındaki şemaya bakın Varsayılan ayarlara herhangi bir zamanda geri dönmek için bu düğmeye tıklayın.

Devre şeması

3. Aşağıdaki değerleri girin:

Vs – istenilen kaynak gerilimini girin. Frequency – maksimum 1MHz olan osilasyon için devrede istenilen frekansı girin. Duty – devre için görev döngüsünü girin. Örneğin, her saykılın %60’ı için “on” olacak olan devre

%60’ı işaret eder. Değer %50’ye eşit veya büyük ve %100’den daha az olmalıdır. C – C kondansatörünün değeridir ve başlangıç olarak 10nF’a ayarlıdır. Cf – Cf kondansatörünün değeridir ve normal olarak 10nF’a sabit olmalıdır. Rl – istenilen yük direncini girin.

4. R1 ve R2 olarak girilen değerler otomatik olarak hesaplanır ve aşağıdakine dayalı olarak değiştirilir:

)..693,0()1(2 CfdR

5,0Ifd , 80/21 RR , aksi halde )1/(2).12(1 dRdR

Burada d görev çevrimidir, f osilasyon frekansıdır ve C , C kondansatörünün değeridir.

5. Eğer MohmRR 3,3)21( , kohmR 11 veya kohmR 12 ise, 555 Timer Wizard iletişim kutusunda

bir uyarı iletisi görüntülenir:

Page 117: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

109

Uyarı iletisi

Bu durumda hata iletisi görüntüsü kalkana kadar C kondansatörünün değerini ve diğer parametreleri değiştirin.

6. R1 ve R2 değerlerini kontrol edin. Eğer onlar kullanılamıyor veya yetersiz ise C kondansatörünün değerini değiştirin.

7. R1 ve R2 yeterli olana kadar yukarıdaki iki adımı tekrarlayın ve 555 Timer Wizard iletişim kutusunda hata iletisi kaybolur.

8. Build Circuit düğmesine tıklayın. Devre hesaplanmış bileşen değerleri ile çalışma alanınıza yerleştirilir.

Yerleştirilen bileşenlerin referans işaretlerini devrenin numaralandırma şemasını takip edin.

Tek kararlı (one-shot) osilatör inşa etmek için: 1. Tools / Circuit Wizards / 555 Timer Wizard’ı seçin. 555 Timer Wizard iletişim kutusu görüntülenir. 2. Type açılır listesinden Monostable Operation ‘u seçin.

Varsayılan ayarlar başlangıçta görüntülenir. Devredeki bu bileşenlerin konumu için bu iletişim kutusunun sağındaki şemaya bakın. Herhangi bir zamanda varsayılan ayarlara geri dönmek için bu düğmeye tıklayın

Devre şeması

3. Aşağıdaki değerleri girin:

Page 118: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

110

Vs – istenilen kaynak gerilimini girin.

Vini – Vs’ye eşit ayarlayın.

Vpulse – giriş işaretinin istenilen gerilimini girin. Bu Vs/3’den daha az olmalıdır.

Frequency – giriş geriliminin frekansını girin.

Input Pulse Width – istenilen giriş işareti genişliğini girin. Çıkış işareti genişliğinin beşte birinden daha az olmalıdır. Eğer değilse, Output Pulse Width alanındaki değer sistem tarafından değiştirilir.

Output Pulse Width – istenilen çıkış işareti genişliğini girin.

C – Bu C kondansatörünün değeridir ve başlangıç olarak 1uF’ye ayarlıdır.

Cf – Bu Cf kondansatörünün değeridir ve normal olarak 1nF olarak sabittir.

Rl – istenilen yük direncini girin. 4. Girilen değerler olarak R otomatik hesaplanır ve aşağıdakine dayalı olarak değiştirilir:

).1,1/( COWR

Burada OW çıkış işareti genişliği ve C, C kondansatörünün değeridir. 5. R’nin değerini kontrol edin. Eğer kullanılamıyorsa ve yetersiz ise, C kondansatörünün değerini veya

diğer parametreleri yeterli değer elde edilene kadar değiştirin. 6. Build Circuit düğmesine tıklayın. 7. Devre hesaplanmış bileşen değerleri ile çalışma alanınıza yerleştirilir.

Yerleştirilmiş bileşenlerin referans işaretleri devrenin numaralandırma düzenini izleyin.

6.6.2. Filtre Sihirbazı

Multisim Filter Wizard belirli alanlarda istenilen özellikler girilerek birçok filtre türünü tasarlamanıza izin verir. Tasarlanmış devre SPICE simülasyonu tarafından doğrulanabilir. Filter Wizard kullanarak bir filtre tasarlamak için: 1. Tools / Circuit Wizards / Filter Wizard’ı seçin.

Mevcut parametreleri Type açılır listesinden seçilmiş filtreye eşleştirmek için ve Type, Topology, Source Impedence ve Pass Band Ripple kutularını seçilen seçenekleri değiştirin.

Type açılır listesine seçilmiş filtrenin parametreleri

Page 119: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

111

2. Type açılır listesinden istenilen filtre türünü seçin. Seçeneklerde alçak geçiren, yüksek geçiren ve band geçiren vardır. Type açılır listesi altındaki kutuda ve iletişim kutusunun sağındaki diyagramda kullanılabilen parametreler aşağıdaki adımlarda yapılan seçimler ve seçilmiş filtre türüne bağlı olarak değiştirilir.

3. Type kutusundaki Butterworth veya Chebyshev’i seçin. Eğer Chebyshev seçilirse, Pass Band Ripple kutusu görüntülenir. Eğer Butterwortf’ı seçerseniz, bu yapılmaz.

4. Topology kutusunda Passive veya Active’yi seçin. Eğer Passive seçilirse, Source Impedance kutusu görüntülenir. Eğer Active seçerseniz bu olmaz.

5. Source Impedance kutusunda istenilen kaynak empedansını seçin (yalnızca pasif filtre için). 6. Pass Band Ripple kutusunda istenilen dalgalanmayı seçin (yalnızca Chebyshev türü filtre için). 7. Type açılır listesi altındaki kutuda istenilen filtre parametrelerini girin. Not Kullanılabilen parametreler yukarıdaki adımlarda yapılan seçimlere bağlı değiştirilir. 8. Verify’i tıklayın. Eğer tasarımınızda herhangi bir sorun varsa Filter Wizard iletişim kutusunda

diyagramın altında bir ileti görüntülenir. Parametreleri ayarlayın ve Verify’i tekrar tıklayın. Tasarımınız hatasız olduğunda aşağıdaki ileti görünür ve Build Circuit düğmesi aktif olur:

Devre inşa etmek için hazır olduğunda görünür.

9. Build Circuit’i tıklayın. Filter Wizard iletişim kutusu kapanır ve devrenin hayalî görüntüsü imlecinize

yerleştirilir. 10. İmleci istediğiniz konuma taşıyın ve devreyi yerleştirmek için fareyi tıklayın.

6.6.3. Ortak Emiter BJT Yükselteç Sihirbazı

Multisim Common Emiter BJT Amplifier Wizard alanları içine istenilen özellikleri girerek ortak emiterli yükselteç devreleri tasarlamanıza olanak sağlar. Tasarlanan devre daha sonra doğrudan SPICE simülasyonu ile kontrol edilebilir. Common Emiter BJT Amplifier Wizard kullanarak bir ortak emiterli yükselteç tasarlamak için: 1. Tools / Circuit Wizards / CE BJT Amplifier Wizard’ı seçin. BJT Common Emiter Amplifier Wizard

iletişim kutusu görüntülenir. 2. BJT Selection, Amplifier Specification, Quiescent Point Specification ve Load Resistance and Power

Supply kutularında istenilen parametreleri girin. 3. Verify’i tıklayın. Amplifier Characteristic kutusundaki değerler aşağıya göre değişir:

if in type "Ic"

{

ic_temp = Ic;

rb_temp = 0.1 * Hfe * (Vcc / ic_temp - 1.5 * Rl);

}

else if in type "Vce"

{

ic_temp = Vce / (Rl / 2);

rb_temp = 0.1 * Hfe * (Vcc / ic_temp - 1.5 * Rl);

}

else if in type "Vpswing"

{

ic_temp = (Vpswing + 0.2 + Vpin) / (Rl / 2);

rb_temp = 0.1 * Hfe*(Vcc / ic_temp - 1.5 * Rl);

Page 120: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

112

}

rpi_temp = Hfe * 26.0e-3 / ic_temp;

rin_temp = rpi_temp * rb_temp / ( rpi_temp + rb_temp );

avp_temp = ic_temp * Rl / ( 26e-3 * 2 );

av = (avp_temp * rin_temp / ( rin_temp + Rs);

ai = rb_temp * Rl / ((rb_temp / Hfe + rpi_temp / Hfe) * ( 2 * Rl ));

avmax = Vcc/26e-3; 4. Eğer tasarımınızda her hangi bir sorun varsa bir ileti görüntülenir. Parametreleri ayarlayın ve Verify’i

tekrar tıklayın. 5. Build Circuit’i tıklayın. BJT Common Emiter Amplifier Wizard iletişim kutusu kapanır ve imlecinize

devrenin hayalî bir resmi yerleştirilir. 6. İstediğiniz konuma imleci taşıyın ve devreyi yerleştirmek için fareyi tıklayın.

6.6.4. Opamp Sihirbazı

Multisim Opamp Wizard alanları içine istenilen özellikleri girerek aşağıdaki opamp devrelerini tasarlamanıza olanak sağlar:

Tersleyen yükselteç

Terslemeyen yükselteç

Fark yükselteci

Tersleyen toplama yükselteci

Terslemeyen toplama yükselteci

Ölçeklendirme toplayıcı Tasarlanmış devre daha sonra SPICE simülasyonu tarafından doğrudan kontrol edilebilir. İpucu Opamp Wizard çalışırken Default Settings düğmesine tıklayarak herhangi bir zamanda varsayılan ayarlara geri dönebilirsiniz. Opamp Wizard ile bir devre inşa etmek için: 1. Opamp Wizard iletişim kutusunu görüntülemek için Tools / Circuit Wizards / Opamp Wizard’ı seçin. 2. Type açılır listesinden inşa etmek istediğiniz devrenin türünü seçin. Önizleme alanında diyagram ve

iletişim kutusunun içeriği seçimi yansıtmak için değişir. 3. Eğer devrede bir kaynak içermesini istemiyorsanız Add Source onay kutusu seçimini iptal edin.

Tersleyen toplayıcı yükselteci, terslemeyen toplayıcı yükselteci veya ölçeklendirme toplayıcı için Number of Inputs ayrıca girilmelidir.

4. Input Signal Parameters kutusunda Input Voltage ve Input Frequency değerlerini istediğiniz gibi seçin. Not Input Voltage ve Input Frequency alanlarının sayısı Type açılır listesinde yaptığınız seçime ve ayrıca Number of Inputs alanlarında girdiğiniz değere bağlı olarak farklıdır. Bu alanlar Add Source seçimi iptal edilirse aktif değildir. 5. Amplifier Parameters kutusunda istenilen değerleri girin. Not Kullanılabilen Amplifier Parameters Type açılır listesinde yaptığınız seçime ve Number of Inputs alanında girdiğiniz değere göre değişecektir.

Page 121: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

113

6. Verify’i tıklayın. Eğer bir uyarı iletisi görünürse, parametreleri ayarlayabilirsiniz ve Verify’i tekrar tıklayın.

7. Build Circuit’i tıklayın. Opamp Wizard iletişim kutusu kapanır ve imlecinize devrenin hayalî bir resmi yerleştirilir.

8. İstediğiniz konuma imleci taşıyın ve devreyi yerleştirmek için fareyi tıklayın.

6.7. Simülasyon Hata Günlüğü / Denetim Raporu

Analiz kullanarak bir devrenin simülasyonuna başladığınızda sonuçların aşağıdaki görünümünün bir ya da ikisini görebilirsiniz:

Grapher, grafiksel biçimde sonuçları görüntüler.

Simulation Error Log / Audit Trail metin biçiminde sonuçları görüntüler. Eğer ACCT analiz seçeneğini açtıysanız, ayrıca Simulation Error Log / Audit Trail simülasyon süresince oluşturulmuş hatalar veya uyarı iletileri ve simülasyonun istatistiklerinin bir grafiğini içerir. Simulation Error Log / Audit Trail görüntülemek için, Simulate / Simulation Error Log / Audit Trail’i seçin. Simulation Error Log / Audit Trail iletişimi analiz veya etkileşimli simülasyon süresince ortaya çıkan hataların teşhisi için faydalıdır. Her analiz gerçekleştirildiğinde etkileşimli simülasyonunun yanı sıra bu Multisim oturumu süresince tek tek veya toplu olarak denetim raporunu saklar. Multisim’den çıktığınızda dosya temizlenir. Aşağıdaki bir örnek görüntüdür:

Simulation Error Log / Audit Trail’de görünen ağaçta ayrıntılarını görüntülemek veya gizlemek için Ekranın içeriğini genişletmek veya daraltmak için “+” veya “-” üzerine tıklayın. Hataların seviyesini görüntülemeyi seçmek için: Simulation Error Log / Audit Trail’de takip eden düğmelerden birini tıklayın:

Full – Bütün hataları görüntüler.

Simple – Yalnızca bütün hataları görüntüler.

None – Hiçbir hatayı görüntülemez. Ayrı bir dosyada denetim raporu sonuçlarını kaydetmek için Save’e tıklayın ve bir dosya ismi ve konumu seçin. Simulation Error Log / Audit Trail iletişim kutusunun içeriğini temizlemek için New’i tıklayın. Simülasyon yardım hatalarını görüntülemek için, denetim raporunda istenilen hataları vurgulayın ve Help’i tıklayın.

6.8. Simülasyon Hata Yardımı

Simülasyon hata yardımı simülasyon sırasında karşılaşabileceğiniz hatalar için sorun çözme bilgisi sağlar. Bir hatada simülasyon hata yardımını görüntülemek için: 1. Aşağıdaki örnekteki gibi Simulation Error Log / Audit Trail iletişim kutusunda istenilen hatayı seçin.

Page 122: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

114

2. Help’i tıklayın. Seçilen hata ekranı için bilgi ile bir yardım konusu. Ayrıntılar için Multisim yardım

dosyasına bakın.

6.9. Yakınsaklık Yardımcısı

Yakınsaklık veri sürecinin adımlarının bir serisine rehberlik etmek için yineleme veya özyineleme kullanan herhangi bir algoritmanın son noktasıdır. Bir algoritma genellikle hesaplanan ve gözlenen adımlar arasındaki fark aşağıda önceden belirlenmiş bir eşik değerine düştüğünde yakınlaşmaya ulaşmıştır denir. Multisim’de etkileşimli simülasyon süresince bir yakınsama hatası oluştuğunda, otomatik olarak sorunu çözmek için Convergence Assistant’ı çalıştırmak isteyip istemediğinizi soran bir mesaj görüntülenir. Convergence Assistant’ı çalıştırmak için: 1. Yukarıda bahsedilen ileti görüntülendiğinde Yes’i tıklayın. Convergence Assistant iletişim kutusu

görünür ve yakınsama sorununu çözmek için çözümler girişi başlar. 2. Simülasyon hatası birkez düzeltildikten sonra Convergence Assistant devrenin yapılandırma

değişikliklerinin mümkün olan en az miktarda simülasyon hatası oluşana kadar tek tek değişikliklere geri döner. Convergence Assistant iletişim kutusunda yapılan değişiklikler ayrıntıları bir özet raporda görünür. Ayrıca Convergence Assistant hatanın düzeltmenin mümkün olup olmadığını tavsiye eder. Convergence Assistant çalıştırmayı reddederseniz bir yakınsama hatası oluştuğunda Simulation Error Log / Audit Trail iletişimi görünür.

Simulation Error Log / Audit Trail iletişim kutusundan yardımcıyı çalıştırmak için: 1. Simulation Error Log / Audit Trail iletişim kutusundan Convergence Assistant’ı tıklayın. Not Yalnız bir yakınsama hatası elde edilirse Convergence Assistant düğmesi görünür. 2. Convergence Assistant iletişim kutusunda Start’ı tıklayın. Eğer Convergence Assistant hatayı düzeltemiyorsa: Simulation Error Log / Audit Trail’de istenilen hatayı seçin ve Help’i tıklayın. Seçilen hata için sorun çözme önerilerini sağlayan simülasyon hata yardımı görünür. Convergence Assistant günlüğünün içeriğini kaydetmek için Save’i tıklayın. Convergence Assistant günlüğünün içeriğini yazdırmak için Print’i tıklayın. Eğer değişiklikleri tutmak istemiyorsanız Cancel’ı tıklayın. Değişiklikleri tutmak için OK’u tıklayın.

6.10. Kaydetme / Yükleme Simülasyon Tercihleri

Analizler için kullanılan belirli ayarları içeren simülasyon tercihlerini saklayabilirsiniz. Bu tercihler farklı analizler için ayarları yeniden girme yerine diğer devrelerde kullanılabilir.

6.10.1. Bir Simülasyon Tercihini Saklama

Mevcut devreden simülasyon ayarlarını kaydetmek için: 1. Simulate / Save Simulation Settings’i seçin. 2. İstenilen konuma gidin, tercih için bir dosya ismi girin ve Save’i tıklayın. Aşağıdaki iletişim kutusu

görünür.

Page 123: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

115

Tercih için girilen dosya ismi

3. İsteğe bağlı olarak tercihin bir açıklamasını girin. 4. Eğer Interactive Simulation Settings iletişim kutusunda girilen özel ayarları kaydetmek isterseniz

Interactive Simulation Settings’i etkinleştirin. 5. Analyses alanında, bu tercihte içermesini istediğiniz analizleri seçiniz. 6. Tamamlamak için OK’u tıklayın.

6.10.2. Bir Simülasyon Tercihi Yükleme

Mevcut bir simülasyon tercihi yüklemek için: 1. Simulate / Load Simulation Settings’i seçin, istenilen tercihe gidin ve Open’ı tıklayın. Aşağıdaki

iletişim görünür.

2. Eğer kaydedilmiş tercihli özel etkileşimli simülasyon ayarlarını yüklemeyi istiyorsanız Interactive

Simulation Settings’i etkinleştirin. 3. Yüklemek istediğiniz kaydedilmiş tercihleden Analyses’i seçin ve OK’u tıklayın.

6.11. En İyi Tasarım İçin Simülasyonu Kullanma

Bir tasarım simülasyonu daha az yinelemeyle sonuçlanabilir ve ürün geliştirmesinin prototip aşamasında daha az hataya neden olabilir. Tasarım işlemi ön ucunda bir tasarım simüle olduğunda, tasarım döngüleri sayısı önemli ölçüde azaltılabilir. Aşağıdaki ipuçları başarılı simülasyon ve daha doğru kart tasarımlarını sağlayacaktır. 1. İdeal modeller kullanarak simülasyonu yapın ve aşamalı olarak karmaşık ekleyin – sıksık, bir tasarım

açıklanan bir devrenin her parçasındaki standart yapılandırmalara dayanmaktadır ve yinemeli döngülerin bir dizisi üzerinde arıtılır. Bu aşamada, ideal modeller kullanılarak veya bileşenlerin en azından basitleştirilmiş modellerinde simülasyona başlamak en iyisidir. Model gerçek dünya bileşeni ile ilişkili tüm parametrik veriler olmadığından simülasyon genellikle daha hızlı yakınsayacaktır.

Page 124: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

116

(Yakınsama, devre temsil etmek için kullandığı denklemleri çözmek için simülasyon motorunun matematiksel yeteneğidir. Erken tasarım döngüsünde ideal modeller daha hızlı simülasyon hızları sunacaktır ve tasarım, doğru yönde olup olmadığını belirlemek için yeterli doğruluğu sağlar. Sanal bileşenler teorik değerleri seçmenize ve gerçek bileşenlerin bir veritabanından bir kısmını sonradan yerleştirmenize izin verir. Etkileşimli parçalar bir bileşenin parametrelerinin simülasyon süresince değiştirilmesine izin verir ve gerçek zamanda devrenin performansı üzerinde etkisini gözlemenize olanak sağlar. Başlangıçta, genellikle modellere karmaşıklığı eklemek ve simülasyon zamanı uzatmak parazitik yan etkileri göz ardı etmek uygundur. Genelde istenen devre işlemi elde edildikten sonra bileşen modelleri gerçek bileşeni daha kesin olarak temsil edenlerle değiştirilebilir.

2. Tasarımın kritik alanlarında simülasyon - Yapılandırılmış bir modüler yaklaşım tasarımda her elemanın yalıtımını sağlar ve bütüne entegre edilmeden önce beklendiği gibi davranan her bir devre bloğu sağlar. En basit tasarımlar dışındaki hepsi için, bunu başarmada en iyi yöntem hiyerarşik bir yaklaşımdır. Bu daha sonraki bir zamanda diğer tasarımlarda eniden kullanılabilen modüllerin oluşturulmasına yol açar. Şematik yakalama yazılımı ile tasarım girişi gerçekleştirenlerin çoğu standart tasarım akışının bir parçası olarak yapılır. Her alt blok arayüz noktalarında beklenen uyarıcı kullanılarak simüle edildiğinen beri, bu "blok tasarım" yöntemi kullanılarak, simülasyon yazılımlarının kullanımını kolaylaştırılır. Uyarıcı, standart dalga, çeşitli modülatörleri, kontrollü kaynaklar ve karmaşık polinom fonksiyonlar içeren kaynakların birçok çeşidi kullanılarak simülatör tarafından başlangıçta oluşturulabilir. Simülasyon için bloklar halinde bölünen bir devrenin örneği şunlardır: sinyal-gürültü oranı iyice anlaşılması gereken bir yükseltme aşaması; ağın farklı aşamalarında empedans uydurma; doğru olarak gerçek dünya koşullarını temsil eden bir test tezgahı.

3. Önce daha az karmaşık yöntemler ile başlayarak, sonuçları çözümlemek - Simülasyon yazılımı sonuçta bir devrede çeşitli noktalarda sinyalleri incelemek için kullanılır. Bu sinyalleri analiz etmek için kullanılabilir olan artan gelişmişliğin çeşitli yöntemleri vardır. karmaşıklık düzeyinde artan bu üç alternatifi düşünün: sanal aletler; simülasyon analizleri; işlem sonrası.

4. Modeller – şematik yazılımınız ile kolay simülasyonu etkinleştirmek için semboller ve modeller arasında farkı hatırlayın. Sembollerin şemalar için olduğu gibi modeller simülasyon için vardır. Multisim parçaların hepsi için modellerin geniş kütüphanelerine sahiptir. Buna ek olarak, piyasaya giren yeni cihazları yerleştirmek için, varolan kütüphanelere yeni modeller ekleyebilirsiniz. Veri itabı parametrelerinin doğrudan girilmesine izin veren Multisim’in Model Makers’ı kullanarak bunu yapabilirsiniz. Veri kitabı parametreleri simülatör tarafından kullanmak için SPICE parametrelerine sonra dönüştürülür. Ayrıca internetten parça modellerini elde edebilirsiniz. SPICE veya HDL sunan çoğu üreticiler kendi internet sitelerinde bileşen modellerini sağlar.

Page 125: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

117

Bölüm 8

7. ARAÇ GEREÇLER

Bu bölüm Multisim’in bir parçası olarak sunulan çok sayıda sanal araçların nasıl kullanılacağını açıklar. Bu araçlar ekleme ve yapılandırmak için hem genel yordamları ve hem de her aracı kullanmak için belirli adımları açıklar. Bu bölüm ayrıca National Instruments LabVIEW grafiksel geliştirme ortamını kullanarak kendi özel araçların nasıl oluşturulacağını açıklar.

7.1. Multisim Araçlarına Giriş

Multisim devrenizin davranışını ölçek için kullanacağınız bir dizi sanal araç sağlar. Bu araçlar gerçek dünya araçları gibi ayarlanır, kullanılır ve okunur. Onlar laboratuarda kullandığınız ve gördüğünüz araçlar gibi görünür. Sanal araçların kullanımı devrenin davranışını incelemenin ve simülasyonun sonuçlarını göstermenin en kolay yoludur. Multisim ile birlikte gelen standart araçlara ek olarak, LabVIEW’i kullanarak, esnek ve ölçeklenebilir bir test, ölçüm ve kontrol uygulamaları oluşturmak için bir grafik geliştirme ortamı ile kendi özel araçlarınızı oluşturabilirsiniz. Sanal araçların iki görüntüsü vardır: devrenizde eklediğiniz araç simgesi ve aracın kontrolünü ayarladığınız araç arayüzü. Aracın üzerine çift tıklayarak arayüzü gösterir veya gizleyebilirsiniz. Araç yüzleri gizlenmediğinde her zaman çalışma alanı üzerine çizilir. Masaüstünde istediğiniz yere araç yüzünü yerleştirebilirsiniz. Devre kaydedildiğinde araç yüzü konumları ve görüntü gizleme durumu devre ile saklanır. Araçların içerdiği herhangi veri azami boyutta saklanır.

Araç yüzü

Araç tanımlayıcı Araç simgesi

Uç giriş çıkış belirleyici

Aracın simgesi devre içerisine aracın nasıl bağlandığını belirtir. Araç devreye bağlandıktan sonra araç yüzü üzerinde giriş çıkış uç belirleyici içerisinde siyah bir nokta görünür.

7.1.1. Araçlar İle Simülasyon Verilerini Kaydetme

Preferences iletişim kutusunun Save sekmesinde Save simulation with intruments onaylanırsa, araç yüzü üzerinde görünen veri devre dosyasında saklanacaktır (her zaman kaydedilen araç ayarları ve görünürlük durumuna ek olarak). Osiloskop gibi araçlar çok fazla veri içerdiği için dosya boyutları çok büyük olabilir (kaydetme sırasında kullanılan sıkıştırma algoritmalarına rağmen). Bu nedenle azami boyut eşik değerini ayarlayabilirsiniz. Eğer azami eşik kaydetme üzerine aşılırsa araç veri kaydetme, araç verisininin bir alt kümesini kaydetme veya araç verisi kaydetmek isteyip istemediğiniz sorulacaktır. Not Multisim LabVIEW aracı için veri kaydedip kaydetmemesi bireysel araca bağlıdır.

7.1.2. Bir Devreye Bir Araç Ekleme

Araçlar Instruments araç çubuğundan şema üzerine yerleştirilir. Bu araç çubuğu varsayılan olarak çalışma alanı üzerindedir. Eğer görüntülenmiyorsa View / Toolbars / Instruments’i seçin. Ayrıca araç çubuğunun boş bir alanında sağ tıklamalısınız ve görünen açılır pencereden Intruments’i seçin. (LabVIEW araçları Instruments araç çubuğunun sonunda alt menüde görünür.) Bir devreye bir araç yerleştirmek için: 1. Instruments araç çubuğundan yerleştirmek istediğiniz aracın düğmesine tıklayın. LabVIEW aracı

eklemek için LabVIEW düğmesindeki ok işaretine tıklayın ve görünen açılır pencereden yerleştirmek istediğiniz aracı seçin.

Page 126: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

118

2. Aracı yerleştirmek istediğiniz devre penceresi üzerindeki konuma imleci taşıyın ve tıklayın. Araç ızgara üzerinde açılış bağlantıları ile yerleştirilir. Araç simgesi ve referans belirleyici (RefDes) görünür. RefDes aracın türünü ve derecesini tanımlar. Örneğin, devre üzerine ilk yerleştirilen multimetre “XMM1” olarak anılır, ikinci “XMM2”dir. Bu numaralandırma her devre içerisinde tektir – eğer bir ikinci devre oluşturursanız yerleştirilen ilk multimetre “XMM1” olur.

Not Multisim’in bazı sürümleri bütün araçları veya belirli bir aracın çoklu derecesini desteklemez. 3. Devredeki aracı kablolamak için araç simgesi üzerindeki bir uca tıklayın ve devrede istenen konuma

bir kablo sürükleyin (bir pin, kablo veya bağlantı). Not Voltmetre ve ampermetre Indıcators bileşen grubunda bulunur. Onlar yukarıda açıklanan yöntem ile erişilemez.

7.1.3. Aracı Kullanma

Aracı kullanmak için: 1. Aracın denetimini düzenlemek ve görüntülemek için simgesine çift tıklayın. Araç yüzü görünür.

Sadece gerçek yaşamdaki karşılıkları olduğu gibi denetim ayarlarında gerekli değişiklikleri yapın. Denetim ayarları her araç için farklıdır, onlara yabancıysanız veya bilgi gerekiyorsa bu bölümde söz konusu olan araç bölümüne bakın. Denetim ayarlarının devreniz için uygun olması önemlidir. Eğer ayarlar yanlışsa simülasyon sonuçları yanlış görünmesine veya zor okunmasına sebep olabilir.

Not Açık aracın bütün alanları düzenlenemez. İmleciniz düzenlenebilen bir denetim üzerinde olduğunda bir el görünür.

2. Devre etkinleştirmek için Simulation araç çubuğu üzerinde Run / resume simulation düğmesine

tıklayın. Multisim devrenin davranışını simüle etmeye başlar ve aracın bağlandığı noktada ölçülen işaretleri görüntüler. Simülasyon süresince simülasyon sonuçları hakkında iletiler ve simülasyon ile sorunlar simülasyon hata günlüğü / denetim raporuna yazılmaktadır. Eğer simülasyon ilerlemesine göz atmak istiyorsanız Simulate menüsünden Simulation Error Log / Audit Trail’i seçin. Devre etkinleştirilirken araç ayarlarını ayarlayabilirsiniz. Değişen değerler tarafından devre değiştirilemez (değişebilen bileşenler hariç) veya ağ listesini geçersiz kılan bileşeni yeniden yerleştirme veya döndürme gibi şematik işlevler gerçekleştiremezsiniz.

Simülasyonu duraklatmak için Simulate / Pause’yi seçin. Ayrıca Simulate menüsünden komutları kullanarak çalıştırabilir, durdurabilir, duraklatabilir veya yeniden devam edebilirsiniz.

Simülasyonu durdurmak için Simulation araç çubuğu üzerindeki Stop simulaltion düğmesini tıklayın. Simülasyon araç yüzü ve denetim raporunda gösterilen kesin sonuçlarla biter.

7.1.4. Çoklu Araçlarla Çalışma

Bir tek devre aynı cihazın birden çok örneğini (bazı sürümleri için) içeren kendisine bağlı çoklu aletleri, olabilir. Ek olarak, her devre penceresi araçların kendi kümesine sahip olabilir. Çok sayıda farklı aracı veya bir aracın çoklu örneğini ayarlama bir aracı ayarlama ile tam olarak aynı şekilde yapılır. Zamanın bir periyodu için örnek olan araçlar bir geçici analizi çalıştırmaya neden olur. Böyle araçların çoğunu kullanıyorsanız yalnızca geçici analiz çalıştırılır. Bu analizin ayarları bütün eş zamanlı araçlar dikkate alınarak ve her ayar seçilerek türetilmiştir. Örneğin, iki farklı zaman tabanı (çözünürlük) ile iki Osiloskop varsa, Multisim en küçük zaman tabanı (en yüksek çözünürlük) osiloskobun zaman tabanını kullanır. Sonuç olarak her iki araç bireysel olarak en yüksek çözünürlükte örneklenecektir. Her araçtan alınan sonuçlar hata günlüğü / denetim raporunda ayrı ayrı saklanacaktır.

7.1.5. Araç Verilerini Kaydetme

Devre kaydedildiğinde araçlar üzerinde görüntülenen değerler kaydedilecek şekilde devrenizi ayarlayabilirsiniz.

Page 127: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

119

Not Multisim’in LabVIEW araçları için veri kaydedip kaydetmeyeceği bireysel araçlara bağlıdır.

7.2. Yazdırma Araçları

Multisim devrenizde seçilmiş araçların yüzlerini yazdırmanıza olanak sağlar. Devre için herhangi bir simülasyon çıktısı görünür.

Devrede görüntülenecek araçlar burada Basılı rapora dâhil etmek için istediğinizi seçin.

Not Multisim LabVIEW araçlarının yüzü yazdıramaz. Araç yüzlerinin çıktısını üretmek için: 1. Çalışma alanınızda istediğiniz devreyi açın. 2. File / Print Options / Print Instruments’i seçin. Print Instruments iletişim kutusu gürünür. 3. Seçmek veya seçimi iptal etmek istediğiniz herhangi bir aracın yanındaki onay kutusunu tıklayın,

sonra seçilmiş araçları yazdırmak için Print’i tıklayın. 4. Standart yazdır iletişimi görünür. İstenilen yazdırma seçeneklerini seçin ve OK’i tıklayın.

7.3. Etkileşimli Simülasyon Ayarları

Multisim geçici analize dayalı olan araçlar için varsayılan ayarları ayarlamanızı sağlar ( Osiloskop, spektrum analizör ve lojik analizör gibi). Varsayılan araç ayarlarını ayarlamak için: 1. Simulate / Interactive Simulation Settings’i seçin. Interactive Simulation Settings iletişim kutusunda en

sık kullanılan görüntüleme işlevleri görünür. 2. Gerektiği şekilde aşağıdakileri düzenleyin:

Initial Conditions açılır listesi –Zero, User-Defined, Calculate DC Operating Point veya Automatically Determine Initial Conditions’dan birini seçin.

Start Time (TSTART) alanı – geçici analizin başlama zamanı sıfıra eşit , büyük ve bitiş zamanından daha az olmalıdır.

End time (TSTOP) alanı – geçici analizin bitiş zamanı başlama zamanından daha büyük olmalıdır.

Set maximum timestep (TMAX) onay kutusu - Simülasyon kullanabilir azami zaman adımı girmek için etkinleştirin.

Maximum timestep (TMAX) radyo düğmesi – zaman adımlarını elle ayarlamak için etkinleştirin.

Generate timesteps automatically radyo düğmesi – zaman adımlarını otomatik olarak oluşturmak için etkinleştirin.

Set initial time step onay kutusu – simülasyon çıkışı ve grafik için dahili bir zaman ayarlamak için etkinleştirin.

İstenilen ayarları girin ve OK’i tıklayın. Bu ayarlar sonraki bir zamanda bir simülasyon çalışınca etkin olacaktır. Hata toleranslarını sıfırlama, simülasyon tekniklerini seçme ve sonuçları görüntüleme gibi, simülasyonu birçok açıdan denetleyebilirsiniz. Seçilen seçenekler simülasyonun verimliliğini belirleyecektir. Analysis Options sekmesi yoluyla bu seçenekleri ayarlayın.

7.3.1. Sorun Çözme Simülasyon Hataları

Interactive Simulation Settings iletişim kutusunda varsayılan değerler devreleri doğru olarak simüle etmek için ayarlanır. Ancak bazı durumlarda bu değerleri ayarlamak gerekli olacaktır.

Page 128: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

120

Simülasyon çalıştırıldığında Multisim devre yapılandırmasına bağlı olarak zaman adımı zorluklarla karşılaşabilir. Simülasyon hatalarına sebep olabilir. Etkileşimli Simülasyon Ayarları değerlerini ayarlamak için aşağıdaki adımları izleyin: 1. Multisim’i başlatın ve sorun gösteren devre dosyasını yükleyin. 2. Simulate / Interactive Simulation Settings’i seçin. 3. Defaults for Transient Analysis Instruments sekmesini seçin ve aşağıdakileri ayarlayın:

Initial conditions aşağı açılır menüden Set to Zero’yu seçin.

Maximum time step (TMAX) seçin ve 1e-3 saniyeye değeri ayarlayın. 4. OK’i tıklayın ve simülasyonu çalıştırın. Sorun devam ederse, aşağıdaki ek adımları izleyin: 1. Simulate / Interactive Simulation Settings seçin. 2. Analysis Options sekmesini seçin, Use Custom Settings’i etkinleştirin ve Custom Analysis Options

iletişim kutusunu görüntülemek için Customize’yi tıklayın. 3. Global sekmesinde aşağıdakileri ayarlayın:

Reltol parametresini etkinleştirin ve değeri 0,01’e ayarlayın (veya en iyi doğruluk için 0,0001’i deneyin).

Rshunt parametresini etkinleştirin ve değeri 1e+8’e ayarlayın (eğer simülayon hata mesajları ile karşılaşıyorsanız yalnızca bunu yapın).

4. İki kez OK’i tıklayın ve simülasyonu çalıştırın. Sorun kalıcı devam ederse aşağıdaki ek adımları izleyin: 1. Simulate / Interactive Simulation Settings’i seçin. 2. Analysis Options sekmesini seçin, Use Custom Settings’i etkinleştirin ve Custom Analysis Options

iletişim kutusunu görüntülemek için Customize’yi tıklayın. 3. Transient sekmesinde aşağıdakileri ayarlayın:

METHOD parametresini etkinleştirin ve aşağı açılır menüden gear’a ayarlayın. 4. İki kez OK’i tıklayın ve simülasyonu çalıştırın.

7.4. Multimetre

AC ve DC gerilim veya akımı ve devredeki iki düğüm arasındaki desibel kaybını veya direnci ölçmek için multimetreyi kullanın. Multisim otomatik aralıklıdır, böylece bir ölçüm aralığı belirtilmesi gerekmez. Onun iç direnç ve akımı en yakın ideal değiştirilebilir değerlere önceden ayarlıdır. Aracı kullanmak için Instruments araç çubuğunda Multimeter düğmesine tıklayın ve çalışma alanına onun simgesini yerleştirmek için tıklayın. Simge devreye multimetreyi kablolamak için kullanılır. Ölçümleri görmek , ayarları girmek ve araç yüzünü açmak için simge üzerine çift tıklayın.

Pozitif uç

Negatif uç

Ölçüm seçenekleri İşaret modu

Ölçüm sonuçları Dahili ayarları görüntülemek için tıklayın

7.4.1. Multimetre Ayarları

Bu bölüm multimetrenin nasıl ayarlandığı hakkında ayrıntılar sağlar. Ölçüm seçenekleri

ampermetre voltmetre ohmmetre desibel

Alınacak ölçüm türünü seçmek için: 1. Aşağıdaki düğmelerden birini tıklayın:

Ammeter – iki düğüm arasında bir koldaki ve devredeki akan akımı ölçer. Akan akımı ölçmek için

yük ile multimetreyi gerçek bir ampermetre gibi seri ekleyin (aşağıdaki şekilde gösterildiği gibi).

Page 129: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

121

Devredeki diğer bir düğümdeki akımı ölçmek için, yüke seri başka bir multimetre bağlayın ve devreyi tekrar etkinleştirin. Bir ampermetre kullanıldığında multimetrenin iç direnci çok küçüktür (1nohm). Direnci değiştirmek için Set’i tıklayın.

Voltmeter – iki düğüm arasındaki gerilimi ölçer. V’yi seçin ve voltmetrenin probunu yük ile paralel

ekleyin (aşağıdaki şekilde gösterildiği gibi).

Bir voltmetre kullanıldığında multimetrenin Set’i tıklanarak değiştirilebilen 1Gohm’luk yüksek bir iç direnci vardır.

Ohmmeter – bu seçenek iki düğüm arasındaki direnci ölçer. Düğümler ve bunların arasında

uzanan her şey “bileşen ağı” olarak anılacaktır. Direnç ölçmek için bu seçeneği seçin ve multimetrenin uçlarını bileşen ağı ile paralel ekleyin (aşağıdaki şekilde gösterildiği gibi).

Doğru ölçüm almak için, bunlardan emin olun:

Bileşen ağında bir kaynak yoktur.

Bileşen veya bileşen ağı topraklanmıştır.

Bileşen veya bileşen ağıyla paralel başka hiçbirşey yoktur.

Ohmmetre Set tıklanarak değiştirilebilen 10nA akımı oluşturur. Eğer ohmmetre bağlantısını değiştirirseniz okuma yapmak için devreyi yeniden etkinleştirin.

Decibels – devredeki iki düğüm arasındaki desibel gerilim kaybını ölçer. Desibel ölçmek için, bu

seçeneği seçin ve multimetrenin uçlarını yük ile paralel ekleyin (aşağıdaki şekilde gösterildiği gibi).

Page 130: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

122

DB hesaplama için desibel standart 774,597mV’a ayarlanmıştır, ancak Set tıklanarak değiştirilebilir. Desibel kayıpları aşağıdaki gibi hesaplanmaktadır:

in

out

V

VdB 10log.20

Signal mode (AC veya DC)

Sine-wave düğmesi AC işaretin gerilim veya akımın etkin değerini (rms) ölçer. İşaretin Herhangi bir DC bileşenini eleyecektir, böylece işaretin yalnızca AC bileşenini ölçer.

DC düğmesi DC işaretin akım veya gerilim değerini ölçer. Not AC ve DC bileşenler ile gerilimin etkin değerini ölçmek için, AC voltmetre DC voltmetre gibi uygun düğümlere bağlanır ve AC ve DC gerilim ölçülür. Devredeki AC ve DC bileşenler olduğunda aşağıdaki formülle etkin (rms) gerilim hesaplamak için kullanılabilir. Bu genel bir formül değildir ve yalnızca Multisim ile bağlantıda kullanılmalıdır.

RMS gerilim 22

ACDC VV

Dâhili ayarlar – multimetre ayarlar iletişim kutusu İdeal ölçü aletlerinin ölçülen devre üzerinde hiçbir etkisi yoktur. Bir ideal voltmetre sonsuz direnci olmalıdır, böylece bir devreye bağlandığında ondan akım geçmeyecektir. Bir ideal ampermetre bir devrede direnç göstermemelidir. Gerçek ölçü aletleri bu ideallikte elde edilemez, böylece onlar okurlarken teorik olarak bir devre için hesaplanmış değerler ile çok yakın eşleşecektir, ancak asla kesin doğrulukta değildir. Multisim’de multimetre devre için ideale yakın değerler hesaplamak için yaklaşık sıfır ve sonsuz olan çok küçük ve çok büyük sayılar kullanır. Belirli bir durum için ancak, ölçü aletlerinin davranışı devre üzerinde onun etkisini modellemek için bu değerler değiştirilerek değiştirilebilir. (Değerler sıfırdan yüksek olmalıdır.) Örneğin, çok yüksek dirençli devrenin gerilimi test ediliyorsa voltmetrenin direnci arttırılır. Eğer çok küçük dirençli devrenin akımı ölçülürse ampermetrenin direnci azaltılır. Not Çok yüksek devre direncinde çok küçük ampermetre direnci matematiksel yuvarlama hatasına neden olabilir. Varsayılan dâhili ayarları görüntülemek için: 1. Set’i tıklayın. Multimeter Settings iletişim kutusu görünür. 2. İstenilen seçenekler değiştirilir. 3. Değişiklikleri kaydetmek için OK’i tıklayın. İptal etmek için Cancel’ı tıklayın.

7.5. Fonksiyon Jeneratörü

Fonksiyon jeneratörü sinüs, üçgen veya kare dalga kaynağı olan bir gerilim kaynağıdır. Bir devrede uyarı sinyalleri sağlamak için uygun ve gerçekçi bir yol sağlar. Dalga şekli değiştirilebilir ve frekans, genlik görev döngüsü (duty cycle) ve DC dengeleme denetlenebilir. Fonksiyon jeneraötrünün frekans aralığı ses ve radyo frekans işaretleri yanı sıra geleneksel AC sağlamak için yeterli büyüklüktedir. Fonksiyon jeneratörünün devreye dalga şekilleri uygulanabilen üç ucu vardır. Ortak uç işaretler için referans seviyesini sağlar. Aracı kullanmak için Instruments araç çubuğundaki Function Generator düğmesine tıklayın ve simgesini yerleştirmek için çalışma alanı üzerine tıklayın. Simge devreye fonksiyon jeneratörünü bağlamak için kullanılır. Ayarları girmek, ölçümü görmek ve kullanılan araç yüzünü açmak için simge üzerine çift tıklayın.

Page 131: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

123

Pozitif uç

Ortak veya nötr

Negatif uç

Bir dalga şekli seçin İşaret seçeneği seçenekleri seçilen dalga şekline bağlıdır

Topraktan bir işaret referansı vermek için, toprak bileşenine ortak ucu bağlayın. Pozitif uç (+) doğal ortak uçtan pozitif yönde bir dalga şekli sağlar. Negatif uç (-) negatif yönde bir dalga şekli sağlar.

7.5.1. Fonksiyon Jeneratörü Ayarları

Dalga şekli seçimi Çıkış olarak dalga şeklinin üç farklı türünden birini seçebilirsiniz. Dalga şeklini seçmek için Sinüs, üçgen veya kare dalga düğmesine tıklayın. Kare dalga için yükselme / düşme zamanı parametrelerini ayarlamak için: 1. Kare dalga düğmesine tıklayın. Set Rise / Fall Time düğmesi etkin olur. 2. Set Rise / Fall Time iletişim kutusunu görüntülemek için Set Rise / Fall Time düğmesini tıklayın. 3. İstediğiniz Rise / Fall Time’ı girin ve Accept’i tıklayın. İşaret seçenekleri

Frequency (1Hz – 999MHz) – üretilen işaretin saniye başına saykılının sayısı

Duty Cycle (%1 - %99) – üçgen veya kare dalganın açma periyodunun kesme periyoduna oranı. Bu seçenek sinüs dalga için etkin değildir.

Amplitude (1mV – 999kV) – kaynağın gerilim değeri, DC seviyeden tepe seviyeye ölçülen. Uçlar ortak ve pozitif veya negatif uca bağlı ise, dalganın tepeden tepeye ölçümü iki kez onun genliğidir. Eğer pozitif ve negatif uçllardan çıkış alınırsa, dalgaların tepeden tepeye ölçümü dört kere onun genliğidir.

Offset (-999kV ve 999kV) – alternatif işareti değiştirme hakkında DC seviyeyi denetler. Osiloskopun x ekseni boyunca dalga şekli 0 konumunun bir ofsetidir. (Y POS ayarı O olmak şartıyla). Negatif bir değer aşağı doğru kaydırır ise pozitif değer, DC seviyesini yukarı değiştirir. Ofset Genlik için ayarlanan birimleri kullanır.

7.6. Wattmetre

Wattmetre güç ölçer. Bu aktif gücün büyüklüğünü, yani, gerilim farkı ve bir devre içinde mevcut terminalleri içerisinden geçen akımın ürününü ölçmek için kullanılır. Sonuçlar Watt olarak gösterilir. Wattmetre ayrıca güç faktörünü gösterir, gerilim ve akım arasındaki farkı ölçerek ve onları birlikte çarparak hesaplar. Güç faktörü gerilim ve akım arasındaki faz açısının cosinüsüdür. Aracı kullanmak için Instruments araç çubuğunda Wattmeter düğmesi üzerine tıklayın. Ve çalışma alanına onun simgesini yerleştirmek için tıklayın. Simge wattmetreyi devreye bağlamak için kullanılır. Ayarları girmek ve ölçümleri görmek için kullanılan araç yüzünü açmak için simge üzerine çift tıklayın.

Yük ile paralel bağlanır.

Yük ile seri bağlanır.

Ortalama güç Güç faktörü (0 ve 1 arasında)

7.6.1. Wattmetre Bağlantısı

Wattmetre bağlantısının bir örneği aşağıdaki gibidir.

Page 132: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

124

7.7. Osiloskop

Bu aracı kullanmak için Instruments araç çubuğunda Ossilloscope düğmesini tıklayın ve onun simgesini yerleştirmek için çalışma alanına tıklayın. Osiloskopu devreye bağlamak için simge kullanılır. Ayarları girmek, ölçümleri görmek ve kullanılan araç yüzünü açmak için simgeye çift tıklayın.

Tetikleme uçları

Kanal A uçları Kanal B uçları Çift kanallı osiloskop elektronik sinyallerin büyüklüğünü ve frekans değişimlerini görüntüler. Bu zaman içinde bir veya iki işaretin gücünün bir grafiğini sağlayabilir veya bir dalga şeklini diğeri ile karşılaştırmaya olanak sağlar.

Grafik ekran Arka plan rengini tersler (Siyah ve beyaz arasında geçiş yapar) Bir Osiloskop (.scp), metin temelli ölçümler (.lvm) veya binary (.tdm) dosyası gibi sonuçları kaydeder Harici tetikleme

Dikey olarak okuma imleci 1

Dikey olarak okuma imleci 2

Düşey imleçlerde okumalar arasındaki fark

Not Eğer lvm veya tdm dosyaları olarak sonuçları kaydetmeyi seçerseniz, Data Resampling Settings iletişim kutusu görüntülenir. Osiloskopun Save düğmesi yanı sıra ayrıca Grapher penceresinden simülasyon sonuçlarını kaydedebilirsiniz.

Page 133: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

125

7.7.1. Osiloskop Ayarları

TimeBase

X ekseni üzerinde bölünmenin değeri X ekseni üzerinde işaretin başlama noktası

A izi ve B izi ekler.

Osiloskop ekranının eksenlerini belirler (Y/T zamana karşı gerilim büyüklüğüdür)

TimeBase, zamana karşı (Y/T) büyüklük karşılaştırıldığında osiloskopun yatay veya x ekseninin göstergesini denetler. Okunabilir bir görüntü elde etmek için AC kaynak veya fonksiyon jeneratörü üzerinde zaman kademesi frekans ayarına ters orantılı olarak, yüksek frekansta daha küçük (veya daha fazla büyütülmüş) zaman kademesini ayarlayın. Örneğin eğer 1kHZ’lik bir işaretin bir saykılını görmek istiyorsanız zaman kademesi 1milisaniye civarında olmalıdır. X Position Bu ayar x ekseni üzerinde işaretin başlama noktasını denetler. X Position 0 olduğunda işaret ekranın sol köşesinden başlar. Bir pozitif değer (örneğin 2,00) başlama noktasını sağa kaydırır. Bir negatif değer (örneğin -3,00) başlama noktasını sola kaydırır. Axes (Y/T, A/B ve B/A) Osiloskop ekranının eksenleri zamana karşı (Y/T) dalga şekli büyüklüğünü göstermekten diğer bir giriş kanalına (A/B veya B/A) karşı göstermeye anahtarlanabilir. Sonraki ayarlar Lissajous desenleri olarak bilinen frekans ve faz kaymalarını görüntüler veya bir histerezis döngüsü görüntüleyebilirsiniz. A kanalına karşı B kanalı karşılaştırıldığında (A/B) x ekseni gösterge B kanalının volt başına bölünme ayarı tarafından belirlenmektedir (veya tersi). Grunding Devre toprağa bağlı olduğu sürece osiloskobu topraklamaya gerek yoktur. Kanal A ve kanal B ayarları Gösterge

Y ekseni üzerinde gerilim başına bölünme

Yalnız kullanıldığında 180 işareti tersler. Zaman kademesi Add düğmesi ile birlikte kullanıldığında B işareti A işaretinden çıkarılır.

Y ekseni üzerinde başlangıç noktası

Giriş uç belirleyicileri

Bu ayar y ekseninin göstergesini belirler. Ayrıca A/B veya B/A seçildiğinde x eksenini denetler. Okunabilir bir görüntü elde etmek için kanalın beklenen gerilim ile ilgili ölçeğini ayarlayabilirsiniz. Örneğin, y ekseni 1V/Div’e ayarlandığında 3Voltluk bir AC giriş işareti Osiloskop ekranını düşey olarak doldurur. Eğer Scale ayarı artırılırsa dalga şekli daha küçük olacaktır. Eğer Scale ayarı azaltılırsa dalga şeklinin üstleri kesilecektir. Y Position Bu ayar y ekseni için başlangıç noktasını denetler. Y Position 0,00’a ayarlandığında başlangıç noktası x ekseni ile kesişmektedir. Y position 1,00’a arttırılırsa örneğin, 0 (başlangıç noktası) x ekseni üstündeki ilk bölünmeye taşınır. Y position -1,00’a azaltılırsa 0 x ekseni altındaki ilk bölünmeye taşınır.

Page 134: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

126

A ve B kanalları için Y position’u değiştirmek onların dalga şekillerini karşılaştırmada ayırmaya yardımcı olabilir. Input Coupling (AC, 0 ve DC) AC kuplaj ile bir işaretin yalnızca AC bileşeni görüntülenir. AC kuplaj osiloskobun probu ile seri bir kapasitör yerleştirme etkisi vardır. AC kuplaj kullanarak gerçek bir Osiloskop gibi görüntülenen ilk saykıl yanlıştır. İşaretin DC bileşeni hesaplanır ve ilk saykıl süresince ortadan kaldırılırsa dalga şekli doğru olacaktır. DC kuplaj ile işaretin AC ve DC bileşeninin toplamı görüntülenir. 0 seçilince Y position tarafından ayarlanan başlangıç noktasında bir düz referans hattı görünür. Not Osiloskop probu ile seri bir kuplaj kondansatörü bağlamayın. Osiloskop akım için bir yol vermeyecektir ve analiz kondansatör düzgün bağlanmadığı düşünülecektir. Bunun yerine AC kuplajı seçin. Trigger

Azalan kenar Tetikleme seviyesi Tetikleme işareti

Yükselen kenar Harici tetikleme ucu

Bu ayarlar bir dalga şekli osiloskop üzerinde ilk görüntülemesi altındaki şartları belirler. Trigger Edge Dalga şeklini pozitif eğim üzerinde veya yükselen kenarda görüntülemeyi başlatmak için yükselen kenar düğmesine tıklayın. Negatif eğim veya düşen kenar ile başlatmak için azalan kenar düğmesini tıklayın. Trigger level Tetikleme seviyesi görüntülenmeden önce dalga şekli tarafından kesilmiş olan osiloskobun y ekseni üzerindeki noktadır. İpucu Bir düz dalga şekli tetikleme seviyesini kesmeyecektir. Bir düz işaret görmek için tetkileme işaretinin Auto’ya ayarlı olduğundan emin olun. Trigger signal A ve B kanalları için giriş işaretine referans ile iç tetiklenebilir veya dış tetikleme ucundan bir işaret referansı ile tetiklenebilir. Eğer bir düz işaret bekleniyorsa veya eğer işaretler mümkün olduğu kadar görüntülenmek isitiyorsa Auto’yu seçin. Tetikleme seviyesi ile karşılaşıldığında osiloskobu tetikleyici bir tek geçiş yapmak için Sing. düğmesini kullanın. Osiloskobu tetikleme seviyesi yerine her zaman yenilemek için Nor. düğmesini kullanın. Eğer tetikleme ayarı yapmayı istemiyorsanız None düğmesini kullanın.

7.7.2. Osiloskop Sonuçlarını Görüntüleme

İmleci kullanma ve okumalar Dalganın tam değerlerini görüntülemek için istenilen değer görünene kadar düşey imleci sürükleyin. Ayrıca üzerinde sağ tıklayarak ve açılan pop-up menüsünü kullanarak kesin bir konuma imleci hareket ettirebilirsiniz. Ekranın altındaki kutu düşey imlecin sinüs dalgasıyla kesiştiği yerdeki prob bağlantılarında ve iki konum arasındaki fark gerilim ve zamanı gösterir. Bir devre etkinleştirildikten ve devre davranışı simüle edildikten sonra devre yeniden etkinleştirilmeksizin diğer düğümlere osiloskobun probları taşınabilir. Problar otomatik olarak taşındığında yeni düğümler için dalga şekli yeniden çizilir. Eğer simülasyon süresince veya simülasyondan sonra osiloskobun ince ayarlarsanız ekran otomatik olarak yeniden çizilir.

Page 135: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

127

Not Eğer Osiloskop ayarları ve analiz seçenekleri daha fazla ayrıntı sağlamak için değiştirilirse dalga şekli parazitli veya düzensiz görülebilir. Eğer öyleyse daha fazla ayrıntı almak için devreyi tekrar etkinleştirin. Ayrıca simülasyon zamanını artırarak dalga şeklinin hassasiyetini artırabilirsiniz.

7.8. Bode Çizici

Aracı kullanmak için Instruments araç çubuğundaki Bode Plotter düğmesi üzerine tıklayın ve simgeyi çalışma alanına yerleştirmek için tıklayın. Ayarları girmek için ve ölçümleri görmek için kullanılan araç yüzünü açmak için simgeye çift tıklayın.

Giriş uçları

Çıkış uçları

Bode çizici bir devrenin frekans cevabının bir grafiğini üretir ve filtre devrelerini analiz etmek için çok yararlıdır. Bode Çizici bir işaretin gerilim kazancını veya faz kaymasını ölçmek için kullanılır. Bir devreye Bode çizici eklendiğinde bir spektrum analiz gerçekleştirilir.

Genlik veya faz Düşey veya yatay eksen ayarları Örnekleme oranı seçer (Çözünürlük noktası)

Oklar yününde düşey imleci taşır +V -V(COM) +V -V(COM) Bir bode çizi (.bod) veya binary ölçüm (.tdm) dosyası olarak sonuçları kaydeder

Not Bode çizicinin Save düğmesi ile birlikte Grapher penceresindeki simülasyon sonuçlarını kaydedebilirsiniz. Bode çizici bir belirli spektrum üzerine frekans aralığı üretir. Devredeki herhangi AC kaynağın frekansı bode çizici ile etkilemez. Bununla birlikte devrede bir yerde yer almalıdır. Dikey ve yatay ölçeklerin ilk ve son değerler maksimum değere ayarlanmıştır. Bu değerler, farklı bir ölçekte çizim görmek için değiştirilebilir. Simülasyon tamamlandıktan sonra ölçek genişletilir veya kademe değiştirilirse, çizicide daha fazla ayrıntı almak için devreyi tekrar etkinleştimeniz gerekmektedir. Çoğu test cihazlarının aksine eğer bode çizicinin probları farklı düğümlere taşınırsa doğru sonuçlar sağlamak için devreyi yeniden etkinleştirmek gereklidir.

7.8.1. Bode Çizici Ayarları

Çözünürlük noktaları – iletişim ayarları iletişim kutusu Bode çizicinizin çözünürlüğünü ayarlamak için: 1. Settings Dialog ekranı için Set üzerine tıklayın. 2. Resolution Points’in istenilen sayısını girin ve Accept’i tıklayın.

Page 136: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

128

Büyüklük ve faz Magnitude iki düğüm arasındaki büyüklüğün V+ ve V-, (desibel olarak gerilim kazancı) oranını ölçer. Faz, iki düğüm arasındaki faz kaymasını (derece olarak) ölçer. Her ikisi frekansa (Hz) karşı çizilmiştir. Devrede V+ ve V- tek noktalar ise: 1. Pozitif IN ucu ve pozitif OUT ucu V+ ve V- konnektörlere takın. 2. Negatif IN ve OUT uçları bir toprak bileşenine takın. Eğer V+ (veya V-) bir bileşen karşısındaki büyüklük veya faz ise bileşenin her iki kenarına IN uçlarının ikisini de (veya OUT uçlarının ikisini) takın. Düşey ve yatay eksen ayarları

Sonuç değeri

Temel ayarlar Log = Logaritmik Lin = Lineer

Başlangıç değeri Base settings Frekans tepkisi analiz edildiğinde genel bir durum olarak, değerler büyük aralıkta karşılaştırıldığında logaritmik temel kullanılır. Örneğin, bir işaretin gerilim kazancı ölçülüyorsa desibel değeri aşağıdaki gibi hesaplanır:

in

out

V

VdB 10log.20

Temel ölçek logaritmikten (Log) lineere (Lin) devre tekrar etkinleştirilmeksizin değiştirilebilir. (Yalnız Logaritmik ölçek kullanılarak sonuç grafiği Bode çizimi olarak adlandırılır.) Yatay eksen ölçeği Yatay veya x ekseni her zaman frekansı gösterir. Onun ölçeği yatay eksen için başlangıç (I) ve sonuç (F) olarak belirlenir. Bir Frekans tepkisi analizi büyük bir frekans aralığı gerektirdiğinden, bir logaritmik olarak sıklıkla kullanılmaktadır. Not Yatay eksen ölçeği ayarlanırken başlangıç (I) frekans sonuç (F) frekansından daha az olmalıdır. Düşey eksen ölçeği Düşey eksen için birimler ve ölçek aşağıdaki tabloda gösterildiği gibi ölçülmüş olana ve kullanılan temele bağlıdır.

Ölçüm yaparken … Temel kullanırken … Asgari başlangıç değeri … Azami sonuç değeri …

Büyüklük (kazanç) Logaritmik -200 dB 200 dB

Büyüklük (kazanç) Lineer 0 10e+09

Faz Lineer -720 720

Gerilim kazancı ölçüldüğünde düşey eksen devrenin çıkış geriliminin onun giriş gerilimine oranını gösterir. Logaritmik temel için birimler desibeldir. Faz ölçüldüğünde düşey eksen her zaman derece olarak faz açısını gösterir. Birimler ne olursa olsun bode çizicinin denetimini kullanarak eksenler için başlangıç (I) ve sonuç (F) değerlerini ayarlayabilirsiniz.

7.8.2. Bode Çizici Sonuçlarını Görüntüleme

Çizim üzerinde herhangi bir noktada frekansın, büyüklüğün veya fazın okumasını elde etmek için bode çizicinin düşey imlecini taşıyın. Düşey imleç bode çizicinin ekranının sol kenarında bulunur.

Page 137: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

129

Düşey imleci görüntülemek için: 1. Bode çizici altındaki okları tıklayın veya ölçümü istediğiniz çizim üzerinde noktaya bode çizicinin

ekranının sol kenarından düşey imleci sürükleyin. Not Üzerinde sağ tıklayarak görüntülenen açılır pencereyi kullanarak imleci taşıyabilirsiniz. Bu özellik bode çizimi üzerinde -3 dB noktasında konumlandığında yararlıdır. Düşey imlecin ve izin kesiştiği büyüklük (veya faz) ve frekans oklar yanındaki kutlarda gösterilmiştir.

Düşey imleçteki frekans

Düşey imleçteki faz

7.9. Word Jeneratör

Bu aracı kullanmak için, Instruments araç çubuğundaki Word Generator düğmesine tıklayın ve simgesini çalışma alanına yerleştirmek için tıklayın. Simge devreye word jeneratörü bağlamak için kullanılır. Ayarları girmek ve ölçümleri görüntülemek ve kullanılan araç yüzünü açmak için simge üzerine çift tıklayın.

32 bit içerisinde en az önemli 16 bit Veri okuma ucu

32 bit içerisinde en önemli 16 bit Harici tetikleme ucu

Sayısal devrelere uyarıcı sağlamak için devre içerisine bitlerin desenlerini veya sayısal word’leri göndermek için word jeneratörü kullanın. Çıkış uçları – word jeneratörü simgesine karşılık gelir.

Tampon – kelime desenlerini kaydeder veya önceden ayarlanmış desenleri üretir.

7.9.1. Word Jeneratör Ayarları

Wordleri girme Word jeneratörün araç yüzünün sağ kenarında heksadesimal 00000000’dan FFFFFFFF aralığında sayıların satırları görünür (desimal-ondalık olarak 0 – 4.294.967.295). Ekranların sayısının türü Display

Page 138: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

130

kutusunda seçilen düğmeye bağlı olarak Hex, Dec, Binary veya ASCII olabilir. Her yatay satır bir word’ü ifade eder. Word jeneratör etkinleştirildiğinde bitlerin bir satırı aracın altında ilgili uçlara paralel gönderilir. Word jeneratörde bir bit değeri değiştirmek için düzenlemek istediğiniz sayısı seçin ve uygun sayı biçimini kullanarak onun alanında yeni değeri girin. Word jeneratör tarafından bir word iletildiği gibi aracın altında çıkış ucundan temsil eden çemberde her bitin değeri görüntülenir. Controls

Wordlerin sürekli bir akışını gönderir. Bir akışta bütün wordleri başlangıçtan bitişe kadar gönderir. Bir seferde bir word gönderir. Kaydedilmiş bir desen oluşturur veya kullanır.

Bir devreye 32 bit word’ü enjekte etmek için Step, Burst veya Cycle’ı tıklayın. Devreye bir seferde bir word iletmek için Step’i tıklayın. Dizideki bütün word’leri göndermek için burst’ı tıklayın. Cycle’ı tıklayarak Simulation araç çubuğundaki Stop simulation düğmesi tıklanarak durdurulabilecek olan word’lerin sürekli akışını gönderir. Belirli bir word’de kelimelerin akışını duraklatmak istediğinizde durma noktası ekleyin. Bir durma noktası eklemek ve girişi durdurmak için istediğiniz yerde tampon kaydırma listesindeki word’ü seçin, sağ tıklayın ve açılan pencereden Set Breakpoint’i seçin. Kaydırma listesinde bir durma noktası bir “durma işareti” etiketlenir. Bir durma noktasını kaldırmak için tampon kaydırma listesindeki mevcut durma noktası üzerine sağ tıklayın ve görünen açılır pencereden Delete Breakpoint’i seçin. Birden daha çok durma noktası kullanılabilir. Durma noktaları Cycle ve burst’un her ikisinde etkindir. Ayarlar iletişim kutusu Bir dosyaya word jeneratördeki girilen word desenlerini kaydetmenize ve önceden kaydedilmiş word desenlerini yüklemeye olanak sağlayan seçeneklerin bir ayarını görüntülemek için Set’e tıklayın. Ayrıca bu işlev desenleri oluşturmak veya ekranı temizlemek için faydalı kullanılabilir.

Önce kaydedilmiş desenleri açar Mevcut deseni bir dosya olarak kaydeder. Bütün word’leri hex 0000 değiştirir. Takip eden word’lerin 1 artarak veya azalarak desenini oluşturur. Çıkan binary word’lerin tek 1 sağa veya sola kaymış olduğu deseni oluşturur.

Yukarı veya aşağı sayma veya sağa veya sola kaydırma için başlangıç değeri girin.

Tampondaki hatların (wordlerin) sayısı

Triggering

Dâhili tetiklemeyi kullanın Harici tetikleme ucunu kullanın

İşaretin yükselen kenarı üzerinde tetikleme İşaretin azalan kenarı üzerinde tetikleme

Page 139: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

131

Word jeneratörünün girdi alanındaki devreye giriş tetiklemede word jeneratörün saatini kullanmak için Internal’i tıklayın. Tek word aktarılmasına neden olan her giriş döngüsü yerine dış uçtan girişi kullanmak için External’i kullanın. “Artan / azalan kenar” düğmelerini, artan veya azalan kenarına üzerinde word jeneratörü giriş sinyali tetikler olup olmadığını denetlemek için kullanın. Frequency and data Ready

Word jeneratörün saat frekansını Hz, kHz veya MHz’e ayarlayın. Her word bir saat saykılı süresince çıkış uçlarına yerleştirilir.

Word jeneratörden hazır veri olan bilinen devre sağlar.

7.10. Logic Analizör

Aracı kullanmak için Instruments araç çubuğunda Logic Analyzer düğmesi üzerine tıklayın ve simgesini çalışma alanına yerleştirmek için tıklayın. Simge devreye lojik analizörü bağlamak için kullanılır. Ayarları girmek ve ölçümleri görmek için kullanılan araç yüzünü açmak için simge üzerine çift tıklayın.

Giriş işaretleri için uçlar Harici saat

Tetik niteleyici

Saat niteleyici

Lojik analizör bir devrede 16 sayısal işarete varan seviyeleri gösterir. Bu lojik durumların hızlı veri toplaması ve büyük sistemlerin tasarımına yardım etmek için gelişmiş zamanlama analizi ve sorun gidermeyi geliştirmek için kullanılır.

Page 140: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

132

Simgenin sol tarafındaki 16 daire araç yüzü yatay satırlara karşılık ve uçlara karşılık gelir. Bir düğüme uç bağlandığında o daire siyah nokta ve düğümün ismi ve renk görüntüsü ile görüntülenir. Aksi takdirde uç dairesi siyah nokta olmadan görüntülenir. Devre etkinleştirildiğinde lojik analizör onun uçları üzerindeki giriş değerlerini kaydeder. Tetikleme işareti görüldüğünde lojik analizör ön ve son tetikleme verisini görüntüler. Veri zamanda kare dalga gibi görüntülenir. Üst satır kanal 1 için değerleri, sonraki satır kanal 2 için değerleri görüntüler. Mevcut word’ün her bitinin binary değeri araç yüzünün sol kenarı üzerindeki uçlarda görüntülenir. Zaman ekseni işaret ekranı iletişim kutusunun üst ekseni gibi görüntülenmektedir. Ayrıca iletişim kutusu iç saat işareti, harici saat işareti, harici işaret belirleyici işareti ve tetikleme niteleyici işaretini görüntüler. Tetiklemeden önce ve sonra saklanmış örneklerin sayısını belirlemek için Clock kutusunda Set’i tıklayın veya varsayılan araç ayarlarını kullanın. Lojik analizör ön tetikleme örneklerinin sayısını elde edene kadar veriyi depolar. Sonra tetikleme işareti görene kadar yeni örnekler olarak örnekleri atmaya başlar. Sonra tetikleme işareti son tetikleme örneklerinin değerine kadar örnekleri saklar. Örnekleme durduğunda zaman konumu otomatik olarak iki çarpı imlecin T1 ve T2, zaman konum değerlerini görüntüler. Ayrıca örnekleme durduğunda birinci çarpı imleç T1’i sıfır zamanın konumuna taşır. Eşik gerilimini değiştirmek için varsayılan araç ayarlarını kullanın.

7.10.1. Lojik Analizör Ayarları

Durdurma ve yeniden başlatma Devre simüle edilirken seçilen Simulate / Pause lojik analizörü ve devreyi duraklatır. Araç yüzünün altındaki kaydırma çubuğu kullanılarak lojik analizör yeniden çalıştırılmadıkça tetikleme koşulu karşılaşılan ilk örnekten herhangi bir periyotta lojik analizörün sonuçlarını görebilirsiniz. Eğer lojik analizörü yeniden başlatırsanız araç yeniden başlatıldığı zamandan ve tetikleme koşulu karşılandığında sonuçları görüntüleyebilirsiniz. Aracı yeniden başlatmak için Reset’i tıklayın. Bu eylem araçtaki bütün bilgileri temizleyecektir ve sonra Reset’e tıklandığında tetikleme koşulu karşılandığında örnekten yeni bilgiler depolamaya başlayacaktır. Lojik analizör tetiklenmediğinde saklanan veriyi silmek için Stop’u tıklayın. Eğer lojik analizör çoktan tetiklenmiş ve veri görüntüleniyorsa Stop’a tıklama aracı durduracak ve simülasyonun devamını sağlayacaktır. Araç durdurulduktan sonra tekrar veri saklamaya başlamak için lojik analizörü yeniden başlatmalısınız. Lojik analizörün ekranını temizlemek için Stop’u sonra Reset’i tıklayın. Ekran temizleme simülasyonu bozmaz. Reset’e tıkladıktan sonra tetikleme koşulu karşılandığı örnekten veri saklamaya başlayacaktır. Clock Setup Bir giriş örneği okunduğunda saati lojik analizöre bildirir. Saat dâhili ve harici olabilir. Saat ayarlarını ayarlamak için: 1. Lojik analizörün Clock alanında Set’i tıklayın. Clock Setup iletişim kutusu görüntülenir. İşaret modu hariciye bağlı Saat hızını ayarlayın. Örnekten önce ve sonra görüntülemek için verinin miktarını ayarlayın

Simülasyon hızı saat frekansına bağlı

2. Harici veya dâhili saat modunu seçin. 3. Dâhili saat hızını ayarlayın. Saat niteleyici, saat işareti filtreleyen bir giriş işaretidir. Eğer “x” ayarlıysa

niteleyici kapalıdır ve örnekler okunduğunda saat işareti belirlenir. Eğer 1 veya 0’a ayarlıysa saat işareti seçilmiş niteleyici işarete eşleştiğinde yalnızca okunur.

4. Verinin önce (pre-trigger samples) ve sonra (post-trigger samples) ne kadar görüntüleneceğini ayarlayın.

5. Accept’i tıklayın.

Page 141: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

133

Trigger Settings Lojik analizör, belirli bir word’ün okunması üzerine, word'ün birleşimi veya saat işaretinin artan kenarı veya azalan kenarı ile karşılaştığında tetikleme yapar.

16 bit kelime tetikleme üçe kadar kadar girebilirsiniz. A, B veya C’nin 21 birleşimi arasında seçin.

Tetikleme filtresi seçimi

Tetikleme wordünü veya word birleşimlerini üçe kadar belirlemek için: 1. Lojik analizörün Trigger kutusunda Set’i tıklayın. 2. Pozitive, Negative veya pozitif ve negatif saat kenarının her ikisini seçin. 3. Pattern A, Pattern B ve Pattern C etiket kutusunda tıklayın ve binary word girin. “x” 1 veya 0 anlamına

gelir. 4. Trigger Combinations açılır listesinden istenilen birleşimi seçin (birleşimlerin bir listesi aşağıda

görülmektedir). 5. Trigger Qualifier açılır listesinden istenilen tetikleme niteleyicisini seçin. Tetikleme niteleyicisi

tetikleme işaretini filtreleyen bir giriş işaretidir. Eğer “x” ayarlıysa niteleyici kapalıdır ve tetikleme işareti lojik analizör tetiklenince belirlenir. Eğer 1 veya 0 ayarlıysa lojik analizör seçilen tetikleme niteleyici ile tetikleme işareti eşleştiğinde yalnızca tetikenmektedir.

6. Accept’i tıklayın. Mümkün olan tetikleme birleşimleri:

A B C

A veya B A veya C B veya C

A veya B veya C A ve B A ve C

B ve C A ve B ve C A değil B

A değil C B değil C A sonra B

A sonra C B sonra C (A veya B) sonra C

A sonra (B veya C) A sonra B sonra C A sonra (B olmaksızın C)

7.11. Lojik Dönüştürücü

Aracı kullanmak için Instruments araç çubuğundaki Logic Converter düğmesine tıklayın ve simgesini yerleştirmek için çalışma alanına tıklayın. Simge devreye lojik dönüştürücüyü bağlamak için kullanılır. Ayarları girmek ve ölçümleri görüntülemek için kullanılan araç yüzünü açmak için simge üzerinde çift tıklayın. Bir devrenin temsilini veya sayısal işaretin birkaç dünüşümlerini lojik dönüştürücü gerçekleştirebilir. Bu sayısal bir devre için faydalı bir araçtır, ancak gerçek dünyada bir karşılığı yoktur. Devre şeklinden doğruluk tablosu veya Boolean ifadesini türetmek için bir devreye bağlanabilir veya bir doğruluk tablosundan veya Boolean ifadesinden bir devre üretmek için bağlanabilir.

Page 142: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

134

Girişler Belirli girişler için doğruluk tablosu Boolean ifade

Dönüştürme seçenekleri

0’dan 1’e veya X (geçiş) değiştirmek için tıklayın.

Uç için girişleri görüntülemek için onların altındaki etiketleri veya daireleri tıklayın.

7.11.1. Lojik Dönüştürücü Ayarları

Bir devreden bir doğruluk tablosu türetmek Bir devre şemasından bir doğruluk tablosu türetmek için: 1. Lojik dönüştürücünün giriş uçlarına devredeki sekiz düğüme kadar bağlayın. 2. Logic converter simgesindeki çıkış ucuna devrenin tek çıkışına bağlayın.

3. Circuit tu Truth Table düğmesine tıklayın. Devre için doğruluk tablosu lojik dönüştürücünün ekranında görüntülenir.

Bir doğruluk tablosu girme ve dönüştürme Bir doğruluk tablosu yapmak için: 1. Lojik dönüştürücünün üst kısmında A’dan H’ye kadar istediğiniz giriş kanallarına tıklayın. Aşağıdaki

ekran alanındaki uçlar giriş koşullarını yerine getirmek için birleşimleri bir ve sıfırlar ile doldurur. Sağdaki çıkış sütunundaki değerleri başlangıç olarak ayarı “?”’dir.

2. Her bir giriş koşulu ve istenilen çıkışı belirlemek için çıkış sütununu düzenleyin. Çıkış değerini değiştirmek için üç farklı ayar arasında anahtarlamak için üzerine tıklayın: “0”, “1” veya “X” (“X” işareti 0 veya 1 kabul edilebilir olduğunu gösterir).

Bir doğruluk tablosunu Boolean ifadesine dönüştürmek için Truth Table to Boolean Expression

düğmesine tıklayın. Boolean ifade lojik dönüştürücünün altında görüntülenir. Bir doğruluk tablosunu basitleştirilmiş Boolean ifadesine dönüştürmek için veya mevcut Boolean ifadeyi

sadeleştirmek için Simplify düğmesine tıklayın. Sadeleştirme daha çok bilindik Karnaugh haritalama tekniğinden ziyade Quine-McCluskey yöntemi tarafından gerçekleştirilir. Quine McCluksey değişkenlerin herhangi bir sayısı için kapsamlı olduğu kanıtlandı, ancak manüel çözümler için hantalken, Karnaugh haritalama yalnızca değişkenlerin küçük sayıları için çalışır ve insan sezgileri gerektirir. Not Boolean ifadesinin sadeleştirilmesi önemli ölçüde bir hafıza gerektirir. Eğer yeterli bellek mevcut değilse, Multisim’in bu işlemi tamamlaması mümkün olmayabilir. Bir Boolean ifadeyi girme ve dönüştürme Bir Boolean ifadesi altındaki toplam ürün veya ürün toplamları gösteriminin ikisini kullanarak lojik dönüştürücü kutusunda girilebilir. Bir boolean ifadeyi bir doğruluk tablosuna dönüştürmek için Boolean Expression to Truth Table düğmesini

tıklayın.

Bir Boolean ifadeyi bir devreye dönüştürmek için Boolean Expression to Circuit düğmesine tıklayın. Boolean ifadesi yerine lojik kapılar devre penceresinde görüntülenir. Yalnızca NAND kapıları kullanarak Boolean ifadesinin koşulları yerine bir devre görmek için Boolean

Expression to NAND düğmesine tıklayın.

Page 143: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

135

Not Sonuç devredeki kablonun genişliği Sheet Properties iletişim kutusunun Wiring sekmesindeki Wire Width ayarı tarafından belirlenir.

7.12. Distorsiyon Analizör

Aracı kullanmak için Instruments araç çubuğunda Distortion Analyzer düğmesine tıklayın ve simgeyi yerleştirmek için çalışma alanına tıklayın. Simge devreye distorsiyon analizörü bağlamak için kullanılır. Ayarları girmek ve ölçümleri görmek için kullanılan araç yüzünü açmak için simgeye çift tıklayın.

Tipik bir distorsiyon analizör ses işaretleri içeren 20Hz ile 100kHz aralığındaki işaretler için distorsiyon ölçümleri gerçekleştirir.

Bu etiket seçilmiş ölçüm türüne bağlı olarak değişir. Birimler sonuçları sunmak için kullanılır.

Yapılan ölçümlerin türleri ya Toplam Harmonik Distorsiyon (THD) veya işaret artı gürültü ve distorsiyondur (SINAD). Sonuçları ölçümün iki türünü gösterecek şekilde ayarlamak için Set’i tıklayın.

Sadece THD için – THD hesaplamak için kullanılan tanımı ayarlar (IEEE, ANSI/IEC’den biraz daha farklıdır.

7.12.1. Distorsiyon Analizör Ayarları

Harmonik distorsiyon Harmonik distorsiyon test frekansının harmonik işaretlerini üretir. Örneğin, 1kHz’lik işaret için harmonikleri 2kHz, 3kHz, 4kHz gibi olabilir. Çok keskin ayarlanabilir çentik (notch) harmonik bozulmayı ölçmek için gereklidir. Bu yalnız harmonikleri veya bozulmaları bırakarak 1kHz işareti kaldıracak olan 1kHz gibi test frekansına ayarlanmıştır. Distorsiyonu harmonikleri ölçülür ve ortaya çıkan değerle, genlik test sinyali karşılaştırılır. SINAD Ölçümün bu türü (işaret artı gürültü ve bozulma) / (gürültü ve bozulma) ‘nın oranı ölçülür.

7.13. Spektrum Analizör

Spektrum analizör, genliğe karşı frekansı ölçmek için kullanılır. Bir osiloskobun zaman etki alanında performansı kadar frekans alanında benzer bir işlevi gerçekleştirir. Bir frekans aralık içerisinde süpürülerek çalışır. Alıcının girişteki işaretinin büyüklüğü sinyalin frekansına karşı çizilir. Bu araç farklı frekanslarda işaretin gücünü ölçmenin yeteneğidir ve frekans bileşenlerin işaretin varlığını belirlemenize yardımcı olur. Spektrum analizör RF tasarım modülünün bir parçasıdır.

7.14. Network Analizör

Network analizör yüksek frekanslarda çalışmak üzere tasarlanmış bir devreyi karakterize etmek için yaygın olarak kullanılan bir devre dagilma parametrelerini (veya S-parametreleri), ölçmek için kullanılır. Bu S parametreleri diğer Multisim analizleri kullanılarak eşleşen hücreleri elde etmek için kullanılır. Network analizörde ayrıca H, Y, Z parametreleri hesaplanır.

Page 144: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

136

Devre iki bağlantı noktalı ağ olarak idealleştirilmiştir. Ağ analizörü düzgün kullanmak için, devre giriş ve çıkış portlarının açık bırakılmış olması gerekir. Simülasyon süresince network analizör alt devreler ekleyerek analiz edilen devreyi tamamlar. Diğer analiz ve simülasyonu gerçekleştirmeden önce devreden alt devreleri kaldırmak gerekir. Network analizör RF tasarım modülünün bir parçasıdır.

7.15. Ölçüm Probu

Measurement Probe kullanmak devredeki farklı noktalarda gerilimi, akımı ve frekans okumanlar kontrol etmek için hızlı ve kolay bir yoldur. Measurement Probe aşağıdaki gibi kullanılabilir:

Dynamic Probe – simülasyon süresince bir “uçuş” okuması elde etmek için herhangi bir kabloya probu sürükleyin.

Anlık gerilim Tepeden tepeye gerilim RMS gerilim DC Gerilim Frekans

Static probe – çoklu problar simülasyon süresince veya önce devredeki noktalara bağlanabilir. Bu problar sabit kalır ve başka bir simülasyonu çalıştırana kadar simülasyon verileri içerecektir veya veriler silinir. Buna göre değişik gerilim okumaları ve frekans okumaları dinamik problarda bulunur, statik problar ayrıca akım okumaları içerebilir. Variables in circuit listesindeki analizin Output sekmesinde listelenir.

Not Dinamik problar akım ölçemezler; ayrıca simülasyon çalıştırıldıktan sonra yerleştirilen statik problar akım ölçemez. Bu SPICE ağ listesi akım ölçmek için düzenlendiği içindir; bu simülasyon yeniden başlatıldığında yapılır.

7.15.1. Ölçüm probu ayarları

Bu bölüm dinamik ve statik probların özelliklerinin nasıl ayarlandığını konusunda talimatları içerir. 7.15.1.1. Dinamik Prob Ayarları Dinamik prob özelliklerini ayarlamak için: 1. Prob Properties iletişim kutusunu görüntülemek için Simulate / Dynamic Probe Properties’i seçin. 2. Display sekmesini tıklayın ve aşağıdaki Color kutusunu istenildiği gibi ayarlayın:

Background - probu metin penceresinde arka plan için geçerli olarak seçilen rengi burada görüntüler. System (Tooltip) veya Custom’u seçin. Custom için Select Color tıklayın ve görüntülenen Colors iletişiminden istenilen arkaplan rengini seçin.

Text - Probun metin penceresinde görüntülenen metin için geçerli olarak seçilen rengi görüntüler. System (Tooltip) veya Custom’u seçin. Custom için Select Color tıklayın ve görüntülenen Colors iletişiminden istenilen metin rengini seçin.

Not “Araç İpucu” bir araç düğmesinin üzerinde gezdirdiğinizde eğer imleç bağlı görünüyorsa metni ifade eder. 3. Size kutusunda Width ve Heigth’i girin veya bütün içeriğini göstermek için otomatik olarak yeniden

boyutlandırma bilgi kutusu olan Auto-Resize’ı etkinleştirin. 4. Tercihen probların metin penceresinde kullanılan yazı tipini değiştirmek için Font sekmesine tıklayın. 5. Parameters sekmesine tıklayın. 6. Tercihen, Use reference probe onay kutusunu etkinleştirin ve açılır listeden istenilen referans probunu

seçin (Bu devrede hazır olan statik probların bir listesi tarafından doldurulur). Dinamik ölçümler seçilen referans probu (yerine topraktan) başvuru yapılacaktır. Bu yöntem, örneğin, bir voltaj kazancı veya bir faz farkı görüntüleyebilir.

7. Bir parametreyi gizlemek için (örneğin Vpp), özelliği hayır olarak geçiş yapmak için Show sütununda istenilen parametreye tıklayın.

8. Parametre aralığını ayarlamak için minimum ve maksimum sütunlarını kullanın.

Page 145: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

137

9. İsteğe bağlı olarak Precision sütununda görüntülenen parametre için önemli basamakların sayısını değiştirin.

7.15.1.2. Statik Prob Ayarları Statik probun özelliklerini ayarlamak için: 1. Probe Properties iletişim kutusunu görüntülemek için istenilen prob üzerinde çift tıklayın. 2. Display sekmesini tıklayın ve aşağıda Color kutusunda istenildiği gibi ayarlayın:

Background - probu metin penceresinde arka plan için geçerli olarak seçili rengi burada görüntüler. System (Tooltip) veya Custom’u seçin. Custom için Select Color tıklayın ve görüntülenen Colors iletişiminden istenilen arkaplan rengini seçin.

Text - Probun metin penceresinde görüntülenen metin için geçerli olarak seçilen rengi görüntüler. System (Tooltip) veya Custom’u seçin. Custom için Select Color tıklayın ve görüntülenen Colors iletişiminden istenilen metin rengi seçin.

Not “Araç İpucu” bir araç düğmesinin üzerinde gezdirdiğinizde eğer imleç bağlı görünüyor metni ifade eder. 3. Size kutusunda Width ve Heigth’i girin veya bütün içeriğini göstermek için otomatik olarak yeniden

boyutlandırma bilgi kutusu olan Auto-Resize’ı etkinleştirin. 4. İstenildiği gibi aşağıdaki RefDes kutusunu ayarlayın:

RefDes alanı – seçilen prob için referans belirleyiciyi girin. (Varsayılan prob 1, prob 2 gibi).

Hide RefDes – seçilmiş prob için referans belirleyiciyi gizler.

Show RefDes – seçilmiş prob için referans belirleyiciyi gösterir.

Use global settings – Sheet Properties iletişim kutusunun Circuit sekmesindeki ayarı esas alan seçilmiş prob için referans belirleyiciyi gösterir veya gizler.

5. İsteğe bağlı olarak seçilmiş probun içeriğini gizlemek için Show popup window onay kutusunu etkisizleştirin.

Not Drawing Layer listesi prob görüntüsü olan katman üzerinde görüntülenir. Varsayılan katman static Probe’dur, ancak istenirse farklı bir katman seçilebilir. 6. İsteğe bağlı olarak probların metin penceresinde kullanılan yazı tipini değiştirmek için Font sekmesine

tıklayın. 7. Parameters sekmesine tıklayın. 8. İsteğe bağlı olarak Use reference probe onay kutusunu etkinleştirin ve açılır listeden istenilen

referans probu seçin (Bu o anda seçili olan devredeki probların bir listesi tarafından doldurulur). Şu anda seçilen prob alınan ölçümler seçilen referans probuna (öğütülmüş yerine) referans alınarak yapılacaktır. Bu yöntem kullanılarak örneğin, gerilim kazancı veya bir faz kayması görüntülenebilir. Eğer bu seçeneği seçerseniz probun RefDes’in yanında bir üçgen görünür.

“Use reference probe” seçeneği etkinleştirildiğinde belirir.

Not Referans probları yalnızca etkileşimli simülasyonda kullanmak içindir. 9. Bir parametre gizlemek için (örneğin, Vpp) özelliği hayır olarak geçiş yapmak için Show sütununda

istenilen parametreye tıklayın. 10. Parametre aralığını ayarlamak için minimum ve maksimum sütunlarını kullanın. 11. İsteğe bağlı olarak Precision sütununda görüntülenen parametre için önemli basamakların sayısını

değiştirin. 7.15.1.3. Ölçüm probu kullanma Dinamik prob Measurement probe dinamik olarak kullanmak için (bir noktada yerleştirilmemiştir):

Page 146: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

138

1. Devreyi etkinleştirmek için Simulate / Run seçin veya Run / Resume Simulation düğmesine tıklayın.

2. Instruments araç çubuğunda bulunan Measurement Probe düğmesine tıklayın. Prob şimdi fare

imlecine eklenir. 3. Ölçüm almak istediğiniz noktaya probu getirin. Okumalar dinamik prob ayarlarına dayalı olarak

Measurement Probe üzerinde görüntülenir.

Not Devre simülasyonu yapıldığında ve prob bir kablo veya uca yerleştirilmediğinde prob üzerinde okuma yoktur. 4. Probu etkisizleştirmek için Measurement düğmesine tıklayın veya ESC’ye basın. Not Ölçümün doğruluğu için devrenize bir toprak eklenmelidir. İpucu Simülasyon süresince herhangi bir kabloya probu yerleştirmek için tıklayabilirsiniz. Statik prob Bir statik (yerleşik) Measurement Probe bağlamak ve okuma almak için:

1. Instruments araç çubuğunda bulunan Measurement Probe düğmesindeki ok işaretine tıklayın ve

listede görünen aşağıdaki prob türlerinden birini seçin:

From dynamic probe settings – yerleşmiş prob Simulate / Dynamic Probe Properties komutu kullanılarak girilen ayarlar kullanılacaktır.

AC Voltage – yerleşmiş prob Vpp, Vrms, Vdc ve frekansı ölçecektir.

AC current – terleşmiş prob Ipp, Irms, Idc ve frekansı ölçecektir.

Instantaneous voltage and current – yerleşmiş prob V ve I ölçecektir.

Voltage with reference – Reference Probe iletişimi görünür. Açılır listeden (devrede hazır olan probların listesi tarafından doldurulur) istenilen referans probunu seçin. Yerleşmiş prob Vgain(dc), Vgain(ac) ve fazı ölçecektir. Eğer bu prob türü seçilirse, prob RefDes yanında üçgen görünür.

2. Devre üzerinde istenilen noktada probu yerleştirmek için tıklayın. 3. Devreyi etkinleştirmek için Simulate / Run’ı seçin veya Run / Resume Simulation düğmesi üzerine

tıklayın. 4. Probun penceresi veri ile doldurulur. Probun içeriğini gizlemek için: 1. Prob üzerine sağ tıklayın ve Show Content seçeneğini kaldırın. Yerleşmiş prob şimdi aşağıda

gösterildiği gibi ok işareti gibi görünür.

Yerleşmiş prob

Page 147: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

139

Probun içeriğini göstermek için üzerinde sağ tıklayın ve Show Content’i seçin.

7.16. Dört Kanallı Osiloskop

Dört kanallı osiloskop dört farklı girişi anlık olarak izlemek için olanak sağlar.

Toprak Harici tetikleme Giriş kanalları

Aracı kullanmak için Instruments araç çubuğundaki Four Channel Oscilloscope düğmesine tıklayın ve simgesini yerleştirmek için çalışma alanına tıklayın. Simge devreye dört kanallı osiloskobu bağlamak için kullanılır. Ayarları girmek ve ölçümleri görüntülemek için kullanılan araç yüzünü açmak için simge üzerine çift tıklayın.

7.16.1. Dört Kanallı Osiloskobun Ayarları

Bir simülasyon süresince, önce ve sonra osiloskobun altında bulunan ayarları değiştirebilirsiniz. Bazı durumlarda doğru sonuçları elde etmek ve simülasyonu yeniden çalıştırmak için gerekebilir. Ayarları değiştirmek için: 1. Timebase kutusundaki ayarları aşağıdaki gibi ayarlayın:

Scale alanı – zamana karşı büyüklük karşılaştırıldığında (Y/T) veya işaret eklendiğinde, örneğin A+B (Axes buttons aşağıda görün) osiloskobun yatay (x ekseni) göstergesini değiştirmek için bu alanda tıklayın.

X position alanı – x ekseni üzerinde işaretin başlama noktasını değiştirmek için bu alanda tıklayın. 0’a ayarlandığında işaret ekranın sol kenarından başlar. İki bölüm bu durumda, bir pozitif değer (örneğin, 2,00) başlama noktası sağa kayar. Üç bölüm bu durumda, başlama noktası bir negatif değere kadar (örneğin, -3,00) sola kayar.

Axes buttons –

Eksen düğmeleri

Y/T – zamana karşı (x ekseni) dalga şekli büyüklüğünü (y ekseni) görüntülemek için tıklayın. A/B – A giriş kanalına karşı B giriş kanalını göstermek için tıklayın. (A y ekseni üzerinde ve B x ekseni üzerindedir). Bu ayarlar Lissajous desenleri olarak bilinen faz kaymaları ve frekansı görüntüler veya bir histerezis düğümünü görüntüleyebilirler. Bu düğme seçildiğinde Scale ve X position alanları etkisizleşir (grileşir). Açılır menüyü görüntülemek için A/B düğmesi üzerinde sağ tıklayarak x ekseni ve y ekseni üzerinde temsil edilen kanalları değiştirebilirsiniz. İlk harfin y ekseni üzerinde temsil edildiğini ve ikinci harfin x ekseni üzerinde temsil edildiğini unutmayın. Menüden bir öğe seçildikten sonra seçiminizi yansıtmak için A/B düğmesinin etiketi değişecektir. Örneğin, eğer D/A’yı seçerseniz düğmede D/A okunacaktır.

Page 148: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

140

D/A seçilmiş Scale ve x position alanları etkisizleşir. Düğmenin etiketi D/A’ya değişir.

A+B – A izini B izine eklemek için tıklayın.

A+B düğmesi üzerine sağ tıklayarak ve görünen açılır menüden bir seçim yaparak birlikte eklenen kanalları değiştirebilirisiniz.

2. Aşağıdaki gibi Channel_A’daki ayarları ayarlayın:

Çevirmeli kadran – Scale ve Y position alanlarındaki ayarları ve giriş kuplaj düğmeleri olan giriş kanalını seçmek için çevirmeli düğme üzerine tıklayın ve sürükleyin. Çevirmeli düğme hareketi ile kutunun isminin değiştiğine dikkat edin.

Kanalı seçmek için çevirmeli düğmesi döndürün

Scale alanı – bölüm başına gerilimin sayısını değiştirmek için buraya tıklayın. Bu ayar y ekseninin göstergesini belirler. Ayrıca Timebase kutusunda A/B düğmesi seçildiğinde x ekseni göstergesini denetler.

Not Simülasyon çalıştırıldığında görüntülenen kanalda seçilen Scale grafik üzerinde kullanılan göstergedir. Örneğin, eğer çevirmeli kanal ile kanal B seçilmişse ve Scale 5V/Div’e ayarlıysa grafikteki bütün kanallar A,C ve D 2V/Div’e ayarlı olsa bile 5V/Div’de gösterilecektir.

Y position alanı – y ekseni üzerinde işaretin başlama noktasını değiştirmek için bir alanda tıklayın. 0’a ayarlandığında işaret x ekseni ile kesişerek başlar. Bir pozitif değer (örneğin, 2,00) başlama noktası iki bölüm bu durumda x ekseninin üstüne kayar. Bir negatif değer (örneğin, -3,00) üç bölüm bu durumda başlama noktası x ekseninin altına kayar. Her iz için (kanal) farklı Y position ayarı kullanılarak bireysel dalga şeklini ayırmaya yardım eder.

Giriş kuplaj düğmeleri: Giriş kuplaj düğmeleri

Page 149: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

141

AC düğme – bir işaretin yalnızca AC bileşenini görüntülemek için tıklayın. Bu osiloskobun probuna seri bir kondansatör yerleştirme etkisi vardır. AC kuplaj kullanılarak gerçek bir osiloskop gibi görüntülenen ilk saykıl yanlıştır. İlk saykılda işaretin DC bileşeni hesaplandıktan ve ortadan kaldırıldıktan sonra dalga şekli doğrudur. 0 düğmesi – Y position başlangıç ayarı noktasında bir düz referans hattı görüntülemek için tıklayın. DC düğmesi – işaretin AC ve DC bileşenlerinin toplamını görüntülemek için tıklayın.

– düğmesi – seçilen kanalın izini 180 terslemek için tıklayın. Not Osiloskop probu ile seri bir kuplaj kondansatörü yerleştirilmemiştir. Osiloskop akım için bir yol sağlamayacaktır ve analiz kondansatörün hatalı biçimde bağlandığını dikkate alacaktır. Bunun yerine AC’yi seçin. 3. Trigger kutusundaki ayarları aşağıdaki gibi ayarlayın:

Yükselen kenar Azalan kenar Tetikleme düğmeleri

Edge düğmeleri – pozitif eğim ve yükselen işareti üzerinde işareti görüntülemeye başlamak için yükselen kenar düğmesine tıklayın. Negatif eğim ve düşen işaret ile başlamak için azalan kenar düğmesine tıklayın.

Level alanları – sol alanda tetikleme seviyesini ve sağ alanda ölçün birimini girin. Tetikleme seviyesi görüntülenmeden önce dalga şekli tarafından geçmesi gereken y ekseni üzerindeki noktadır. Düz bir dalga şekli tetikleme seviyesini geçmeyecektir. Düz bir işareti görmek için tetikleme işaretinin Auto’ya ayarlı olduğundan emin olun.

Tetikleme düğmeleri: Sign düğme – tetikleme seviyesiyle karşılaşıldığında osiloskop tetikleme ile bir geçiş yapması için tıklayın. Osiloskop yüzünün sonunda izi aldıktan sonra Sign’e tekrar tıklayana kadar değişmeyecektir. Nor düğmesi – tetikleme seviyesi karşılaşıldığı her zaman osiloskobu yenilemek için tıklayın. Auto düğmesi – düz bir işaret görüntülemek için veya mümkün olduğu kadar işareti görüntülemek istiyorsanız tıklayın. Eğer Auto seçilmişse A ve Ext düğmeleri etkisizleşir (grileşir). A düğmesi – bu Sing veya Nor seçilmişse etkindir. Eğer seçilmişse tetikleme kanal A’ya referansı dâhilidir. Eğer dâhili referans kanalını değiştirmek istiyorsanız açılır menüyü görüntülemek için A üzerine sağ tıklayın ve istediğiniz kanalı seçin. Düğme üzerindeki etiket seçiminizi yansıtmak için değişir.

Açılır menüde seçilmiş kanal düğme üzerinde yansıtılır.

None düğmesi – tetikleme ayarı yoktur. Ext düğmesi – harici bir kaynaktan osiloskobun tetiklemesini istiyorsanız tıklayın.

4. İsteğe bağlı olarak aşağıdaki dosya biçimlerinden birinde sonuçları kaydetmek için Save üzerine

tıklayın.

Scope data (*.scp) – Multisim osiloskop dosya biçimi

LabVIEW ölçüm dosyaları (*.lvm) – National Instruments LabVIEW dosyası oluşturulur.

DIAdem dosyaları (*.tdm) – National Instruments DIAdem dosyaları oluşturulur. DIAdem dosyası olarak veri sakladığınızda oluşturulan iki dosyanın; bir başlık dosyası (.tdm) ve binary dosyası (.tdx) olduğuna dikkat edin.

Page 150: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

142

Not Eğer .lvm veya .tdm dosyalarını seçerseniz Data resampling settings iletişim kutusu görüntülenir. Ayrıca osiloskopta bulunan Save düğmesi ile birlikte Grapher penceresinden simülasyon sonuçlarını kaydedebilirsiniz.

7.16.2. Dört Kanallı Osiloskop Sonuçlarını Görüntüleme

Bu bölüm dört kanallı osiloskobun kullanımını göstermek için aşağıdaki devreyi kullanır. Bu devre dört farklı noktaya bağlı osiloskop ile testere dişli dalga şekli jeneratörüdür.

Okumaları alma Dört kanallı osiloskop ile okumalar almak için:

1. Devreyi etkinleştirmek için Simulate / Run’ı seçin veya Run / resume Simulation dümesine tıklayın. 2. Araç yüzünü açmak için dört kanallı osiloskobun simgesine çift tıklayın. Not Simülasyonu çalıştırmadan önce, simülasyon sırasında ve sonra herhangi bir zamanda aracı açabilirsiniz. 3. Birkaç saniye sonra simülasyon durur veya duraklatılır. İsteğe bağlı olarak beyaz arkaplan ile ekranı

göstermek için reverse tıklayın. Osiloskop ekranı üzerinde dört iz A’dan D’ye dört giriş kanalını temsil etmektedir. İzler kablolar için seçilmiş renklerle aynıdır.

Page 151: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

143

Not Osiloskobun ayarlarını değiştirmek veya verileri görüntülemek için simülasyonu durdurmak veya duraklatmak gerekli değildir. Veri görüntüleme Simülasyondan önce, sonra veya simülasyon sırasında veri görüntüleme ayarlarını değiştirebilirsiniz. Dört kanallı osiloskobun ekranı üzerinde veri görüntülemek için: 1. Sol grafik imleci (“1” etiketli) üzerine fare imlecini yerleştirin ve eğriler üzerinde bir noktaya grafik

imleci sürükleyin. İmlecin eğrileri geçtiği noktaları yansıtmak için osiloskobun T1 bölümündeki verinin değiştiğine dikkat edin. Ayrıca (“2” etiketli) grafiğin sağ imlecini eğri üzerinde istediğiniz noktaya sürükleyebilirsiniz. Bunu yaptığınızda osiloskobun T2 satırındaki veri değişir.

(“1” etiketli) sol imleç (T1) sol imleç için veri (T2) sağ imleç için veri

(“2” etiketli) sağ imleç Kanal A Kanal B Kanal C Kanal D

2. Ayrıca grafik imlecini taşımak için sol ve sağ okları kullanabilirsiniz.

(T1) sol imleci taşımak için tıklayın: Sağa Sola (T2) sağ imleci taşımak için tıklayın: Sola Sağa

3. Açılır menüyü görüntülemek için üzerinde sağ tıklayarak belirli bir eğri üzerinde grafik imleci belirli bir

x veya y değerine taşıyabilirsiniz.

Page 152: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

144

7.16.3. Dört Kanallı Osiloskobun Bağlantısı

Dört kanallı osiloskobu bağlamak için:

1. 4 Channel Oscilloscope araç düğmesine tıklayın ve devrenize istediğiniz konuma aracı yerleştirin. 2. Aşağıdaki uç haritasını takip ederek devrenizde istediğiniz noktaya osiloskobu bağlayın.

Toprak Harici tetikleme Giriş kanalları

3. Osiloskoba dört giriş kanalına kablo bağlamak için farklı renkler seçin. Osiloskop üzerinde görünen

dört kanalın izleri bu adımda seçilen renkler ile temsil edilecektir.

A kanalına bağlı kablo üzerine sağ tıklayın ve görüntülenen açılır menüden Segment Color’u seçin. Color iletişim kutusu görünür.

Kablo için istediğiniz renk üzerine tıklayın ve OK’i tıklayın.

B – D kanalları için tekrarlayın. Şimdi aşağıdakine benzer bir biçimde dört kanallı bir osiloskop bağlı bir devre vardır.

Page 153: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

145

7.17. Frekans Sayıcı

Frekans sayıcı işaret frekansını ölçmek için kullanılır. Aracı kullanmak için Instruments araç çubuğundaki Frequency Counter düğmesine tıklayın ve simgeyi yerleştirmek için çalışma alanına tıklayın. Simge frekans sayıcı, devreye bağlamak için kullanılır. Ayarları girmek ve ölçümleri görüntülemede kullanılan araç yüzünü açmak için simge üzerine çift tıklayın.

7.17.1. Frekans Sayıcının Kullanımı

Frekans sayıcıyı kullanarak bir devre ölçümü için: 1. Frequency Counter araç düğmesine tıklayın ve devrenizde istediğiniz konuma simgeyi yerleştirin.

Ölçümü istediğiniz devredeki noktaya frekans sayıcıyı bağlayın.

Not Bu örnek frekans sayıcıya bir işaret sağlamada AC kaynak kullanılır. 2. Araç yüzünü açmak için simge üzerine çift tıklayın.

3. Aşağıdaki denetimleri kullanarak istediğiniz gibi okumak için aracı ayarlayın:

Measurement kutusu: Freq düğmesi – frekans ölçmek için tıklayın. Pulse düğmesi – pozitif ve negatif darbe süresini ölçmek için tıklayın. Period düğmesi – bir tek saykılın süresini ölçmek için tıklayın. Rise / Fall düğmesi – bir tek saykılın yükselme ve düşme zamanlarını ölçmek için tıklayın.

Coupling kutusu: AC düğmesi – bir işaretin yalnız AC bileşenini görüntülemek için tıklayın. DC düğmesi – işaretin AC ve DC bileşenlerinin toplamını görüntülemek için tıklayın.

Sensitivity (RMS) kutusu – sol alanda duyarlılığı ve sağ alanda ölçümün birimini girin. Trigger Level kutusu – sol alanda tetikleme seviyesi ve sağ alanda ölçümün birimini girin. Tetikleme seviyesi okuma görüntülenmeden önce dalga şekli tarafından elde edilmesi gereken noktalardır.

Page 154: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

146

Not Yukarıdaki ayarlar simülasyondan önce, sonra ve simülasyon süresince değiştirilebilir. 4. Simulate / Run’ı seçin. Freq düğmesi seçildiğinden bu yana frekans sayıcının bağlı olduğu noktadaki

frekans görünür.

Frekans

5. Diğer okumalar aşağıdaki gibi elde edilebilir:

Darbe Pozitif darbe süresi Seçilmiş düğme

Negatif darbe süresi

Periyot Bir tek saykılın süresi Seçilmiş düğme

Yükselme / Düşme Bir tek saykılın yükselme zamanı Seçilmiş düğme

Bir tek saykılın düşme zamanı

7.18. IV Analizör

IV analizör aşağıdaki elemanların akım – gerilim eğrilerini ölçmek için kullanılır:

Diyot

PNP BJT

NPN BJT

PMOS

NMOS

Page 155: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

147

Not IV analizör bir devreye bağlanmayan tek bileşeni ölçer. Öncelikle bunların bağlantılarını keserek devrede olan elemanları ölçebilirsiniz.

7.18.1. IV Analizörün Kullanımı

IV Analizörü elemanların karakteristiklerini kullanmak için: 1. IV Analysis araç düğmesine tıklayın, çalışma alanına simgesini yerleştirin ve aracı açmak için

simgesine çift tıklayın. 2. Components açılır listesinden analiz edilecek olan elemanın türünü seçin, örneğin PMOS.

Not Bu örnekte bir PMOS FET incelenmiştir. 3. Çalışma alanına istenilen elemanı yerleştirin ve araç yüzünde gösterilen aşağıdaki sembol haritasına

göre IV Analizörü bağlayın.

Sembol haritası Bileşen açılır listesindeki seçilen elemana bağlı olarak değişir

Not Bir devrede mevcut olan bir elemanı kontrol ederseniz devreden bağlantısını kesin ve aşağıdaki gösterildiği gibi IV Analizörü ona bağlayın. 4. Simulate Parameters iletişim kutusunu görüntülemek için Sim_Param’a tıklayın. Not İletişim kutusunun içeriği Components açılır listesinde seçilmiş elemana bağlı olarak değişir.

İsteğe bağlı olarak Source Name: V_ds kutusunda aşağıdaki alanda görünen Vds için varsayılan ayarları değiştirin:

Start – sol bölümde süpürme için istenen başlama Vds’yi girin ve sağ bölümde ölçümün birimini girin.

Stop – sol bölümde süpürme için istenen durma Vds’yi girin ve sağ bölümde ölçümün birimini girin.

Page 156: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

148

Increment – sol bölümde süpürme için istenen Vds adımlarının boyutlarını girin ve sağ bölümde ölçümün birimini girin. Bu adımlarla biçimlendirilmiş noktalar grafik üzerinde eğrileri biçimlendirmede hesaplanmış ölçümlerdeki noktalar olacaktır.

İsteğe bağlı olarak Source Name: V_gs kutusunda aşağıdaki alanlarda görünen Vgs (kapı kaynak gerilimi) için varsayılan ayarları değiştirin:

Start – sol bölümde süpürme için istenen başlama Vgs’yi girin ve sağ bölümde ölçümün birimini girin.

Stop – sol bölümde süpürme için istenen durma Vgs’yi girin ve sağ bölümde ölçümün birimini girin.

Num steps – süpürme için Vgs’nin adımlarının istenen sayısını girin. Vgs’nin her değeri için bir eğri olacaktır.

Normalize Data onay kutusu – eğride (x ekseni) Vds değerleri pozitif değerler ile görüntülenir. Ayarları kaydetmek için OK’i tıklayın ve IV Analizör iletişim kutusuna dönün. 5. İsteğe bağlı olarak Lin’den Log’a Current Range (A) ve Voltage Range (V) kutularında varsayılan

gösterge düğmelerini değiştirin. Bu örnekte her iki ayar Lin’de bırakılmıştır. Not Başlangıç (I) ve bitiş (F) akım ve gerilim ayarlarını değiştirmeye gerek yoktur. Simülasyon çalıştırıldıktan sonra bu alanlar eğriyi tam olarak görüntülenmek için doldurulur. 6. Simulate / Run’ ı seçin. Eleman için IV eğri görüntülenir. Eğer istenirse ekranı beyaz arka plana

değiştirmek için Reverse üzerine tıklayın.

İsteğe bağlı olarak grafik üzerinde simülasyonun sonuçlarını görüntülemek için View/Grapher’i seçin. 7.18.1.1. Simülasyon Parametreleri İletişim Kutusu Yukarıda 4 adımda açıklanan Simulate Parameters iletişim kutusu Components açılır listesinde seçilen elemana bağlı olarak değişir. Bu bölüm diğer bileşen türleri seçildiğinde iletişim kutusunda görünen veriyi açıklar. Diyot parametreleri IV Analyzer iletişim kutusunun Components açılır listedinde diyot seçildiğinde ve Sim_Param. tıklanınca görünen Simulate Parameters iletişim kutusu aşağıdaki veri ile doldurulur.

Bu kutu diyot ölçümleri için etkisizdir.

Page 157: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

149

İsteğe bağlı olarak Source Name: V_pn kutusunda aşağıdaki alanlarda görünen varsayılan ayarları değiştirin:

Start – sol bölümde süpürme için istenen başlama Vpn girin ve sağ bölümde ölçümün birimini girin.

Stop – sol bölümde süpürme için istenen durma Vpn girin ve sağ bölümde ölçümün birimini girin.

Increment – sol bölümde süpürme için Vpn adımlarının istenen boyutunu girin ve sağ bölümde ölçümün birimini girin. Bu adımlarla biçimlendirilmiş noktalar grafik üzerindeki eğrilerden hesaplanacak olan ölçümlerdeki noktalar olacaktır.

PNP BJT parametreleri IV Analyzer iletişim kutusunun Components açılır listesindeki BJT PNP seçildiğinde ve Sim_Param. tıklandığında görünen Simulate Parameters iletişim kutusu aşağıdaki veri ile doldurulur.

İsteğe bağlı olarak Source Name: V_ce kutusunda aşağıdaki alanlarda görünen Vce (kollektör emiter gerilimi) için varsayılan parametreleri değiştirin:

Start – sol bölümde süpürme için istenen başlama Vce girin ve sağ bölümde ölçümün birimini girin.

Stop – sol bölümde süpürme için istenen durma Vce girin ve sağ bölümde ölçümün birimini girin.

Increment – sol bölümde süpürme için Vce adımlarının istenen boyutunu girin ve sağ bölümde ölçümün birimini girin. Bu adımlarla biçimlendirilmiş noktalar grafik üzerindeki eğrilerden hesaplanacak olan ölçümlerdeki noktalar olacaktır.

İsteğe bağlı olarak Source Name: I_b kutusunda aşağıdaki alanlarda görünen Ib (beyz akımı) için varsayılan parametreleri değiştirin:

Start – sol bölümde süpürme için istenen başlama Ib’yi girin ve sağ bölümde ölçümün birimini girin.

Stop – sol bölümde süpürme için istenen durma Ib’yi girin ve sağ bölümde ölçümün birimini girin.

Num steps – Ib’nin adımlarının istenen sayısını girin. Ib’nin her değeri için bir eğri olacaktır.

Normalize Data onay kutusu – Eğri üzerindeki (x ekseni) Vce değerleri pozitif değerler ile görünür. NPN BJT Parametreleri IV Analyzer iletişim kutusunun Components açılır listesindeki BJT NPN seçildiğinde ve Sim_Param. tıklandığında görünen Simulate Parameters iletişim kutusu aşağıdaki veri ile doldurulur.

İsteğe bağlı olarak Source Name: V_ce kutusunda aşağıdaki alanlarda görünen Vce (kollektör emiter gerilimi) için varsayılan parametreleri değiştirin:

Start – sol bölümde süpürme için istenen başlama Vce girin ve sağ bölümde ölçümün birimini girin.

Stop – sol bölümde süpürme için istenen durma Vce girin ve sağ bölümde ölçümün birimini girin.

Increment – sol bölümde süpürme için Vce adımlarının istenen boyutunu girin ve sağ bölümde ölçümün birimini girin. Bu adımlarla biçimlendirilmiş noktalar grafik üzerindeki eğrilerden hesaplanacak olan ölçümlerdeki noktalar olacaktır.

Page 158: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

150

İsteğe bağlı olarak Source Name: I_b kutusunda aşağıdaki alanlarda görünen Ib (beyz akımı) için varsayılan parametreleri değiştirin:

Start – sol bölümde süpürme için istenen başlama Ib’yi girin ve sağ bölümde ölçümün birimini girin.

Stop – sol bölümde süpürme için istenen durma Ib’yi girin ve sağ bölümde ölçümün birimini girin.

Num steps – Ib’nin adımlarının istenen sayısını girin. Ib’nin her değeri için bir eğri olacaktır.

Normalize Data onay kutusu – Eğri üzerindeki (x ekseni) Vce değerleri pozitif değerler ile görünür. PMOS FET Parametreleri PMOS FET kullanıdığında Simulate Parameters iletişim kutusundaki ayrıntılar IV Analyzer kullanımı konusunda daha önce anlatılmıştı. NMOS FET Parametreleri IV Analyzer iletişim kutusunun Components açılır listesindeki NMOS seçildiğinde ve Sim_Param. tıklandığında görünen Simulate Parameters iletişim kutusu aşağıdaki veri ile doldurulur.

İsteğe bağlı olarak Source Name: V_ds kutusunda aşağıdaki alanlarda görünen Vds (oluk kaynak gerilimi) için varsayılan parametreleri değiştirin:

Start – sol bölümde süpürme için istenen başlama Vds girin ve sağ bölümde ölçümün birimini girin.

Stop – sol bölümde süpürme için istenen durma Vds girin ve sağ bölümde ölçümün birimini girin.

Increment – sol bölümde süpürme için Vds adımlarının istenen boyutunu girin ve sağ bölümde ölçümün birimini girin. Bu adımlarla biçimlendirilmiş noktalar grafik üzerindeki eğrilerden hesaplanacak olan ölçümlerdeki noktalar olacaktır.

İsteğe bağlı olarak Source Name: V_gs kutusunda aşağıdaki alanlarda görünen Vgs (kapı kaynak gerilimi) için varsayılan parametreleri değiştirin:

Start – sol bölümde süpürme için istenen başlama Vgs girin ve sağ bölümde ölçümün birimini girin.

Stop – sol bölümde süpürme için istenen durma Vgs girin ve sağ bölümde ölçümün birimini girin.

Num steps – sol bölümde süpürme için Vgs adımlarının istenen boyutunu girin ve sağ bölümde ölçümün birimini girin. Bu adımlarla biçimlendirilmiş noktalar grafik üzerindeki eğrilerden hesaplanacak olan ölçümlerdeki noktalar olacaktır.

7.18.2. IV Analizör Veri Yeniden Görüntüleme

IV analiz çalıştıktan sonra, aşağıdakine benzer bir grafik olacaktır. İmlecin eğrinin herhangi bir yerinde olmadığına ve grafiğin altındaki üç alanın boş olduğuna dikkat edin.

İmleç

Veri yok

Page 159: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

151

Not Bu bölüm NPN BJT’nin analizinden veri kullanır. IV Analyzer iletişim kutusundaki veriyi görüntülemek için: 1. Grafik imleci üzerine farenin imlecini yerleştirin ve eğri üzerinde bir noktaya grafik imlecini sürükleyin.

Şimdi grafiğin üstünde üç alan 1mA’lik temel akımına (Ib) karşılık gelen veri içerir. Ib (1mA) grafiğin altındaki eğri tarafından temsil edilmektedir.

Fare imleci Grafik imleci

Seçilmiş eğri Ib=1mA’dir. İmleçteki gerilim (x ekseni) İmleçteki akım (y ekseni) 2. Diğer bir eğriyi seçmek için, örneğin Ib=2mA, fare imlecinizle eğri üzerine tıklayın. Şimdi grafiğin

altındaki alanlar seçilmiş eğrinin grafik imleciyle kesiştiği noktadan veri içerir.

Seçilmiş eğri Ib=2mA’dir. İmleçteki gerilim (x ekseni) İmleçteki akım (y ekseni) 3. Grafik imlecini taşımak için aşağıda işaret edildiği gibi sol ve sağ ok işaretlerini kullanabilirsiniz.

İmleci sola taşımak için tıklayın. İmleci sağa taşımak için tıklayın.

Page 160: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

152

4. Açılır menüyü görüntülemek için üzerinde sağ tıklayarak seçilen eğri üzerinde belirli bir x veya y

değeri için grafik imlecini taşıyabilirsiniz.

5. Grafiğin belirli bir alanını görüntülemek için Current Range (A) ve Voltage Range (V) kutularında veriyi değiştirin.

Current Range (A) (y ekseni)

I alanı – sol alanda grafik üzerinde görüntülenecek olan başlangıç akımı girin ve sağ alanda ölçümün birimini girin. F alanı – sol alanda grafik üzerinde görüntülenecek olan bitiş akımı girin ve sağ alanda ölçümün birimini girin.

Voltage Range (V) (x ekseni) I alanı – sol alanda grafik üzerinde görüntülenecek olan başlangıç gerilimini girin ve sağ alanda ölçümün birimini girin. F alanı – sol alanda grafik üzerinde görüntülenecek olan bitiş gerilimini girin ve sağ alanda ölçümün birimini girin.

6. Aşağıdaki örnekte grafik Ib=2mA, y ekseni üzerinde 75mA’den 175mA’e, x ekseni üzerinde

100mV’tan 1,25V’a görüntülemek için ayarlanır.

7.19. Agilent Simüle Edilmiş Cihazlar

Multisim’de aşağıdaki sanal simüle edilmiş Agilent cihazları içermektedir:

Fonksiyon jeneratörü 33120A

Multimetre 34401

Osiloskop 54622D

Page 161: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

153

Agilent Teknolojileri 33120A, yerleşiği keyfi dalga özelliğine sahip yüksek performanslı bir 15 MHz sentezlenmiş fonksiyon jeneratörüdür.

Agilent Technologies 34401A Multimetre, 6 1/2-digit, yüksek performanslı dijital multimetre.

Agilent Technologies 54622D Osiloskop 2-kanal +16 lojik, 100-MHz kanal bant genişliği osiloskop.

7.20. Tektronix Simüle edilmiş osiloskop

Simüle Tektronix TDS 2024 4-kanal, 200-MHz osiloskop.

7.21. Voltmetre

Voltmetre bir devrede gerilim ölçmek için multimetre üzerinde avantajlar sağlar.

7.21.1. Voltmetre Kullanımı

Direnç (1,0ohm – 999,99 Tohm) Voltmetre bir devre üzerinde etkisi genel olarak olmayan çok yüksek (1Mohm(+)) direnç sağlar. Çok yüksek dirence sahip bir devreyi test ediyorsanız daha doğru okuma yapmak için voltmetrenin direncini artırmak isteyebilirsiniz. (Bununla birlikte düşük dirençli bir devrede çok yüksek dirençli voltmetre kullanmak matematiksel yuvarlama hatasına neden olabilir.) Voltmetrenin direncini değiştirmek için üzerine çift tıklayın ve görünen özellik iletişiminin Value sekmesinde bulunan Resistance alanındaki değeri değiştirin. Mod (DC veya AC) Voltmetre ile DC veya AC voltage ölçebilirsiniz. DC modda ölçülen işaretin yalnız DC bileşeni olduğu için işaretin AC bileşenini kaldırır. AC modda yalnız AC bileşen ölçüldüğü için DC bileşeni ortadan kaldırır. AC’ye ayarlandığında voltmetre işaretin RMS değerini görüntüler. Voltmetre modunu değiştirmek için üzerine çift tıklayın görünen özellik iletişiminin Value sekmesinde bulunan Mode alanında DC veya AC seçin.

Page 162: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

154

Bir voltmetrenin bağlanması Ölçmek istediğiniz yükün her iki tarafında bağlantı problarına bağlama, yük ile paralel olarak voltmetreyi bağlayın. Bir devre etkinleştirildiğinde ve davranışı simüle edildiğinde voltmetre test noktaları karşısındaki gerilimi gösterir. (Son kararlı durum gerilimine ulaşılmadan önce voltmetre ara gerilimleri de gösterebilir.) Not Voltmetre Indicator bileşen grubunun içinde bulunur. Component araç çubuğunda bulunmaz. Eğer bir voltmetre devre simülasyonundan sonra taşınırsa bir okuma almak için devre tekrar etkinleştirilir.

7.22. Ampermetre

Ampermetre bir devre akımını ölçmek için multimetreden fazla avantaj sunmaktadır. Bir devrede daha az yer kaplıyor ve düzenini uygun terminallere döndürebilirsiniz.

7.22.1. Ampermetre Kullanımı

Direnci (1,0pohm – 999,99ohm) Ampermetrenin direnci bir devreye daha küçük direnç gösterecek şekilde 1mohm’a ayarlanmıştır. Eğer düşük dirençli bir devreyi test ediyorsanız daha hassas ölçüm almak için ampermetrenin direncini düşürebilirsiniz. (Bununla birlikte yüksek dirençli bir devrede çok küçük dirençli bir ampermetre kullanmak matematiksel yuvarlama hatasına sebep olabilir.) Ampermetrenin direncini değiştirmek için üzerine çift tıklayın ve görünen özellik iletişiminin Value sekmesinde bulunan Resistance alanındaki değeri değiştirin. Mod (DC veya AC) DC modda, bir işaretin yalnız DC bileşenini ölçen ampermetre ayarlanmıştır. Eğer AC kaynak akımını ölçmek istiyorsanız AC moda değiştirin. AC ayarlandığında ampermetre alternatif işaretin etkin değerini (RMS) gösterir. Ampermetrenin modunu değiştirmek için üzerine çift tıklayın ve görüntülenen özellikler iletişiminin Value sekmesinde bulunan Mode alanında DC veya AC’yi seçin. Ampermetrenin bağlanması Gerçek bir ampermetre gibi simülasyonu yapılmış ampermetre ölçmek istenen düğümlerde seri bağlanmalıdır. Negatif uç yoğun sınır kenarındadır. Eğer bir ampermetre devre simülasyonu yapıldıktan sonra taşınırsa okuma almak için devre tekrar etkinleştirilmelidir. Not Ampermetre Indicator bileşen grubunda bulunur. Component araç çubuğunda yoktur.

7.23. Akım Probu

Current Probe cihazın çıkış ucunda kabloda akan akımı gerilime dönüştüren endüstriyel kelepçe akım problarının davranışına benzetilmiştir. Çıkış ucu, gerilimin akıma oranına dayalı akım belirlendiği prob osiloskoba sonra bağlanabilir. Akım probu bağlanmamış Akım probu bağlı Osiloskoba bağlı gerilim çıkışı

Çıkış gerilim ucu Pozitif akım akış yönü Ölçülen akımı taşıyan tel

Şemanıza bir Current Probe yerleştirmek için:

1. Instruments araç çubuğunda bulunan Current Probe düğmesine tıklayın. Current Probe imlece şimdi

eklenir. 2. İstenilen kabloya Current Probe’nin resmini sürükleyin ve onu yerleştirmek için tıklayın. (Bir bağlantı

noktasına Current Probe yerleştirilemez). 3. Çalışma alanına osiloskobu yerleştirin ve osiloskobu Current Probe’nin çıkış ucuna bağlayın.

Page 163: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

155

7.23.1. Current Probe Özellikleri

Gerçek dünyada tipik bir akım probunun davranışına benzetmek için çıkış geriliminin akıma oranı varsayılan ölçümü 1V/mA’dir. Oranı değiştirmek için: 1. Current Probe Properties iletişim kutusunu görüntülemek için Current Probe üzerine çift tıklayın. 2. Ratio of Voltage to Current alanındaki değeri değiştirin ve Accept’i tıklayın. Akım ölçmek için: 1. Araç yüzünü göstermek için osiloskop simgesi üzerine çift tıklayın. 2. Devreyi simüle edin ve osiloskop üzerindeki çıkışı görüntüleyin. 3. Osiloskop üzerinde bir iz görüntülendiğinde simülasyonu durdurun veya duraklatın. İzi anlamlı bir

biçimde göstermek için ölçek ayarını yapmak gerekli olabilir.

4. İz üzerinde bir noktaya osiloskobun imleçlerinden birini sürükleyin ve gerilim okumayı unutmayın.

İmleç İmleçteki gerilim

Prob için ayarlanan oranı kullanarak gerilimi akıma dönüştürün. Yukarıda gösterilen örnekte osiloskop üzerinde okunan gerilim 459,908V’tur. Bu örnek için oran varsayılan 1V/mA olduğu için buna karşılık gelen akım 459,908 mA’dir. İpucu Görüntülenen gerilim ve akım arasındaki ilişki doğrudan 1:1 için Current Probe Properties iletişim kutusunda 1mV/1mA oranına ayarlanır. Current Probe’un çıkışının polaritesini terslemek için Current Probe üzerinde sağ tıklayın ve görünen açılır menüden Reverse Probe Direction seçin.

Page 164: Multisim 10
Page 165: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

157

8. NI MULTISIM TEMEL DEVRELER: RLC Devreler

Bu bölüm, NI Multisim temel devre serisi temel elektronik kanunları ve pasif bileşen tasarımlarını bir dizi etkileşimli SPICE simülasyonu ile inceler. Aşağıda eklenmiş zip dosyalarındaki devreleri yükleyebilirsiniz. Aşağıdaki devreleri yükleyerek elde edebilir ve NI Multisim 10’da kullanabilirsiniz.

Devreler

004 Parallel DC Circuit.ms10

005 Series-Parallel DC Circuit.ms10

006 Current Analysis.ms10

007 Millmans Theorem 1.ms10

008 Millmans Theorem 2.ms10

009 Kirchoff's Current Law.ms10

010 Thevenin's Theorem.ms10

011 Superposition Principle.ms10

012 Nortons Theorem and Source Conversion.ms10

013 AC Voltage Measurement.ms10

014 Frequency Response of the Series RL Network.ms10

015 RL High and Low Pass Filter

016 Frequency Response of the Series RC Network.ms10

017 RC High and Low Pass Filter.ms10

Page 166: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

158

Devre Dosya İsmi 004 Parallel DC Circuit.ms10

Devre Açıklaması

8.1. Paralel DC Devre

Bu devre her koldan geçen akımı ve toplam akımın nasıl ölçüldüğünü gösterir. Kirchhoff’un akım kanununa göre, toplam akım kollardan geçen akımların toplamına eşittir. Ampermetre DC akımı ölçmek için DC Mod üzerine yerleştirilmelidir.

Deneyler

R3 direncinin değerini değiştirin ve toplam akım ( topI ) ve 3I kolundan geçen akımın nasıl

etkilendiğini doğrulayın.

Bireysel kol akımlarını hesaplayın ve Kirchhoff’un Akım Kanunu’nu ispatlayın.

Devre Şeması

V110 V

U2

DC 1e-009W

0.016 A

+ -

U1DC 1e-009W3.030m A

+

-

R11.2kΩ

R22.2kΩ

R33.3kΩ

Page 167: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

159

Devre Dosya İsmi 005 Series-Parallel DC Circuit.ms10

Devre Açıklaması

8.2. Seri-Paralel DC Devre

Bu deneyin amacı, gerilim kaynağına seri veya paralel bağlı dirençlerde düşen gerilimi ölçmektir. Bu devreler bütün seri devreler için çalışan gerilim bölücü formülü kullanarak analiz edilebilir.

Eğer çıkış gerilimi ( outV ) 2R seri devrenin bir parçasına karşılık geliyorsa, gerilim bölücü formülü

aşağıdaki gibi ifade edilebilir:

totin

out

R

R

V

V 2

Deneyler

Üst devre için, 4R direnci üzerinde gelişmiş gerilim 2R direnci üzerindeki gerilim ile aynı olduğu

için 4R direncinin değerini ayarlayın. 3301R ve 3303R varsayın. Bu değer nedir?

Sonraki iki devre üzerinde 2R ve 4R üzerinde gelişen çıkış gerilimini hesaplamak için gerilim

bölücü formülünü kullanın. Voltmetrede okunan ile sonucu karşılaştırın.

Son devreyi sadeleştirin. Toplam direnci hesaplayın.

Devre Şeması

V110 V

330Ω

R1

470Ω

R2

220Ω

R3

91Ω

R45.875 V

+

-

2.926 V

+

-

A

D

B

C

V210 V

330Ω

R1

470Ω

R2

5.875 V

+

-

A

D

Page 168: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

160

V310 V

220Ω

R3

91Ω

R42.926 V

+

-

B

C

V420 V

330Ω

R4

470Ω

R3

220Ω

R1

91Ω

R22.234 V

+

-

Page 169: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

161

Devre Dosya İsmi 006 Current Analysis.ms10

Devre Açıklaması

8.3. Akım Analizi

Bu devreler eşitlikteki kol arasındaki akımın nasıl ölçüldüğünü gösterir. Deney her bir bağımsız akım kaynağından kol akımını belirlemenize izin verir ve bir de koldaki sonuç akımı (üst devrede görünen) kol akımlarının cebirsel toplamı olduğunu ispatlar.

Devre Şeması

15 A

200Ω

400Ω

200Ω

10 A

400Ω

400Ω

13.000 A

+

-

12.000 A

+

-

15 A

200Ω

400Ω

200Ω

400Ω

400Ω

9.000 A

+

-

6.000 A

+

-

200Ω

400Ω

200Ω

10 A

400Ω

400Ω

13.000 A

+

-

12.000 A

+

-

Page 170: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

162

Devre Dosya İsmi 007 Millmans Theorem 1.ms10

Devre Açıklaması

8.4. Millman’ın Teoremi 1

Bu devreler, üç paralel bağlı gerilim kaynağının tek bir gerilim kaynağı içine ağı sadeleştiren Millman’ın teoremini göstermektedir. Bu teoreme göre, gerilim kaynakları ilk eşdeğer akım kaynaklarına dönüştürülür, sonra eklenir.

Deneyler Yük akımını ve yük gerilimini hesaplayın ve ampermetre ve voltmetre ile okunan ile sonuçları

karşılaştırın.

Devre Şeması

U1DC 10MW1.558 V

+

-

R15Ω

R24Ω

R32Ω

RI13Ω

V110 V

V216 V

V38 V

U3DC 1e-009W0.519 A

+

-

II VI

U2DC 10MW1.563 V

+

-

Req1.05Ω

RI23Ω

Veq2.11 V

U4

DC 1e-009W

0.521 A

+ -

Page 171: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

163

Devre Dosya İsmi 008 Millmans Theorem 2.ms10

Devre Açıklaması

8.5. Millman’ın Teoremi 2

Bu devreler bir ağa seri bağlı üç akım kaynağının Millman’ın teoremine göre tek bir akım kaynağına dönüştürüldüğünü gösterir.

Deneyler Yük akımını hesaplayın ve ampermetrede okunan ile sonucu karşılaştırın.

Devre Şeması

I1

5 A

I2

1 A

I3

1 A

U1DC 10MW7.679 V

+

-

R1

R2

10Ω

R3

R45Ω

I4

1.87 A

U2DC 10MW7.680 V

+

-

R5

23ΩR65Ω

Page 172: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

164

Devre Dosya İsmi 009 Kirchhoff’s Current Law.ms10

Devre Açıklaması

8.6. Kirchhoff’un Akım Kanunu

Bu devre, herhangi bir elektriksel bağlantı noktası için bağlantı noktasına giren ve çıkan akımların cebirsel toplamının sıfıra eşit olduğu durum olan Kirchhoff’un akım kanununu göstermektedir.

Deneyler Devreye göre Kirchhoff’un akım kanununun çalıştığını doğrulayın.

Devre Şeması

3.229 A

+ -

V164 V

R18Ω

R2

R3

R4

10Ω

I in

1.468 A

+ -

1.174 A

+ -

0.587 A

+ -

I out

R510Ω

Page 173: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

165

Devre Dosya İsmi 010 Thevenin’s Theorem.ms10

Devre Açıklaması

8.7. Thevenin Teoremi

Bu devreler, eşit akım modeli ile bütün ağ üzerinde yeniden yerleştirmenize izin veren Thevenin uygulamasını göstermektedir. Bu model, Thevenin direnci olarak anılan sabit bir direnç ile seri bağlı olan Thevenin gerilimine göre DC bir kaynak içerir.

Deneyler Thevenin geriliminin değeri nedir?

Thevenin direncinin değeri nedir?

Orijinal ağ üzerinde (üstteki) okunan ile Thevenin eşitliği devresindeki (alttaki) karşılaştırın. Bulunanlar nedir? Aynı gerilim üretilmekte midir? Daha karmaşık devreler orijinale göre uygulanan Thevenin Teorisi’nin avantajı nedir? (İpucu: Gerilim ve akımın daha hızlı hesaplanması)

Devre Şeması

330Ω

10 V

5.772m A

+

-

2.713 V

+

-

91Ω

220Ω 470Ω

RL

330Ω

10 V

91Ω

220Ω

Eth

4.000 V

+

-

Page 174: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

166

330Ω 91Ω

220Ω

Rth

223Ω

Rth

4 V

5.772m A

+

-

2.713 V

+

-

470Ω

RL

Eth

Page 175: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

167

Devre Dosya İsmi 011 Superposition Principle.ms10

Devre Açıklaması

8.8. Süperpozisyon Prensibi

Süperpozisyon prensibi, çok kaynaklı bir ağın herhangi bir direnç kolunda düşen gerilimin veya akımın bağımsız hareket eden kaynakların cebirsel toplamı olduğunu ifade eder. Üstteki devre çok kaynaklı ağı temsil eder ve devreler aşağıda açıklanır:

1. 2E kaynağı devreden kaldırılır ve 2R ’den geçen kol akımı ölçülür.

2. 1E kaynağı kaldırılır, 2E yeniden yerleştirilir ve 2R ’den geçen kol akımı ölçülür.

Deneyler Süperpozisyon prensibini doğrulayın. Toplam akımın onların cebirsel toplamı olduğunu

unutmayınız.

1E ve 2E ’ nin gerilimini değiştirin ve Süperpozisyon prensibini yeniden doğrulayın.

Devre Şeması

R1

1.2kΩ

R22.2kΩ

R3

3.3kΩ

E110 V

E215 V

U1DC 1e-009W1.082m A

+

-

1R1

1.2kΩ

1R22.2kΩ

1R3

3.3kΩ

1E110 V

U2DC 1e-009W2.381m A

+

-

2R1

1.2kΩ

2R22.2kΩ

2R3

3.3kΩ

2E215 V

U3DC 1e-009W-1.299m A

+

-

Page 176: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

168

Devre Dosya İsmi 012 Norton Theorem and Source Conversion.ms10

Devre Açıklaması

8.9. Norton’un Teoremi ve Kaynak Dönüşümü

Bir direnç ile paralel bir DC kaynak tarafından ifade edilen eşit devre modeli ile bütün ağı yeniden yerleştirebildiğiniz Norton’un teoreminin uygulamasını gösterir. Norton akımı, sorudaki uçlar arasındaki kısa devre akımıdır; Norton direnci, yük direnci kaldırıldığı ve gerilim kaynağı kısa devre edildiği bu noktadaki dirençtir.

Deneyler Norton’un akım değeri nedir?

Norton’un direnç değeri nedir?

Orijinal devrede (üstteki) okunan ile Norton’un eşitlik devresinde (alttaki) okunanı karşılaştırın. Bulunanlar nedir? Aynı gerilim düşmekte midir? Bu teorinin Thevenin teoremine benzerlikleri var mıdır?

Devre Şeması

U1DC 10MW0.090 V

+

-

R1

3.3kΩ

V112 V

R2330Ω

R3

220Ω

RL147Ω

R4

3.3kΩ

V212 V

R5330Ω

R6

220Ω

U2DC 1e-009W2.098m A

+

-

R8

3.3kΩ

R9330Ω

R10

220Ω

XMM1

Page 177: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

169

I12.1mA

R7520Ω

U3DC 10MW0.091 V

+

-

RL247Ω

Page 178: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

170

Devre Dosya İsmi 013 AC Voltage Measurement.ms10

Devre Açıklaması

8.10. AC Gerilim Ölçümü

Bu devre yük direnci karşısındaki AC gerilimi ölçmenin yolunu gösterir.

Deneyler

LR yük direnci karşısında oluşan gerilimi hesaplayın ve voltmetrede okunan ile sonucu

karşılaştırın.

Uygulanan gerilimi değişik değerlere ayarlayın ve çıkış geriliminin nasıl etkilendiğini gözlemleyin.

Devre Şeması

C16.36nFR1

1kΩ

L1

95.5mH

R2

3.3kΩ

R310kΩ

RL4.7kΩ U1

AC 10MW17.012 V

+

-V1

50 Vrms

5kHz

Page 179: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

171

Devre Dosya İsmi 014 Frequency Response of the Series RL Network.ms10

Devre Açıklaması

8.11. Seri RL Ağının Frekans Cevabı

Bu devre LR seri devresini gösterir ve bobin karşısındaki gerilimin frekansla nasıl etkilendiğini gösterebilir.

LR ağındaki gerilimin akımdan ileride olduğunu fark edin. Böylece çıkışta 90 faz kayması elde edilir.

Deneyler Değişik frekans değerlerini ayarlamak için bir fonksiyon jeneratörü kullanın ve bobin karşısındaki

gerilimin frekans ile nasıl etkilendiğini belirleyin. Nedenini açıklayın. Açıklamada verilen formüle bakın. (İpucu: Endüktör karşısında gerilim frekans ile artar.)

Çıkış gerilimi ve uygulanan gerilim arasındaki faz kaymasının nasıl olduğunu açıklayın? Endüktör ve direnç karşısındaki iki gerilimin toplamı uygulanan gerilime eşit midir?

Devre Şeması

100Ω

10mH

A B

Ext Trig+

+

_

_ + _

Page 180: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

172

Devre Dosya İsmi 015 RL High and Low Pass Fitler.ms10

Devre Açıklaması

8.12. RL Yüksek ve Alçak Geçiren Filtre

Bu basit LR devre yüksek geçiren bir filtrenin bir örneğini göstermektedir. Adından da anlaşılacağı gibi,

belirli bir kesim frekansı ( fc ) altındaki tüm sinyalleri zayıflatan ve frekansları kesim frekansı üzerindeki

tüm sinyalleri iletir.

Deneyler Bode çizici görüntülenen frekans tepkisine bakarak, verilen bir yüksek geçiren filtre için kesim

frekansı doğrulayın. Bir de, bu değerleri hesaplayın ve sonuçlarla karşılaştırın. (İpucu: LR yüksek

geçiren devrenin kesme frekansı RxL olduğunda elde edilir; bu nedenle )2( LRfc ).

Devre Şeması

R

1kΩ

XFG1

XBP1

IN OUT

L1100mH

Page 181: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

173

Devre Dosya İsmi 016 Frequency Response of the Series RC Network.ms10

Devre Açıklaması

8.13. Seri RC Ağının Frekans Cevabı

Bu devre seri RC devresini gösterir ve kondansatör karşısındaki gerilimi frekansın nasıl etkilediğini

gösterebilir. Kondansatör karşısındaki gerilim akımdan 90 geridedir. Bundan dolayı çıkışta bir faz kayması elde edilir.

Deneyler Değişik frekans değerlerini ayarlamak için bir fonksiyon jeneratörü kullanın ve frekansın

kondansatör karşısındaki gerilimi nasıl etkilediğini belirleyin. Nedenini açıklayın.

Uygulanan gerilim ve çıkış gerilim işareti arasındaki faz kaymasını nasıl açıklarsınız?

Devre Şeması

R11kΩ

C1100nF

XFG1 XSC1

A B

Ext Trig+

+

_

_ + _

XMM1

Page 182: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

174

Devre Dosya İsmi 017 RC High and Low Pass Filter.ms10

Devre Açıklaması

8.14. RC Yüksek ve Alçak Geçiren Filtre

A devresi basit bir RC devre yüksek geçiren filtrenin örneğidir. Önemli ölçüde kesim frekansı altında tüm

frekansları zayıflatır ve üstündeki tüm frekansları geçer.

Deneyler Verilen yüksek geçiren filtre için kesme frekansını doğrulayın. Bode çizicide görünen frekans

cevabının avantajlarından yararlanın. Bir de bu değeri hesaplayın ve sonuçlarla karşılaştırın.

(İpucu: RC yüksek geçiren filtrenin kesme frekansı RxC olduğunda elde edilir; böylece

)2(1 RCfc ).

B devresi düşük geçiren filtrenin örneğidir.

Devre Şeması

XFG1

C1

10uF

R1100Ω

XBP1

IN OUT

Circuit A

High-Pass Filter

XFG2

C210uF

R2

100Ω

XBP2

IN OUT

Circuit B.

Low-Pass Filter

Page 183: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

175

9. NI MULTISIM TEMEL DEVRELER: Diyotlar

Bölüm 2 NI Multisim Temel Devre serisi diyot ve Zener diyot devreleri etkileşimli SPICE simülasyon ile çok sayıda ayrıntılı araştırma örnekler sunar. Konular yarım ve tam doğrultucu devreler yanı sıra gerilim regülasyonu içerir. Aşağıda eklenmiş zip dosyalarındaki devreleri yükleyebilirsiniz. Aşağıdaki devreler i yükleyerek elde edebilir ve NI Multisim 10’da kullanabilirsiniz.

Devreler

019 Center-Tapped Full-Wave Rectifier.ms10

020 Bridge Rectifier.ms10

021 Capacitor-Input Rectifier Filter.ms10

022 Diode Clipper (Limiter).ms10

023 Diode Clipper.ms10

024 Diode Clipper (DC Restorer).ms10

025 Diode Voltage Doubler.ms10

026 Zener Diode and Voltage Regulation 1.ms10

027 Zener Diode and Voltage Regulation 2.ms10

028 Zener Diode and Voltage Regulation 3.ms10

Page 184: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

176

Devre Dosya İsmi 019 Center-Tapped Full-Wave Rectifier.ms10

Devre Açıklaması

9.1. Merkez Tam Dalga Doğrultucu

Bu devre AC işaretin her iki pozitif ve negatif yarım saykılı için bir doğrultulmuş çıkış üretir. Merkezi transformatörün sekonderine bağlı iki diyot bağlanarak kullanılır. Giriş geriliminin pozitif yarım saykılı için

2D diyotu ters kutupluyken 1D diyotu ileri kutupludur. Akım 1D ’den ve 1R yük direncinden geçer. Giriş

geriliminin negatif yarımında 1D diyotu ters gerilimli iken 2D diyotu ileri kutupludur. Akım 2D ve 1R

den akar. Sonuçta 1R uçlarında düşen çıkış gerilimi tam dalga doğrultulmuş DC gerilimdir.

Devre Şeması

T1

10

R11kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

XFG1

D2

D1

T2

10

Page 185: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

177

Devre Dosya İsmi 020 Bridge Rectifier.ms10

Devre Açıklaması

9.2. Köprü Doğrultucu

Bu devre, bir transformatör çifti girişi ile tam dalga köprü doğrultucu karakteristiklerini gösterir. Dört diyot

kullanır. Giriş saykılı pozitif olduğunda 1D ve 2D diyotları ileri kutuplama ve 1R karşısında bir gerilim

oluşur. Giriş saykılı negatif olduğunda 3D ve 4D diyotları ileri kutuplamada ve bir de 1R üzerinden

aynı yönde bir akım iletilir. Bir tam dalga doğrultulmuş çıkış gerilimi 1R karşısında görünür ve bu

sekonder gerilimine (diyotta düşen göz ardı edilir) eşittir: VsVp .

Deneyler Köprü doğrultucu için çıkış tepe gerilimini belirleyin:

VbVsoutVp 2)(

Burada Vp , eşik gerilimi (silisyum için 0,7V, germanyum için 0,3V).

Doğrultulmuş çıkış sinüs dalgasının frekansı nedir? (İpucu: giriş sinüs dalgasının iki katı olmalı). Neden?

Tam dalga doğrultulmuş gerilimin ortalama değerini hesaplayın. Aşağıdaki formül yardım edebilir:

)(2 outVpVavg

Değişik transformatör oranlarına ayarlayın ve çıkış gerilimine etkisini gözlemleyin.

Devre Şeması

T1

10 R11kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

XFG1

D4

D3

D2

D1

Page 186: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

178

Devre Dosya İsmi 021 Capacitor – Input Rectifier Filter.ms10

Devre Açıklaması

9.3. Kondansatör Girişli Doğrultucu Filtresi

Bu devre bir tam dalga doğrultucunun çıkışına bağlı bir kondansatör girişli filtrenin (bir direnç ve paralel bir kondansatör) çalışmasını gösterir. Doğrultucunun titreşimli çıkış gerilimini düzleştirir. Tam dalga işaretleri arasındaki kısa aralık boyunca kondansatör daha az deşarj olduğundan daha az dalgalanma oluşturulur. Dalgalanma faktörü filtrenin etkinliğini gösterir ve aşağıdaki gibi tanımlanır:

VdcVrr ,

Burada Vr dalgalanma geriliminin etkin değeridir ve Vdc filtrenin çıkışının ortalama DC değeridir. En iyi

filtrede r ’nin değeri küçüktür.

Deneyler Kondansatörün değerini değiştirin ve çıkış gerilimine ve dalgalanma faktörüne etkisinin nasıl

olduğunu doğrulayın. (İpucu: Dalgalanma faktörü kondansatörün değeri artarken artar.)

Yük direncinin dalgalanma gerilim miktarını etkilediğini doğrulayın.

Verilen bir faktörü üretmek için gereken kapasitansı belirleyin. İfadeleri kullanın: Kondansatör girişi filtre düzeltmesi

VpCR

Vdc ..1

00417,01

Dalgalanma gerilimi

VpCR

Vr ..1

0024,0

100.%% VdcVrr

Devre Şeması

1kΩ100uF

D1

A B

Ext Trig+

+

_

_ + _

T1

D2

D3

D4

Page 187: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

179

Devre Dosya İsmi 022 Diode Clipper (Limiter).ms10

Devre Açıklaması

9.4. Diyot Kırpıcı (Sınırlayıcı)

Bu devre bir diyot kırpıcının çalışmasını gösterir ve bir de giriş geriliminin pozitif kısmını sınırlayan bir sınırlayıcı olarak bilinir. Giriş geriliminin pozitif kısmı için, diyot ileri kutuplamada olur. Katot toprak potansiyelinde (0V) olduğundan anot 0,7V’u aşamaz (silisyum bir diyot için). Bundan dolayı giriş bu değeri aşmadığında çıkış 0,7V’a sınırlandırılır. Negatif giriş dalga şekli için diyot açık devre gibi davranır ve aslında çıkışta girişin tamamı görünür.

Deneyler Kırpıcının çıkış dalga şeklinin pozitif tepesinin kaldırıldığına veya kırpıldığına dikkat edin. Böyle

bir düzenlemeye pozitif kırpıcı denir. Küçük bir pozitif gerilimde pozitif tepenin kırpıldığına dikkat edin. Gerilim nedir? Pozitif ve negatif tepe değerler nedir?

Devre Şeması

15kΩ

1N4001GP

A B

Ext Trig+

+

_

_ + _

Vin Vout

Page 188: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

180

Devre Dosya İsmi 023 Diode Clipper.ms10

Devre Açıklaması

9.5. Diyot Kırpıcı

Bu devre giriş geriliminin negatif kısmını sınırlayan bir diyot kırpıcının çalışmasını gösterir. Girişin negatif kısmı süresince diyot ileri ön gerilimlidir. Sonuç olarak çıkış gerilimi -0,7V’ta tutulur (bir silisyum diyot olduğu varsayılarak). Giriş -0,7V’un üzerine gittiğinde diyot açık devre gibi davranır ve aslında çıkışta girişin tamamı görünür.

Deneyler Kırpıcının çıkış dalga şeklinin negatif tepesinin kesildiğini ve bir de küçük bir negatif gerilimde

kırpıldığına dikkat edin. Gerilim nedir? Böyle bir düzenlemeye negatif kırpıcı denir. Negatif ve pozitif tepe değerleri nedir?

Devre Şeması

R1

15kΩ

XSC1

A B

Ext Trig+

+

_

_ + _XFG1

D11N4001

Page 189: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

181

Devre Dosya İsmi 024 Diode Clamper (DC Restorer).ms10

Devre Açıklaması

9.6. Diyot Kenetleyici (DC Yükleyici)

Bu devre AC giriş işareti üzerine pozitif DC seviye ekleyen bir diyot kenetleyicinin (pozitif kenetleyici denen) çalışmasını gösterir. Ancak, kenetleyicinin giriş işaretinin şekli değişmez.

Deneyler Gösterilen pozitif ve negatif tepe değerlerinin her ikisi için giriş ve çıkış dalga şeklini ayırt edin.

Çıkış dalga şeklinin negatif tepelerine küçük bir negatif gerilimde kenetlendiğine dikkat edin. Neden?

Tepeden tepeye giriş gerilimini artırın. Ne oluyor? (İpucu: Tepeden tepeye çıkış gerilimi artmasına rağmen, negatif tepe aynı negatif gerilim seviyesinde kenetlenmeye devam eder. Pozitif tepe çıkış gerilimi yaklaşık olarak diyotun gerilimi düştükçe tepeden tepeye giriş gerilimine eşittir.)

Devre Şeması

R11kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

XFG1

D1

C1

10uF

Page 190: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

182

Devre Dosya İsmi 025 Diode Voltage Doubler.ms10

Devre Açıklaması

9.7. Diyot Gerilim Çiftleyici

Bu devre yarım dalga diyot gerilim çiftleyicinin çalışmasını gösterir. Bu artan giriş transformatörünün gerilim oranı gerekli olmaksızın doğrultulmuş tepe gerilimi çiftlemekte kullanılır. Aslında bir yarım dalga doğrultucu ile bir kondansatör giriş filtresi tarafından yapılan pozitif kenetleyicidir. Bir seri kondansatör her pozitif yarım saykılda dolmaktadır.

Deneyler Devre üzerinde A ve B noktalarında iki sinüs dalgasını gözlemleyin. B noktasındaki dalga şekli A

noktası ile aynı olmalıdır, ama pozitif olarak sıfıra yakın kenetler.

A kanalındaki probu devre üzerinde C noktasına taşıyın. 1R (yük direnci) karşısındaki DC gerilim iki diyottaki gerilim düşümü olmadıkça, transformatörün sekonder tepe geriliminin hemen hemen iki katı olmalıdır.

AC bağlantıyı A kanalına çevirin, duyarlığı 0,05 V/Div’e artırın. Tepeden tepeye dalgalanma gerilimini ve dalgalanma frekansının her ikisi ölçün. Ne buldunuz? (İpucu: Dalgalanma frekansı ve giriş besleme hattı frekansı aynıdır.)

Devre Şeması

1kΩ

100uF

D1

A B

Ext Trig+

+

_

_ + _

T1

D3

100uF

A BC

Page 191: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

183

Devre Dosya İsmi 026 Zener Diode and Voltage Regulation 1.ms10

Devre Açıklaması

9.8. Zener Diyot ve Gerilim Regülasyonu

Bu devre zener diyotların temel uygulamalarının birini temsil eder: Giriş geriliminin değişimi ile çıkış gerilim regülasyonu, Zener diyot ters kırılma bölgesinde çalışması için optimize edilmiş silisyum PN bileşimli aygıttır. Aslında ters akım değerlerinin belirli oranları üzerindeki uçlar karşısında sabit gerilim kalmaktadır. Bir de diyotun zarar görebileceği maksimum akım vardır.

Deneyler Zener diyot, geçen akım ve düşen gerilimi gözlemlerken DC kaynak gerilimini (her defasında

0,1V) kademeli artırın. Grafik üzerinde zener gerilimi ve zener gerilimine karşılık gelen sonuçları çizin. Ters akımın minimum değerini (34,4mA) doğrulayın. Ters akımın minimum değerinde ve ters akım maksimum değeri aştığında zener geriliminde ne oluyor?

Ters kutuplama bölgesinde zener akımı ve zener gerilimini grafik üzerinde gösterin ve zener direncini hesaplayın, akımdaki değişime karşı gerilimdeki değişme oranını ifade edin.

Devre Şeması

15 V

220Ω

6.443 V

+

-

DC 1e-009W

0.039 A

+ -

Var/Voltage

Page 192: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

184

Devre Dosya İsmi 027 Zener Diode and Voltage Regulation 2.ms10

Devre Açıklaması

9.9. Zener Diyot ve Gerilim Regülasyonu 2

Bu devre değişken yük ile çıkış gerilim regülasyonunu temsil eder: temel zener diyot uygulamasından biridir. Aslında yük akımı değişebilse bile DC çıkış gerilimi sabittir.

Deneyler Zener akımı ( Iz ) ve R yük akımı ( 1I ) verildiğine göre kaynak akımını ( Is ) hesaplayın. Bu eşitlik

yardım edebilir: 1IIzIs

Verilen ifade:

VzVout (ideal)

rzIzVzVout . (gerçek)

Burada rz zener diyot iç direnci, çıkış gerilimini ( 8rz varsayarak) hesaplayın. Hesaplanan

değer ile tam yükte ölçülen çıkış gerilimini karşılaştırın.

Değişik 1R dirençleri ayarlayın ve zener akımının ve yük akımının nasıl etkilendiğine dikkat edin.

(Unutmayın, zener diyotun minimum ve maksimum değerler içinde Iz uzunluğu kadar regüle eder.)

Yük direnci ( 1R ) bağlantısını ayırın. Kaynak akımını, zener akımını ve yüksüz çıkış gerilimini bulun. Hesaplanan değer ile ölçülen yüksüz çıkış gerilimini karşılaştırın.

Formülü kullanarak yüzde gerilim regülasyonunu belirleyin:

100

V

VVV%

out(FL)

out(FL)out(NL)

r

Devre Şeması

V115 V

Rl220Ω

Rs

220Ω

D16.2 V

U1DC 1e-009W0.012 A

+

-

U2

DC 1e-009W

0.028 A

+ -

U3DC 10MW6.190 V

+

-

Page 193: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

185

Devre Dosya İsmi 028 Zener Diode and Voltage Regulation 3.ms10

Devre Açıklaması

9.10. Zener Diyot ve Gerilim Regülasyonu 3

Bu devre basit bir gerilim regülasyonu gibi zenerin kullanıldığı zener diyotun temel uygulamalarından birini gösterir. AC gerilim DC kaynağa eklenir. Çıkışta dalgalanmasız gerilim beklenmektedir.

Deneyler Osiloskobu DC bağlantıya ayarlayın ve devre üzerinde A noktasında DC ve AC gerilim

seviyelerini gözlemleyin. Ne gördünüz? B noktasında prob ile DC çıkış gerilimini gözlemleyin. Regülatörün çıkış işareti üzerinde herhangi bir dalgalanma gerilimi gördünüz mü? (İpucu: Hayır)

B noktasında prob ile AC bağlantıya osiloskobu ayarlayın ve duyarlığı 0,05 V/Div’e ayarlayın. Bir sinüs dalga dalgalanma işareti gözlemlemelisiniz, ama giriş dalgalanma geriliminden daha küçüktür.

Tepeden tepeye dalgalanma gerilimini ölçün ve aşağıdaki formülü kullanarak hesaplanan değer ile karşılaştırın:

)(11)( )||(|| rippleinzszrippleout VrRRrRV

Burada rz zener diyot iç direnci (varsayılan 8rz ).

Devre Şeması

R100Ω

XSC1

A B

Ext Trig+

+

_

_ + _

V1

250mVrms

1kHz

0Deg

V215 V

R1220Ω

Rs

220Ω

D15 V

A B

Page 194: Multisim 10
Page 195: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

187

10. NI MULTISIM TEMEL DEVRELER: Transistörler

NI Multisim temel devrelerinin bölüm 3 serisi transistör temelli devre üzerinde odaklanmıştır ve etkileşimli SPICE simülasyonu ile değişik PNP ve NPN bileşimli transistörler araştırılmıştır. Aşağıda eklenmiş zip dosyasındaki devreleri yükleyebilirsiniz. Aşağıdaki devreleri yükleyerek elde edebilir ve NI Multisim 10’da kullanabilirsiniz.

Devreler

030 NPN Transistor with Emitter Bias.ms10

031 PNP Transistor with Voltage-Divider Bias.ms10

032 NPN Operating Point.ms10

033 Base-Biased Transistor.ms10

Page 196: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

188

Devre Dosya İsmi 030 NPN Transistor with Emitter Bias.ms10

Devre Açıklaması

10.1. Emiter Ön Gerilimli NPN transistör

Bu devre emiter ön gerilimli NPN transistörü temsil eder. Bu devre DC analiz yapılması için önerilir. Negatif ve pozitif kaynak gerilimi sağlanır; beyz 0V’ta tutulur.

Deneyler Rb , Rc , Re , Vcc ve Vee değişik değerlerini ayarlayın. Kollektör akımını ( Ic ), emiter akımını

( Ie ) ve kollektör-emiter gerilimi ( Vce ) hesaplayın. Ölçü aletlerinden okunanlarla sonuçları

karşılaştırın.

Verilen ısı aralığı üzerinde Q noktası değerlerindeki ( Ic ve Vce ) yüzde değişimi belirleyin.

Devre betaya bağlı mıdır?

Devre Şeması

Vcc10 V

Re5kΩ

U3DC 1e-009W1.661m A

+

-

U4DC 1e-009W1.677m A

+

-

U5DC 10MW9.956 V

+

-

npn

Rc1kΩ

Rb

50kΩ

Vee10 V

Page 197: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

189

Devre Dosya İsmi 031 PNP Transistor with Voltage-Divider Bias.ms10

Devre Açıklaması

10.2. Gerilim Bölücülü Ön Gerilimli PNP Transistör

Bu devre gerilim bölücü ön gerilimli PNP transistörü temsil eder ve yalnızca devre analizini yapmak için kullanılır. PNP transistör NPN transistöre karşıt olarak ön gerilim kutuplaması gerektirir. Bu negatif bir kollektör besleme gerilimi veya pozitif bir emiter besleme gerilimi ile yapılabilir.

Deneyler DC Giriş direncini açıklayın. Değerini hesaplayın. 100dc varsayın. Bu soruya yardım edebilir:

RedcbaseRin .)( . Hangi şartlarda DC giriş direnci ihmal edilebilir? (İpucu: 2RRedc . )

Devre Şeması

V210 V

R120kΩ

R210kΩ

Re1kΩ

Rc2kΩU1

DC 10MW6.825 V

+

-

U2

DC 1e-009W

-0.024m A

+ -

U3DC 1e-009W2.378m A

+

-

U4DC 1e-009W2.354m A

+

-

U5DC 10MW2.913 V

+

-

Q1

BJT_PNP_VIRTUAL

Page 198: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

190

Devre Dosya İsmi 032 NPN Operating Point.ms10

Devre Açıklaması

10.3. NPN Çalışma Noktası

Bu devre bir ön gerilimli transistörü temsil eder ve bu DC öngerilimin etkisini göstermek için kullanılabilir.

Ayarlanan Vbb gerilimi beyz akımı ( Ib ) değişimine neden olur. Kollektör akımı ( Ic ) yanı sıra kollektör

emiter gerilimi buna göre değişmektedir. Ic ’ye karşı Vce ’nin bir grafik çizimi DC yük hattı tarafından

belirlenir (Çıkış işareti için işletim bölgesi giriş işaretinin yükseltilmiş bir kopyasıdır). Ancak, yanlış kutuplama işaretin pozitif veya negatif kısmını sınırlayan çıkış gerilimini bozduğunu unutmayın.

Deneyler Vbb beyz geriliminin değerini 3V’a ayarlayın. Beyz akımının ( Ib ) değeri nedir? Kollektör akımını

ve kollektör emiter gerilimini hesaplayın. Bu formüller yardım edebilir:

IbdcIc .

RcIcVccVce .

Burada DC akım kazancı ( 100dc ) ve Rc kollektör direnci

VVbb 4 ve VVbb 5 için birinci adımı tekrarlayın. Her durum için ( Ic ve Vce ) Q noktası

değerlerini doğrulayın. Eğer Ib artırırsanız Ic ve Vce ne oluyor? Eğer Ib azalırsa ne oluyor?

Q noktası hakkında neye dikkat edersiniz? (İpucu: Ib yukarı aşağı ayarlanarak Q noktası DC

yük hattı boyunca taşınır.)

Transistör kesme noktası için ( 0Ib , 0Ic ve VccVce ) durumları doğrulayın. Bunu

yapmak için Vbb ’yi 0’a ayarlayın. Ölçü aletlerini gözlemleyin.

Transistör doyma noktası için ( maxIc , 0Vce ve RcVccIc ) durumları doğrulayın.

Vbb ’yi 9V ile başlatarak artırın. Ölçü aletlerini gözlemleyin. Kollektör akımı beyz akımı

değişiklikleri üzerindeki değerini korumakta mı? Vce değeri 0’a eşit mi?

Devre Şeması

10 V

R1200Ω

Vce4.601 V

+

-

Ic0.027 A

+

-

Ib

0.296m A

+ -

Q1

2N3904

NPN Base Biased Transistor.

1). Ib=(Vbb-0.7)/Rb

2). Ic=IbBF

3). Vce=Vcc-IcRc 4).Ic(sat)=Vcc/

Rc.

Vbb4 V

R2

10kΩ

Page 199: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

191

Devre Dosya İsmi 033 Base-Biased Transistor.ms10

Devre Açıklaması

10.4. Beyz Öngerilimli Transistör

Bu devre beyz ön gerilimli transistörü temsil eder. (Vcc tek ön gerilim kaynağı gibi kullanılmaktadır.) Bu

DC analizi yapmak için kullanılabilir.

Deneyler Aşağıda verilen formülleri kullanarak Ib , Ic ve Vce ’yi hesaplayın:

NPN beyz ön gerilimli transistör.

1. RbVbbIb )7,0(

2. BFIbIc .

3. RcIcVccVce .

4. RcVccsatIc )(

Ölçü aletleri üzerinde görünen ilgili değerlerle sonuçları karşılaştırın.

Verilen sıcaklık aralığında Q noktası değerindeki ( Ic ve Vce ) yüzde değişimi belirleyin. Ne

buldunuz? Beyz ön gerilimli devre beta bağımlı mıdır?

Devre Şeması

12 V

600Ω

5.853 V

+

-

0.010 A

+

-

0.010 A

+

-

0.110m A

+ -

100kΩ

2N3904

NPN Base Biased Transistor.

1). Ib=(Vbb-0.7)/Rb

2). Ic=IbBF

3). Vce=Vcc-IcRc 4).Ic(sat)=Vcc/

Rc.

Page 200: Multisim 10
Page 201: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

193

11. NI MULTISIM TEMEL DEVRELER: Amplifikatörler

Bölüm 4’te NI Multisim temel devrelerini etkileşimli SPICE simülasyonu ve analizi ile değişik amplifikatör tasarımlarını araştırır. Amplifikatör örnekleri bir ve iki aşamalı tasarımları içerir. Aşağıda eklenmiş zip dosyalarındaki devreleri yükleyebilirsiniz. Aşağıdaki devreleri yükleyebilir ve NI Multisim 10’da kullanabilirsiniz.

Devreler

034 Class B Push-Pull E-F Amplifier.ms10

035 Class B Push-Pull E-F Amplifier.ms10

036 Class A Power Amplifier.ms10

037 Darlington Pair.ms10

039 Collector Feedback Amplifier.ms10

040 Common-Emitter Amplifier with Source Resistance.ms10

041 Common-Emitter Amplifier.ms10

042 Common-Base Amplifier.ms10

043 Common-Collector Amplifier.ms10

044 Two-Stage Common-Collector Amplifier

045 Two Stage Common Emitter Amplifier.ms10

046 Two Stage Common Base Amplifier.ms10

Page 202: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

194

Devre Dosya İsmi 034 Class B Push-Pull E-F Amplifier.ms10

Devre Açıklaması

11.1. B Sınıfı Push-Pull Amplifikatör

Bu devre B sınıfı push-pull emiter izlemeli güç amplifikatörünün çalışmasını ve tasarımını gösterir. Bu devrede çapraz bozulma olguları tamamen ortadan kaldırılmıştır. İki kompanzasyon diyotunun gerilim düşümü ileri ön gerilimli iki transistöre kaynak sağlar.

Deneyler Giriş geriliminin değerini değiştirin ve sonuç çıkış dalga şeklini gözlemleyin, ne buldunuz?

Devre Şeması

V18 V

R110kΩ

Q2

C1

2.2uF

C3

2.2uF

C2

10uF

R210kΩ

R31kΩ

Q1

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

Vin

Vout

D1

D2

Page 203: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

195

Devre Dosya İsmi 035 Class B Push-Pull E-F Amplifier.ms10

Devre Açıklaması

11.2. B Sınıfı Push-Pull E-F Amplifikatör

Bu devre B sınıfı push-pull emiter izlemeli amplifikatörü göstermektedir ve bunun tasarım ve çalışmasını göstermekte kullanılabilir. Devre kesme noktasında ön gerilimlidir. Her bir tamamlayıcı transistör çiftinden (NPN ve PNP) oluşur. Giriş dalga şeklinin ilgili yarım saykılında ileri ön gerilimli her transistör için giriş yeterlidir. Sonuçta bir geçit bozulması elde edilir.

Deneyler Amplifikatör ün giriş ve çıkış dalga şekillerini gözlemleyin. Çıkış dalga şeklinde herhangi bir

değişiklik olduğuna dikkat edin. 0 volt civarında bozulma var mı? Giriş ve çıkış işaretleri arasında faz kayması var mıdır? (İpucu: Hayır) Nedenini açıklayın.

Dalga şekillerine bakarak çapraz bozulmayı ortadan kaldırmada her iki transistör için gerekli beyz-emiter gerilimini bulun.

Devre Şeması

V28 V

R110kΩ

Q1

BJT_PNP_VIRTUAL

C1

2.2uF

C2

2.2uF

C3

10uF

R2500Ω

R3100Ω

R410kΩ

R51kΩ

Q2

BJT_NPN_VIRTUAL

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

Vin

Vout

Page 204: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

196

Devre Dosya İsmi 036 Class A Power Amplifier.Ms10

Devre Açıklaması

11.3. A Sınıfı Güç Amplifikatörü

Bu devre A sınıfı ortak emiterli güç amplifikatörünü gösterir ve A sınıfı güç amplifikatörünün analizini yürütmek için önerilir.

Çıkış işaretinin maksimum değeri için Q noktası merkezlenmelidir. Merkezlenmemiş Q noktası çıkış

salınımını sınırlar.

Deneyler Değişik multimetreler ile ölçülen parametreleri doğrulayın (Onlar nedir? Onların ipuçları nelerdir?)

Maksimum AC çıkış akımı ve DC giriş gücünü aşağıda verilen formülleri kullanarak hesaplayın:

Vceq maksimum kollektör emiter gerilim salınımı, Icq maksimum kollektör akım salınımı

Verimi hesaplayın A sınıfı amplifikatörden elde edilebilecek mümkün olan verimi ve sonuçları tartışın.

Devre Şeması

V124 V

R15kΩ

C

10uF

Q2

2N3904

XSC1

A B

Ext Trig+

+

_

_ + _

V2

30mVpk

5kHz

R21kΩ

0.180m A

+ -

3.850 V

+

-

Re100Ω

C3100nF

11.696 V

+

-

Rc300Ω

C2

10uF

RL300Ω

0.031 A

+

-

Page 205: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

197

Devre Dosya İsmi 037 Darlington Pair.ms10

Devre Açıklaması

11.4. Darlington Çifti

Bu devre giriş empedansını arttırmak için yollardan biri olan darlington çiftini göstermektedir. İki

transistörün kollektörü bağlıdır. Ve ilk emiter ile ikincinin beyzi sürülür. Sonuç olarak Ie ’de olan devre

çıkış akımı Ib ’nin 1 ve 2 ’nin oluşumudur. (BF1 ve BF2 transistörlerin veri tablosundan)

Deneyler Verilen 1 ve 2 giriş empedansını hesaplayın. Bu formül yardım edebilir:

ReRin .2.1 .

Verilen formülü kullanarak: Darlington çifti amplifikatör Devre yapılandırılması beta çarpımı ile gerçekleştirilir.

Çıkış akımı, 2.1. BFBFIin .

Giriş akımını hesaplayın ve ilgili ölçü aletlerinden okunan ile sonucu karşılaştırın.

Devre Şeması

10 V

Re3kΩ

0.5uA

Iin

2N4126

2N4125

0.025m A

+ -

3.003m A

+

-

Iout

Page 206: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

198

Devre Dosya İsmi 039 Collector Feed Back Amplifier.ms10

Devre Açıklaması

11.5. Kollektör Geri Beslemeli Amplifikatör

Bu devre kollektör geri beslemeli olarak isimlendirilen kollektör geri beslemeli amplifikatörü

göstermektedir ve değişen sıcaklıklarda kararlı bir Q noktası sağlamak için tasarlanmıştır. dc ısıya

bağlı olduğunu fark edin.

Deneyler Sıcaklığın azaldığını varsayarak devrenin analizini yürütün, Q noktası sabit tutulurken dc beyz

akımına ve kollektör akımına etkisinin nasıl olduğunu açıklayın.

Sıcaklığın attığını varsayarak önceki adımı tekrarlayın

Devre Şeması

V210 V

Rc10kΩ

Q1

BJT_NPN_VIRTUAL

Rb

100kΩ

U1DC 10MW1.602 V

+

-

U2DC 1e-009W0.840m A

+

-

Page 207: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

199

Devre Dosya İsmi 040 Common-Emitter Amplifier with Source Resistance.ms10

Devre Açıklaması

11.6. Kaynak Dirençli Ortak Emiterli Amplifikatör

Bu devre kaynak direnci ve emiter baypas kondansatör ile bir ortak emiter yükselticiyi göstermektedir. Bu

devre Rs kaynak direnci yanı sıra emiter bypass kondansatörü 3C ’ün gerilim kazancını nasıl etkilediğini

göstermek için kullanılabilir.

Deneyler Kaynak direnci Rs ’yi kaldırın ve gerilim kazancını hesaplayın Rs ’yi ekleyerek aynı hesaplamayı

tekrarlayın sonuçları karşılaştırın. Kaynak direnci gerilim kazancını zayıflatmakta mıdır? (İpucu: Evet, zayıflama için temel devre ifadesidir:

)( RinRsRinVinVb

Burada Rin toplam giriş direnci, Vb beyz’deki gerilim işareti

Rs ’nin değişik değerlerini ayarlayın ve giriş ve çıkış işaretlerini gözlemleyin

Devre içerisine kondansatörü geri koyun ve formülü kullanarak gerilim kazancını hesaplayın:

reRcAv .

İkinci ve üçüncü adımlardaki sonucu karşılaştırın ne buldunuz. Emiter bypass kondansatörde gerilim kazancı artmakta mıdır.(İpucu: Evet, öyle.)

Devre Şeması

V110 V

R210kΩ

C2

1uF

XSC1

A B

Ext Trig+

+

_

_ + _

C1

1uF

Rs600Ω

R150kΩ

Rc5kΩ

Re2500Ω

Re1500Ω

C31uF

RL50kΩ

Vin

Vout

Q1

V2

7.07mVrms

1kHz

Page 208: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

200

Devre Dosya İsmi 041 Common-Emitter Amplifier.ms10

Devre Açıklaması

11.7. Ortak Emiterli Amplifikatör

Bu devre gerilim bölücü kutuplamalı ortak emiterli amplifikatörü gösterir. 1C giriş kondansatörü 2C çıkış

kondansatörü ve 3C bypass kondansatörü vardır. Bu devre amplifikatörün gerilim kazancını etkileyen

çıkışı bulmak için karakteristiğini ve çalışmasını göstermek için kullanılabilir. Kollektör çıkış alınırken Giriş işareti beyz’den uygulanmaktadır.

Deneyler Giriş ve çıkış işaret dalga şekillerini gözlemleyin çıkış işareti giriş işaretinden büyük mü?

Birbirlerine göre faz farkı var mıdır?

Verilen ilgili formüllere başvurarak beyz’den kollektöre gerilim kazancını hesaplayın

Ortak emiterli amplifikatör’de Vout 180 faz terslenmektedir. Kollektör direnci

)1(1. RRcRRcRc

Gerilim kazancı reRcAv .

IEmVre 25

)2Re1(Re VEIE

Yük direncinin olmadığını varsayın

Varsayılan bir yük direnci için ikinci adımı tekrarlayın, gerilim kazancı aşağıdaki gibi ifade edilir.

reRRcAv )1||( .

İki ve üçüncü adımdaki sonuçları karşılaştırın ne buldunuz. Gerilim kazancı azaldı mı?

RcR 1 ’den ne kadar büyük (İpucu: Yükün kazanç üzerinde küçük bir etkisi vardır.)

Emiter baypas kondansatörü 3C ’ü kaldırın çıkış gerilimine onun etkisi nasıl? Aşağıdaki formülü

kullanarak gerilim kazancını hesaplayın Re rcRcAv .

Devre Şeması

V115 V

R24.7kΩ

V2

200mVpk

5kHz

C1

2.2uF

R110kΩ

Rc3.9kΩ

Re1150Ω

Re22.7kΩ

C2

2.2uF

C310uF

Rl3.9kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

Q1

2N3904

Page 209: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

201

Devre Dosya İsmi 042 Common-Base Amplifier.ms10

Devre Açıklaması

11.8. Beyz’i Ortak Amplifikatör

Bu devre beyz’i ortak amplifikatörü gösterir ve gerilim kazancının etkisi olan çıkış faktörünün bulunması için amplifikatörün karakteristikleri ve çalışmasını göstermek için kullanılabilir. Giriş işareti çıkış kollektörden alınırken emiterden uygulanmaktadır. Beyz AC toprağa bağlıdır.

Deneyler Giriş ve çıkış gerilim dalga şekillerini gözlemleyin. Çıkış girişten daha büyük mü? Biri diğeri

açısından aynı fazdalar mı? Emiteri ortak amplifikatöre göre benzerlik görebiliyor musunuz?

Emiterden kollektöre gerilim kazancını hesaplayın. Yük direncinin olmadığını varsayın.

kR 11 bir yük direnci varsayarak aynı hesaplamayı yapın. Aşağıdaki formülü kullanın.

reRRcAv )1||(

Burada Rc kollektör direnci, 1R yük direnci, re AC emiter direnci.

Değişik Rc değerlerini ayarlayın ve giriş ve çıkış gerilimine etkisinin nasıl olduğunu gözlemleyin.

İkinci ve üçüncü adımlardaki sonuçları karşılaştırın. Ne buldunuz? Gerilim kazancı düştü mü?

Devre Şeması

V19 V

Q1

U2DC 1e-009W0.824m A

+

-

C1100uF

Rc

1kΩ

Vin

Vout

Re

10kΩ

R11kΩ

V29 V

17.67mVrms

1kHz

0Deg

C22.2uF

XSC1

A B

Ext Trig+

+

_

_ + _

Page 210: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

202

Devre Dosya İsmi 043 Common-Collector Amplifier.ms10

Devre Açıklaması

11.9. Ortak Kollektörlü Amplifikatör

Bu devre ortak kolektörlü bir amplifikatörü gösterir. Birde emiter izleyici olarak ta isimlendirilir. Küçük işaretli ortak kollektörlü amplifikatörün gerilim kazancına etkisinin çıkışta ne olduğunu bulmak için karakteristiğini ve çalışmasını göstermede kullanılabilir. Amplifikatör emiterden çıkış alınırken giriş işareti kondansatörler arasından beyz’e uygulanır. Çıkış işareti girişten daha büyük değil ve her zaman giriş ile aynı fazdadır.

Deneyler Değişik yük direnci değerlerini ayarlayın ve kollektör akımını ve beyz akımını gözlemleyin. Bu

parametrelerin yük direncine etkisi nasıldır?

Giriş gerilimini fonksiyon jeneratörü kullanarak 4 Volta ayarlayın (artırın). Çıkış gerilim değişimi nasıl oldu? (İpucu: O da arttı.)

Aşağıdaki formülü kullanarak gerilim kazancını hesaplayın.

)1||Re()1||(Re RreRAv ,

Burada Re emiter direnci, 1R yük direnci, re AC düşük emiter direnci.

Yük direncini ekleyerek çıkış gerilim seviyesini yavaşça azaldığına dikkat edin.

Vout ’u hesaplayın. Bu formül yardım edebilir: VinVoutAv ekrandaki Vout ile hesaplanan

Vout ’u karşılaştırın.

Devre Şeması

V110 V

R210kΩ

Q1

BJT_NPN_VIRTUAL

U2DC 1e-009W6.384m A

+

-

C1

2.2uF

R110kΩ

U1

DC 1e-009W

0.064m A

+ -

Re1kΩ

C2

100uF Rl1kΩ

Vin

Vout

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

Page 211: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

203

Devre Dosya İsmi 044 Two-Stage Common-Collector Amplifier.ms10

Devre Açıklaması

11.10. İki Aşamalı Ortak Kollektörlü Amplifikatör

Bu devre iki aşamalı ortak kollektörlü amplifikatörü gösterir. Ardı ardına iki ortak kollektörlü amplifikatör ile tasarlanmıştır. İlk transistörün çıkış gerilimi ikinci transistörün beyz’i ile bağlıdır. Çıkış girişi takip ettiğinden gerilim kazancı bire yakındır.

Deneyler

İki aşamalı ortak kollektörlü amplifikatörün gerilim kazancı, )/(2 REreREAv .

Akım kazancı IinIeAi / .

Güç kazancı AiAvAp .

REVEIe / .

Kaynak gerilimi değiştirin ve AC çıkış gerilimindeki değişmeleri gözlemleyin. Besleme gerilimini artırmak AC çıkış gerilimini daha fazla üretir. Bu doğru mudur?

İki tek aşamalı için aşağıdaki formülü kullanarak gerilim kazancını hesaplayın.

reRAv /)1||(Re ,

Burada Re Emiter direnci, 1R yük direnci ve re AC düşük emiter direnci

İki aşamalı amplifikatörün tüm kazancını hesaplayın 2.1 AvAvAv bulunanlar nedir?

Çıkış gerilim değeri ( Vout ) nedir? Onu önceden hesaplama avantajlıdır. Bu formül yardım

edebilir: )/( VinVouttotalAv . Devre üzerindeki değerler gereklidir.

Devre Şeması

V110 V

C1

1uF

Q1

2N3904

XSC1

A B

Ext Trig+

+

_

_ + _

R2a10kΩ

Re11kΩ

C2

1uF

R1b10kΩ

R1a10kΩ

R2b10kΩ Re2

1kΩ

Q2

2N3904 C3

1uF

RL500Ω

Vin

V2

2 Vrms

1kHz

Page 212: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

204

Devre Dosya İsmi 045 Two Stage Common Emitter Amplifier.ms10

Devre Açıklaması

11.11. İki Aşamalı Ortak Emiterli Amplifikatör

Bu devre iki aşamalı ortak emiterli amplifikatörü gösterir. İlk transistörün çıkış gerilimi ikincinin beyz’ine bağlıdır. İkinci transistor üzerinde son işaret yükseltilmektedir. Toplam gerilim kazancı tek tek gerilim kazançlarının üretimine eşittir.

Deneyler Genel formülü kullanarak ilk ve ikinci aşama gerilim kazancını hesaplayın.

reRAv (Re)1||Re

Burada Rc kollektör direnci,

1R yük direnci,

Re emiter direnci,

re AC emiter direnci.

Formülü gerektiği gibi yeniden düzenleyin.

Bireysel kazançlar çarpılarak İki aşamalı amplifikatörün bütün kazancını hesaplayın.

2.1 AvAvAv .

Giriş gerilimi mVVin 07,7 ve 600Rs varsayarak çıkış gerilimi Vout ’u hesaplayın.

Önceden hesaplanmış genel gerilim kazancından yararlanın. Bu ifade yardım edebilir.

VinVouttotalAv /)( .

Kaynak gerilimini artırın ve AC çıkış gerilimini gözlemleyin. AC çıkış gerilimi daha fazla düştü mü? Giriş gerilimi çıkış gerilimi ile aynı fazlı mı?

Devre üzerinde osilaskopun A kanalını B noktasına taşıyın. İki dalga şekli arasında faz kayması var mı? Nedenini açıklayın?

Devre Şeması

V110 V

V2

0.707 Vpk

1kHz

R310kΩ

Rs600Ω

C1

1uF

R110kΩ

R260Ω

Rc15kΩ

Re1500Ω

R5500Ω

C2

1uF

R460kΩ

Rc25kΩ

Re2500Ω

R6500Ω

C31uF

C41uF

C5

1uF

Rl5kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

A

Q2

2N2221A

Q1

2N2221A

CB

Page 213: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

205

Devre Dosya İsmi 046 Two Stage Common Base Amplifier.ms10

Devre Açıklaması

11.12. İki Aşamalı Ortak Beyzli Amplifikatör

Bu devre ortak beyzli amplifikatörü göstermektedir ve gerilim kazancını etkileyen faktörlerin hangisi olduğunu bulmak gibi amplifikatörün karakteristiklerini ve çalışmasını göstermekte kullanılabilir. Çıkış kollektörden alınırken giriş işareti emiterde uygulanır. Beyz AC toprağa bağlanır.

Deneyler Kaynak gerilimi artarken AC çıkış gerilimini gözlemlemek için bu devre kullanılır. (İpucu: Besleme

geriliminin artırılması, daha fazla AC çıkış gerilimi üretir.)

Eşitliğe başvurarak iki tek aşama için gerilim kazancını hesaplayın:

)(Re)1||( reRRcAv

Burada Rc kollektör direnci, 1R yük direnci, Re emiter direnci, re AC emiter direnci.

Amplifikatör kazancının her zaman yükleyerek azaldığına dikkat edin.

Gerilim kazancını hesaplayın: 2.1 AvAvAvtotal .

Hesaplanan toplam gerilim kazancını kullanarak çıkış gerilimini hesaplayın. Bu formül yardım

edebilir: VinVoutAvtotal / . Diğer gereken değerler devredeki gibidir.

Devre Şeması

V19 V

Q1C1

2.2uF

VinVout

Rl5kΩ

25mVrms

5kHz

0Deg

XSC1

A B

Ext Trig+

+

_

_ + _

C2

100uF

R22kΩ

C3

2.2uF

Q2C4

100uF

Rc12kΩ

Rc21kΩ

Re110kΩ

Re210kΩ

V29 V

Page 214: Multisim 10
Page 215: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

207

12. NI MULTISIM TEMEL DEVRELER: Opamp’lar

NI Multisim temel devreler Bölüm 5’te etkileşimli SPICE simülasyon ile bir dizi işlemsel yükselteçleri araştırır. Konular tersleyen, tepe dedektörü gibi terslemeyen tasarımları içerir. Aşağıdaki eklenmiş zip dosyalarındaki devreleri yükleyebilirsiniz. Aşağıdaki devreleri yükleyebilir ve NI Multisim 10’da kullanabilirsiniz.

Devreler

047 Inverting Amplifier.ms10

048 Inverting Amplifier with AC Input Signal.ms10

049 Non-Inverting Amplifier.ms10

050 Non-Inverting Opamp Circuit.ms10

051 Opamp Comparator

052 Bounded Comparator.ms10

053 Double-Bounded Comparator.ms10

054 Window Detector.ms10

055 Schmitt Trigger.ms10

056 Nonzero-Level Detector.ms10

057 Inverting Postive- Voltage Detector.ms10

058 Postive-Voltage Detector.ms10

059 Inverting Adder.ms10

060 Non-Inverting Adder.ms10

061 Opamp Differentiator.ms10

062 Basic Differential Amplifier.ms10

063 Opamp Common-Mode Rejection.ms10

064 Basic Differential Amplifier with Sensing Terminals.ms10

065 Common-Mode Voltage Amplifier.ms10

066 Triangular-Wave Generator.ms10

067 Triangular-to-Sine-Wave Generator.ms10

068 Dead-Zone Circuit with Negative Output.ms10

069 Dead-Zone Circuit with Positive Output.ms10

070 Bipolar Dead-Zone Circuit.ms10

071 Peak Detector.ms10

072 Precision Clipper.ms10

073 Precision Full-Wave Rectifier.ms10

074 Basic Shunt Regulator.ms10

075 Basic Opamp Series Regulator.ms10

077 Current-to-Voltage Series Regulator.ms10

078 Voltage Follower.ms10

079 Digital-to-Analog Converter.ms10

080 Sevo Amplifier.ms10

081 Subtractor.ms10

083 Opamp Integrator.ms10

Page 216: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

208

Devre Dosya İsmi 047 Inverting Amplifier.ms10

Devre Açıklaması

12.1. Tersleyen Amplifikatör

Bu devre kapalı çevrim kazançlı tersleyen bir opamp’ın çok yaygın uygulamalarından birini gösterir. DC işaretin negatif giriş ucuna uygulandığına dikkat edin.

Deneyler Giriş direnci ( Ri ) ve geri besleme direnci ( Rf ) karşısında düşen gerilime özel dikkatle devreyi

analiz edin. Rf karşısındaki gerilim giriş gerilimi Ei ’ye eşit mi? Eğer evet ise, sebebini açıklayın.

Çıkış geriliminin kutuplanmasını tartışın. Ei pozitif olduğunda çıkış negatif mi? Bu amplifikatörün

tersleyen yükselteç olarak adlandırılmasının sebebi nedir? Kapalı çevrim kazancı ve çıkış gerilimi için ilgili eşitlikleri kullanarak ifadenizi destekleyin.

( )( RiRfEiVout , )/(1 RiRfAC )

Devredeki gibi bileşen değerleri ve yukarıda verilen formülle Vout ve Ac’i hesaplayın; ilgili

okumalarla sonuçları karşılaştırın ve çıkış geriliminin giriş gerilimine oranı olan kazancı doğrulayın. (Osiloskop gözlemlerini önerilir.) Bir de, formülü kullanarak yük akımının değerlerini

hesaplayın: RiVoutI 1 . Ampermetre okuması ile aynı sonuç mu? Opamp toplam çıkış akımı

nedir? ( IIIout 1 , burada RiEiI )

Rf ve Ri ’yi değişik değerlerini ayarlayın. Değişikliklerin Çıkış gerilimi ve kazanca nasıl etki

ettiğine dikkat edin. Rf ve Ri harici dirençleri tarafından belirlenen kazanç mı? Bir de yük

akımını etkileyen parametreleri doğrulamak için deneyin. Onlar 1R ve Vout mudur?

Devre Şeması

Ei1 V

U2DC 1e-009W-0.400m A

+

-

Ri

10kΩVout

Vin

Rf

100kΩ

Rl25kΩ

XMM1

U1

741

V115 V

V215 V

Page 217: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

209

Devre Dosya İsmi 048 Inverting Amplifier with AC Input Signal.ms10

Devre Açıklaması

12.2. AC Giriş İşaretli Tersleyen Amplifikatör

Bu devre negatif giriş ucuna giriş direnci ( Ri ) üzerinden uygulandığı AC gerilimli tersleyen yükselteci

gösterir. Bu yükselteç giriş sinyaline göre 180 derecelik bir faz çıkış gerilimi (Vout ) üretir.

Deneyler Devreyi analiz edin. Giriş işaretine göre çıkış geriliminin kutuplanmasını açıklayın. Bir de akım

yönünü belirleyin.

Tersleyen herhangi bir yükselteç için aynı formülü kullanın (i.e. )( RiRfEiVout ,

)/(1 RiRfAC ) ve osiloskop üzerinde doğrulanmış değerleri hesaplayın.

Yükselteç üzerinde bu iki harici direnç oranının etkisini ispatlamak için Rf ve Ri ’nin farklı

değerlere ayarlayın. Sürekli çıkış geriliminin dalga şeklini gözlemleyin. Bir de yük direnci 1R ’i

farklı değerlere ayarlayın. Ampermetrede okunanları inceleyin. Yük akımı Ri ve Vout ’a bağlı

mıdır?

Devre Şeması

Ri

10kΩ

XFG1

U1

Rf

20kΩ

VCC

5V

VEE

-5V

Ei

Vout

XSC1

A B

Ext Trig+

+

_

_ + _

Page 218: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

210

Devre Dosya İsmi 049 Non-Inverting Amplifier.ms10

Devre Açıklaması

12.3. Terslemeyen Amplifikatör

Bu devre terslemeyen bir yükselteci (çıkış gerilimi giriş ile aynı kutuplamada olmaktadır) gösterir ve

sadece devre analizi yapmak için tavsiye edilir. Çıkış tersleyen girişe (–) Rf ve Ri üzerinden geri

besleme yapılırken giriş işareti (1kHz 1V tepe değerli sinüs dalgası) terslemeyen girişe (+)

uygulanmaktadır. Çıkış gerilimi Rf ve Ri karşısında düşen gerilimin toplamı olur.

Deneyler Devreyi analiz edin. Gerilimlerin hangisinin çıkış gerilimi olduğunu doğrulayın. İlgili formüllerle

ifadelerinizi destekleyin. Buna benzer formüller buldunuz mu: VfEiVout ve ayrıca

1)( RiRfEiVout ? Eğer evetse düşünceniz doğrudur. Ek olarak gerilim kazancını

belirlemeyi deneyin. Bu çıkış geriliminin giriş gerilimine oranı olarak ifade edilir, EiVout .

Aşağıdaki bu reaksiyonun yeniden düzenlemesi yapmaya yardım eder: RiRiRfAC /)(1 .

Kazanç her zaman 1’den daha büyük olarak görünür. Doğru mudur?

Devredeki gibi bileşen değerlerini verin ve ilgili formüllerle hesaplayın: 1. Devrenin kapalı çevrim gerilim kazancı; Osiloskop ile sonucu doğrulayın, 2. Yük akımı; bu değeri etkileyen parametreleri belirleyin.

Devre Şeması

Rf

40kΩ

Ri

10kΩ

Rl10kΩ

U1XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

Vout

Ei

Page 219: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

211

Devre Dosya İsmi 050 Non-Inverting Opamp Circuit.ms10

Devre Açıklaması

12.4. Terslemeyen Opamp Devresi

Bu devre terslemeyen bir yükselteci gösterir ve yalnızca devre analizi için tavsiye edilir. Giriş işareti

terslemeyen uçtan (+) uygulanmaktadır ve çıkış tersleyen girişe (–) Rf ve Ri üzerinden geri beslemedir.

Bu dirençlerin fonksiyonu bir gerilim bölücü ve geri besleme gerilimine (Vf ) çıkış gerilimini sınırlandırma

gibi VoutRfRiRiVf .)( olarak ifade edilir.

Deneyler Verilen bileşen değerlerine göre yükseltecin kapalı çevrim kazancını ( Av ) hesaplayın. Bu değer

nedir?

Ri ’nin değişik değerlerini ayarlayın ve çıkış gerilimine etkisinin nasıl olduğunu inceleyin. Yeni Ri

değeri için kapalı çevrim kazancını belirleyin. Av her zaman birden daha büyük mü? Çıkış giriş

işareti ile aynı fazda mı?

Devre Şeması

Ri10kΩ

U1

741

V1

15 V

V2

15 V

Rf

10kΩ

V3

1 Vrms

500 Hz

0Deg

VinVout

XSC1

A B

Ext Trig+

+

_

_ + _

Page 220: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

212

Devre Dosya İsmi 051 Opamp Comparator.ms10

Devre Açıklaması

12.5. Opamp Karşılaştırıcı

Bu devre terslemeyen bir karşılaştırıcıyı temsil eder ve bu çalışmanın analizini yapmak için önerilir.

Referans gerilimi tersleyen uçta konumlanmıştır. LED diyot, terslemeyen uçta giriş gerilimi ( Vin ),

tersleyen uçtaki referans geriliminden ( Rf ) daha büyük olduğundan ışık verir.

Deneyler Terslemeyen uçtaki sonraki düğümlere bağlı kabloyu anahtarlayın. (Başka bir deyişle

terslemeyen uçtaki direnci değiştirin.) bu noktada gerilime etkisi ne oldu? LED’i inceleyin. Ne fark ettiniz? (İpucu: Belli gerilim değerine ulaşıldığında o yanıyor. Bu değer nedir?)

Devre Şeması

V315 V

R145kΩ

U1

741

V1

15 V

V2

15 V

R245kΩ

R3500Ω

R4500Ω

R52.5kΩ

R63.5kΩ

R73.5kΩ

D1DIODE_VIRTUAL

LED1

Q1

BJT_NPN_VIRTUAL

R8

10kΩ

R91kΩ

R10

100kΩ

R111kΩ

V415 V

U2DC 10MW1.363 V

+

-

U3DC 10MW1.043 V

+

-

Page 221: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

213

Devre Dosya İsmi 052 Bounded Comparator.ms10

Devre Açıklaması

12.6. Sınırlandırılmış Karşılaştırıcı

Bu devre sınırlandırılmış bir karşılaştırıcıyı temsil eder, yaygın olarak doyma geriliminden daha az değerlere çıkış gerilimini sınırlandırmakta kullanılır. Çıkış gerilimi bir yönde zener gerilimine ve diğer yönde ileri diyot düşümüne gider.

Deneyler Devrenin çalışmasını tartışın, çıkış işaretinin değeri için ifade edin:

1. Devrede bağlı zener diyot 2. 180 derece döndürülmüş zener diyot. Çıkış pozitif değerde ve negatif değerde

sınırlandırıldığında çıkış nedir? Osiloskopta görüntülenen dalga şekillerine bakın.

Devre Şeması

R1

1kΩV1

3.5 Vrms

5kHz

D1

3 V

RL10kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

Vout

U1

VCC

15V

VEE

-15V

Vin

Page 222: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

214

Devre Dosya İsmi 053 Double-Bounded Comparator.ms10

Devre Açıklaması

12.7. Çift-Sınırlandırılmış Karşılaştırıcı

Bu devre bir çift sınırlandırılmış karşılaştırıcıyı gösterir ve onun çalışmasını göstermektedir. İki zener diyot

( 1D ve 2D ) pozitif ve negatif yarım saykılın her ikisinin gerilim düşümü tarafından büyütülen zener

gerilimine çıkış gerilimini sınırlar: VVz 7,02 veya VVz 7,01 burada 1zV ve 2zV zener diyotlar için

zener gerilimidir.

Deneyler Bu devrede meydana gelen olayı açıklayın. Osiloskop dalga şekline bakarak her iki kutuplanma

için çıkış işaretini belirleyin.

Devre Şeması

Rin

5kΩ

XFG1

U1

OPAMP_3T_VIRTUAL

D1

5 V

D2

5 V

Rl10kΩ

XSC2

A B

Ext Trig+

+

_

_ + _

Vin

Vout

Page 223: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

215

Devre Dosya İsmi 054 Window Detector.ms10

Devre Açıklaması

12.8. Pencere Dedektörü

Bu devre bir pencere dedektörünü gösterir. Ayrıca çift sonlanmış sınır detektörü denir. Bu devre genellikle giriş gerilimi öngörülen gerilim sınırları dışına gittiğini saptamakta kullanılır (aynı devrede 4,5V ve 5,5V arasında).

Deneyler Devreyi analiz edin. Bir pencere dedektörü üzerinden beslenmesi gerekebilen bir cihazı

adlandırın.

Bir giriş kanalı A ( Vin ), diğeri B’ye ( Vout ) karşı –A/B veya B/A göstermek için osiloskop

ekranının eksenlerini değiştirin. Üst ve alt eşik gerilimlerini doğrulayın.

Devre Şeması

R110kΩ

V1

12 V

V2

12 V

Vin

Vout

U1

741

U2

741

V35.5 V

V44.5 V

V5

5 Vrms

1kHz

0Deg

D1

D2

XSC1

A B

Ext Trig+

+

_

_ + _

Page 224: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

216

Devre Dosya İsmi 055 Schmitt Trigger.ms10

Devre Açıklaması

12.9. Schmitt Trigger

Schmitt tetikleyicisi olarak da bilinen yukarıdaki devre histerezis karşılaştırıcıyı göstermektedir. Alt ve üst eşik değerlere ulaşıldığında devre yalnız bir kez tetiklenir. Terslemeyen giriş (+) direnç gerilim bölücüye bağlanırken tersleyen girişe (–) giriş işareti uygulanır. Çıkış geriliminin bir kısmı girişe geri beslemededir.

Giriş gerilimi ( Vin ) yukarı tetikleme noktasını (UTP) aştığında onun maksimum negatif değerine

( maxVout ) düşer; giriş düşük tetikleme noktası (LTP) altına düştüğünde, çıkıştan onun maksimum

pozitif değerine ( maxVout ) ulaşır. Fark edeceğiniz gibi, gürültünün küçük bir kısmı çıkışta etkili değildir.

Deneyler UTP, LTP ve histerezis gibi bu devrede belirli parametreleri açıklayın. Bunların Osiloskop

ekranındaki konumlarını belirleyin. Yukarıdaki yorumları doğrulayın.

Devre Şeması

R1100kΩ

U1

LM301AD

XFG1

V1

12 V

V2

12 V

R250kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

Vin

Vout

Page 225: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

217

Devre Dosya İsmi 056 Nonzero-Level Detector.ms10

Devre Açıklaması

12.10. Sıfırdan Farklı Seviye Dedektörü

Bu devre önceden ayarlanmış referans gerilimi (Vref ) üstündeki bütün gerilimleri algılayan sıfırdan farklı

seviye dedektörünü temsil eder. Zener diyot referans gerilimini ayarlamakta kullanılır. Sinüs dalga giriş

gerilimi (Vin ), Vref ’ten daha az olduğunda, çıkış negatif maksimum seviyesinde kalır. Vin , Vref ’i

aştığında çıkışta pozitif maksimum değer oluşur.

Deneyler Osiloskop üzerinde dalga şekline bakarak yukarıdaki yorumları doğrulayın.

Devre Şeması

R15kΩ

RL10kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

Vout

V110 V

XMM1

XFG1

Vz

Vin

VCC

15V

VEE

-15V

Dref1N4730A

U1

Page 226: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

218

Devre Dosya İsmi 057 Inverting Positive- Voltage Detector.ms10

Devre Açıklaması

12.11. Pozitif Tersleyen Gerilim Dedektörü

Bu devre bir pozitif gerilimi belirlemeyen karşılaştırıcı gibi ayarlayan tersleyen gerilimi dedektörünü göstermektedir ve bu devre, devre analizi yapmakta kullanılır. Giriş işareti (1kHz 2V sinüs dalga)

tersleyen uca uygulanırken, pozitif referans gerilimi (Vref ) terslemeyen giriş ucuna uygulanmaktadır.

Giriş işareti referans geriliminin değerini aşarsa, çıkış gerilimi doyma geriliminin değerine ( Vsat ) ulaşır.

Deneyler Osiloskop üzerindeki dalga şekline bakarak referans gerilimi ( Vref ) ve doyma gerilimi için

değerleri belirleyin.

VVref 2 için adımı tekrarlayın ve giriş işaretini 3V tepe 1kHz üçgen dalgaya ayarlayın.

Devre Şeması

V11 V

Rl10kΩ

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

Vout

Ei

Vref

V210 V

V3

10 V

U1A

Page 227: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

219

Devre Dosya İsmi 058 Positive-Voltage Detector.ms10

Devre Açıklaması

12.12. Pozitif Gerilim Dedektörü

Bu devre bir pozitif gerilimi belirlemede karşılaştırıcı gibi ayarlayan terslemeyen pozitif gerilim dedektörünün çalışmasını göstermektedir. Giriş işareti (1V tepe 1kHz sinüs dalga) terslemeyen giriş

ucuna uygulanırken pozitif referans gerilimi (Vref ) tersleyen giriş ucuna uygulanmaktadır. Giriş işareti

referans gerilimini aştığında çıkış opamp’ın doyma gerilimine eşittir.

Deneyler Osiloskop üzerinde dalga şekline bakarak, eğer giriş işareti referans geriliminin üstünde ise çıkış

gerilimini belirlemeyi, eğer giriş işareti referans geriliminin altında ise çıkış gerilimini belirlemeyi deneyin. Bir de girişle ilgili olarak çıkış geriliminin kutuplanmasını tartışın. (İpucu: giriş işareti

Vref üstü için VsatVout , giriş işareti Vref altı için VsatVout .)

Değişik giriş işareti ve Vref ’in değeri için birinci adımı tekrarlayın.

Devre Şeması

Rl10kΩ

V1

12 V

V2

12 V

Vref

Vout

U1

741

Vref1mV

XSC1

A B

Ext Trig+

+

_

_ + _

XFG1

Page 228: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

220

Devre Dosya İsmi 059 Inverting Adder.ms10

Devre Açıklaması

12.13. Tersleyen Toplayıcı

Bu devre tersleyen toplayıcıyı temsil eder. Üç giriş işareti tersleyen giriş ucuna uygulanmaktadır. Yük

direnci karşısındaki sonuç çıkış gerilimi (Vout ) kutuplanması terslenmiş bu üç giriş işaretinin toplamına

eşittir.

Deneyler Devreye bakarak geri besleme direncinden ( Rf ) akan toplam akımı belirleyin. Direnç

karşısındaki gerilim düşümü nedir? Bu yük direnci ( 1R ) karşısındaki gerilime eşit midir? Eğer

analiziniz doğruysa aşağıdaki eşitlikle bulabilirsiniz: )321( EEEVout

Devreyle deneyi yapın. Örneğin bir kısa devre ile toprağa 3E kaynağını değiştirin veya diğer

giriş kaynağı 4E ’ü S toplama noktası ve dördüncü işaret arasında diğerlerine eşit direnç ile ekleyin.

Devre Şeması

Rf

100kΩV1

15 V

V2

15 V

I3

Vout

XMM1

U2

DC 1e-009W

0.122m A

+ -

Rl10kΩ

U1

741

E11 V

E25 V

E35 V

R1

90kΩ

R2

90kΩ

R3

90kΩ

U3

DC 1e-009W

0.056m A

+ -

U4

DC 1e-009W

0.056m A

+ -

U5

DC 1e-009W

0.011m A

+ -

I2

I1

SIt

Page 229: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

221

Devre Dosya İsmi 060 Non-Inverting Adder.ms10

Devre Açıklaması

12.14. Terslemeyen Toplayıcı

Bu devre bir n terslemeyen yükseltece bir pasif ortalama devreyi (üç eşit direnç R ve üç giriş gerilimi)

bağlayarak yapılan terslemeyen bir toplayıcıyı göstermektedir. Çıkış gerilimi (Vout ) girişin n sayısında

yükselten Ein ’in bir sonucu iken, toplam Ein , 1E , 2E , 3E ’ün ortalama değerine eşittir.

Deneyler Aşağıdaki formülü kullanarak:

Terslemeyen toplayıcı

nEEEEin )321(

n girişlerin sayısı

)1( nRiRf

707,0.nEVo in

Ein ’i hesaplayın. Osiloskop üzerinde görünen ile sonucu karşılaştırın.

Çıkış geriliminin değerini belirleyin. Gerilim kazancını hesaplayın. Bu 3’e eşit mi? Devre kazancını

girişlerin sayısına eşit olduğunu ispatlayınız. (İpucu: varsayılan Ri değerinde, Rf ’nin

)1( nRiRf gibi bulunabilir. Kapalı çevrim kazanç RiRiRfAc )(1 gibi ifade edilirken

bu eşitlikte Rf yerini alabilir ve kazanç nAc 1 s olarak ifade edilir.

Devre Şeması

R110kΩ

E1

2 Vrms

1 Hz

XSC1

A B

Ext Trig+

+

_

_ + _

Vout

R210kΩ

R310kΩ

E3

2 Vrms

1 Hz

E2

5 Vrms

1 Hz

Ri

10kΩ

Rf

20kΩ

EinU1

VEE

-15V

VCC

15V

Page 230: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

222

Devre Dosya İsmi 061 Opamp Differentiator.ms10

Devre Açıklaması

12.15. Opamp Fark Alıcı

Bu devre bir üçgen giriş ile opamp fark alıcı göstermektedir. Bu devre giriş frekansının onun çalışmasına

etkisinin nasıl olduğunu keşfetmede kullanılabilir. Bu devre giriş frekansı )..2(1 CRsfc olarak ifade

edilen düşük frekans cevabından (kesme frekansı) daha az olduğunda bir fak alıcı gibi işlev görür. Başka

deyişle, RsRf ’ye eşit bir gerilim kazancı ile tersleyen bir yükselteç yaklaşımıdır.

Deneyler Giriş ve çıkış dalga şekillerini inceleyin. Giriş gerilimi üçgen dalga uygulandığına dikkat edin.

Dalga şekli ve faz kayması kavramlarında giriş ve çıkış işaretlerini karşılaştırın. Olguları açıklayın.

Giriş frekansının farklı değerlerine ayarlayın ve çıkış gerilimini inceleyin:

(1) fonksiyon jeneratörü: kHzf 2 ; Osiloskop zaman kademesi 0,2ms/DIV. Ortaya çıkan

değişikliklere dikkat edin. Frekans tepe çıkış gerilimini nasıl etkiler?

(2) fonksiyon jeneratörü: kHzf 33 ; Osiloskop zaman kademesi 0,2ms/DIV; B kanalı duyarlılığı

5,00V/DIV. Şimdi bulunanlar nedir? (İpucu: çıkış işareti üçgen dalgadır, girişe göre 180 derece kaymıştır.) Açıklamanızla cevabınızı destekleyin. Gerilim kazancını hesaplayın.

Devre Şeması

Rf

22kΩ

Rs

2.2kΩ

U1

OPAMP_3T_VIRTUAL

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

C1

4.7nF

Page 231: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

223

Devre Dosya İsmi 062 Basic Differential Amplifier.ms10

Devre Açıklaması

12.16. Temel Fark Amplifikatörü

Bu devre temel fark yükseltecini gösterir. Bu devre çok daha büyük işaret içinde gömülmüş olan küçük işaretleri yükseltmek için kullanılır. Bu devrenin çıkış gerilimi, opamp’ın pozitif ve negatif girişlerine uygulanan giriş gerilimlerindeki farka oransaldır;

)21( EEmVout

Burada m diferansiyel (fark) kazanç denir ve direnç oranı tarafından ayarlanır, RmRm / .

Deneyler Fark kazancı belirleyin. Yukarıdaki açıklamada devre bileşen değerlerinin yanı sıra verilen formül

yardımcı olacaktır. Kazanç 100 mü?

Verilen giriş kaynak gerilimleri 1E ve 2E ve adım 1’de hesaplanan fark kazancı dikkate alarak

çıkış gerilimini (Vout) hesaplayın. Bu eşitliği kullanacak mısınız: )21( EEmVout ? Eğer

evetse, doğru yoldasınız.

mVE 22 için ikinci adımı tekrarlayın. Diğer bileşen değerleri aynı kalır.

Devre Şeması

V1

12 V

V2

12 V

Vout

U1

741

E2

1.5mVrms

1 Hz

E1

1mVrms

1 Hz

R1

1kΩ

R2

1kΩ

mR1100kΩ

mR2100kΩ

RL10kΩ

XMM1

Page 232: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

224

Devre Dosya İsmi 063 Opamp Common-Mode Rejection.ms10

Devre Açıklaması

12.17. Opamp Ortak Mod Reddetme

Bu devre ortak mod reddetme, işlemsel bir yükseltecin en önemli yönlerinden birini göstermektedir. Aynı fazın, genliğin ve frekansın iki işaret gerilimi iki girişe uygulanmaktadır. Çıkış gerilimi izlenmekte ve ideal olarak sıfıra eşittir. (Pratik olarak opamp ideal bir aygıt değildir ve çıkış gerilimi incelenmelidir.) İstenilen işaretin bozulmaması için eğer istenmeyen işaretler (gürültü gibi) iptal etmek gerekiyorsa ortak mod reddetme son derece önemli bir yönüdür.

Deneyler Devreye bakarak aynı zamanda fark (diferansiyel) yükselteci denen ortak mod giriş fonksiyonu

analiz edin. Çıkış geriliminin neden sıfıra eşit veya yakın olduğunu açıklayın.

Kanal B’nin duyarlılığını 0,2mV/DIV’e artırın. Ne gözlemlediniz?

Fark (diferansiyel) yükselteç gerilim kazancı (Ad), ortak mod gerilim kazancını ( Acm ) ve ortak

mod reddetmeyi (CMR) hesaplayın.

2R ’nin değişik değerlerine ( 42 RR unutmayın) ayarlayın ve önceki hesaplamaları tekrarlayın. Ortak mod reddetme (CMR) etkisi nasıldır?

Devre Şeması

R2

100kΩV1

15 V

V2

15 V

Vo(cm)

U1

741

R4100kΩ

R3

100Ω

R1

100Ω

E

7.07 Vrms

60 Hz

0Deg

Vi(cm)

XSC1

A B

Ext Trig+

+

_

_ + _

Page 233: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

225

Devre Dosya İsmi 064 Basic Differential Amplifier with Sensing Terminals.ms10

Devre Açıklaması

12.18. Duyarlı Uçlarla Temel Diferansiyel (Fark) Amplifikatör

Bu devre yüke doğrudan bağlı duyarlı ve referans uçlarla temel diferansiyel (fark) yükseltecini gösterir.

Çıkış gerilimi (Vout ) yükseltecin kazancı ve giriş gerilimine bağlı olmasına sebep olur, yük akımı veya

yük direncine ( 1R ) bağlı değildir.

Deneyler Devreyi analiz edin. Duyarlı uç yük ucuna (yükselteç çıkışına değil) uzatılarak ne elde edilmiştir?

Geri besleme gerilimi sabit tuttu mu?

Voltmetreyi sürekli gözlemleyerek, çeşitli yük direnci değerini ayarlayın. 1R ile birlikte okuma değişmekte mi? (İpucu: Hayır, değil.)

Şimdi, voltmetreyi gözlemleyerek 1E giriş gerilimini değişik değerlere ayarlayın. Bu değişimin çıkış gerilimine etkisi nasıl oldu ve yükseltecin gerilim kazancı hakkında ne söyleyebilirsiniz?

Devre Şeması

R1

1kΩ

U1

RL50kΩ

Vout

R2

1kΩ

R3

1kΩ

R4

1kΩ

Q1

2N3904

V210 V

V15 V

XMM1

Page 234: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

226

Devre Dosya İsmi 065 Common-Mode Voltage Amplifier.ms10

Devre Açıklaması

12.19. Ortak Mod Gerilim Yükselteci

Bu devre ortak modlu gerilim yükseltecinin çalışmasını gösterir. İki giriş ucu, birlikte kablolu ve ortak

modlu giriş gerilimi Ecm denen gerilim kaynağına bağlandı. Negatif ve pozitif giriş uçlarına eşit gerimler

uygulamaktadır. Direnç oranları ( RmR / , burada m diferansiyel kazanç) eşitse ek olarak çıkış gerilimleri

sıfıra yaklaşmalıdır. Bu bir direnç ile seri bir potansiyometre kurularak elde edilir.

Deneyler Osiloskop ekranını gözlemleyerek yukarıda açıklanan giriş ve çıkış gerilimi kavramları doğrulayın.

EcmVout olarak tanımlanmış Ortak modlu gerilim kazancı nedir? Sıfır mıdır?

Terslemeyen uçta sonraki düğümlere bağlı kabloyu açın (B,C,D …). Terslemeyen uçta basitçe direnç değişimi olduğunu unutmayın. Ne buldunuz? Çıkış gerilimi 0 kalır mı? Değilse nedenini açıklayın.

Devre Şeması

R1

1kΩ

R1=R

U1

XSC1

A B

Ext Trig+

+

_

_ + _

Ecm

10 Vpk

1kHz

R2

1kΩ

R2=R

mR1

100kΩ

mR2100kΩ

R45kΩ

R510kΩ

R615kΩ

R720kΩ

A

B

C

D

E

F

R31kΩ

VoutVin

Page 235: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

227

Devre Dosya İsmi 066 Triangular-Wave Generator.ms10

Devre Açıklaması

12.20. Üçgen-Dalga Generatör

Bu devre üçgen dalga ve kare dalga osilatör işareti üreten üçgen dalga jeneratörün karakteristiklerini ve

çalışmasını gösterir. Vb çıkışında kare dalga elde edilirken Va çıkışında üçgen dalga üretilmektedir.

Lütfen salınım devrelerinin kararlılık durumuna ulaşmayacağını unutmayın, bu nedenle birkaç saykıl sonra simülasyonu durdurmanız önerilir.

Deneyler

Devreyi analiz edin. Bu devrenin doyma gerilimi (Vsat ), PVsatVut )( olarak tanımlanan

üst eşik gerilimi ( Vut ) ve PVsatVt )( (burada RpRp ) olarak tanımlanan

önemli parametrelerin belirtilerini bulun.

Doyma gerilimlerinin eşit olduğunu varsayarak salınım frekansını hesaplayın. Devredeki bileşen değerlerini kullanın.

Devre Şeması

V1

15 V

V2

15 V

U1

741

V3

15 V

V4

15 V

U2

741

R

10kΩ

Ri

14kΩ

C1

50nF

pR

28kΩ

Vb

Va

XSC1

A B

Ext Trig+

+

_

_ + _

Page 236: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

228

Devre Dosya İsmi 067 Triangular-to-Sine-Wave Generator.ms10

Devre Açıklaması

12.21. Üçgen-Sinüs Dalga Jeneratör

Bu devre üçgen sinüs dalga jeneratörü temsil eder ve sinüsoidal şekle etkileyen parametrelerin ne olduğunu belirlemek için bu devrenin çalışmasını göstermekte kullanılabilir. Adından da anlaşılacağı gibi üçgen dalga jeneratör çıkışı sinüs dalgaya dönüştürülür. Burada kullanılan cihaz, kazanç ile çıkış

geriliminin genliği ile ters değişen bir yükselteçtir. En iyi sinüsoidal şekil için 1R , 2R , 3R ve giriş tepe

gerilimi Ei ayarlanabilmektedir.

Deneyler Devreyi analiz edin. İşaret dönüştürme sürecine eşlik eden olguları açıklayın.

1R , 2R , 3R ve Ei farklı değerlere ayarlayın, bu değişiklikler sinüsoidal şekle nasıl etki etmekte

olduğunu inceleyin. Ne tür ayarlamalar sinüs dalga şekli için yuvarlatılmış üstleri oluşturur? (İpucu:

Vout geriliminin tepesinde yükseltecin kazancını sıfıra yaklaştırmak için 2R ’yi ayarlayın.)

Devre Şeması

R15kΩ

V1

15 V

V2

15 V

Vout

U1

741

XSC1

A B

Ext Trig+

+

_

_ + _

R21.1kΩ

R3

5kΩ

R41.25kΩ

R5

5kΩ

R6

5kΩ

XFG1

Ei

Page 237: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

229

Devre Dosya İsmi 068 Dead-Zone Circuit with Negative Output.ms10

Devre Açıklaması

12.22. Negatif Çıkışlı Ölü Bölge Devresi

Bu devre negatif girişli ölü bölge devresini göstermektedir. Referans geriliminin ( Vref ) üstündeki bütün

giriş işaretleri ölü bölgede düşer ve çıkıştan kalkar. Başka bir deyişle, VrefEiVoa çıkış işareti için

eşittir: Vob çıkışı opamp tarafından tekrar yerleştirilmekte ve Vref altında duran giriş işaretinin ( Ei )

kaç volt olduğunu gösterir.

Deneyler Devreyi tartışın. Meydana gelen olguyu açıklayın.

Referans gerilimini (Vref ), çıkış gerilimini (Voa ) ve çıkış işaretini (Vob ) hesaplayın. Formülleri

kullanın: Negatif çıkışlı ölü bölge devresi

mVVref / ; RmRm / ;

VrefEiVoA ; VoAVoB

Osiloskopta görüntülenen dalga şekline bakarak sonuçlarınızı doğrulayın. Çizici üzerinde konumlanmış referans gerilimi süreci anlamanıza yardım edebilir.

Devre Şeması

V115 V

R1

10kΩ

mR1

30kΩ

D1

R2

10kΩ

D2

XFG1

R3

10kΩ

R4

10kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

U1

U2V215 V

V315 V

Page 238: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

230

Devre Dosya İsmi 069 Dead-Zone Circuit with Positive Output.ms10

Devre Açıklaması

12.23. Pozitif Çıkışlı Ölü Bölge Devresi

Bu devre pozitif çıkışlı ölü bölge devresini göstermektedir. Referans geriliminin Vref ) altındaki bütün

giriş işaretleri ölü bölgede düşer ve çıkıştan kaldırılır; başka bir deyişle; VrefEiVoa çıkış işaretine

eşittir. Vob çıkışı opamp tarafından tekrar yerleştirilir ve Vref altında duran giriş işaretinin ( Ei ) kaç

volt olduğunu işaret eder.

Deneyler Devreyi analiz edin. Olguyu açıklayın.

Referans gerilimini (Vref ), çıkış gerilimini (Voa ) ve çıkış işaretini (Vob ) hesaplayın. Devredeki

bileşen değerlerini hesaplamak için aşağıda verilen formülleri kullanın. Ölü bölge devresi

Ei daha negatif olduğunda Vref ’e kıyasla diyotlar Voa gerilimi yapar. B opampı VoB pozitif

gerilimini vermek için VoA ’yı tersler.

VoAVoB , mVVref / , RmRm /

Osiloskopta görünen dalga şekline bakarak hesaplanan sonuçları karşılaştırın. Çizici üzerinde referans gerilimini konumlandırmaya çalışın. Bu süreci anlamanıza yardım edebilir.

Devre Şeması

R2

10kΩ

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

R1

10kΩ

U1

741-DIV

D1

D2

V115 V

mR

30kΩ

Ei

VoAR3

10kΩ

R4

10kΩ

U2

741-DIV

VoB

V215 V

V315 V

Vpos

VnegVneg

Vpos

Vneg

Vpos

Page 239: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

231

Devre Dosya İsmi 070 Bipolar Dead-Zone Circuit.ms10

Devre Açıklaması

12.24. İki Kutuplu Ölü Bölge Devresi

Bipolar ölü bölge devresi, negatif çıkışlı ölü bölge devresinin ve pozitif çıkışlı ölü bölge devresinin

düzenlenmesidir. Bu devreden çıkışlar Voa ve Vob , B opamp’ı terslenmiş toplayıcıya bağlanmaktadır.

Toplayıcı giriş işareti Ei ’nin referans geriliminden ( Vref ) ne kadar yukarıda veya aşağıda olduğunu

gösterir.

Deneyler Devreyi analiz edin ve yukarıdaki açıklamada belirtildiği gibi iki devre arasında ayarlamaya çalışın.

Devre Şeması

U1

741

R

10kΩ

mR1

30kΩ

Vob

Voa

U2

741

U3

741

R1

10kΩ

R2

10kΩ

R3

10kΩ

R4

10kΩ

R5

10kΩ

R6

10kΩ

mR2

30kΩ

V315 V

V415 V

XFG1

Voa'

Ei

XSC1

A B

Ext Trig+

+

_

_ + _

Page 240: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

232

Devre Dosya İsmi 071 Peak Detector.ms10

Devre Açıklaması

12.25. Tepe Dedektör

Bu devre, aynı zamanda takip ve tutma ya da pozitif bir tepe izleyicisi olarak adlandırılan bir tepe dedektörü göstermektedir ve analizi için kullanılır. Bu giriş işaretinin tepelerini izler ve mekanik veya elektronik bir anahtar tarafından deşarj edilene kadar bir kondansatör üzerinde en büyük değerde tutar. Giriş işareti kondansatör gerilimini aştığında yalnızca kondansatör karşısında düşen gerilim değişir.

Deneyler Devrenin çalışmasını analiz edin. Eğer giriş işareti ( Ei ) kondansatör gerilimini (Vc ) aşarsa ne

olduğunu ve Ei , Vc ’den daha az olursa ne olduğunu açıklayın. (İpucu: Eğer Ei , Vc değerini

aşarsa, C kondansatörü Ei yönünde değişir; başka bir deyişle C kondansatörü Ei ’nin önceki

en yüksek değerindeki gerilimde onu tutar.)

Bu devredeki opampın fonksiyonunu tanımlayın. Biri opamp izleyici iken biri hassas bir yarım dalga doğrultucudur. Bu doğru mu?

Giriş işaretinin ( Ei ) farklı değerlerini ayarlayın. 7V, 9V, 10V. Voltmetrede okunanları inceleyin.

Devre Şeması

V1

15 V

V2

15 V

Vout

U1

741

XSC1

A B

Ext Trig+

+

_

_ + _

XFG1

Ei

V3

15 V

V4

15 V

U2

741

Rf

10kΩ

Vc

C1100nF

Rl10kΩ

Page 241: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

233

Devre Dosya İsmi 072 Precision Clipper.ms10

Devre Açıklaması

12.26. Hassas Kırpıcı

Bu devre hassas bir kırpıcıyı gösteriyor ve bipolar çıkışlı ölü bölge devresine Rc direnci eklenerek

yapılmıştır. Bu pozitif bir referans gerilimi üstündeki ve negatif referans gerilimi altındaki bütün işaretleri kırpar.

Deneyler Devrenin çalışmasını analiz edin. Yukarıdaki açıklamaları doğrulayın.

Rc direncini kaldırın. Çıkış işaretinin dalga şeklini inceleyin. Bu bipolar çıkışlı ölü bölge devresindeki çıkışa benzer görünüyor mu? Direnci devreye koyun ve tekrar etkinleştirin. Referans geriliminin farklı değerlerine ayarlarken çıkış gerilim dalga şeklini inceleyin.

Devre Şeması

R1

20kΩVrefa15 V

R2

10kΩ

R3

10kΩ

XFG1

R4

10kΩ

R5

30kΩ

Vref15 V

Ei

R6

10kΩ

R7

10kΩ

R8

10kΩ

R9

10kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

R10

10kΩ

Vout

Voa

Vob

U1

VCC

20V

VEE

-20V

U2

VCC

20V

VEE

-20V

U3

VEE

-20V

VCC

20V

D4

D3

D2

D1

Page 242: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

234

Devre Dosya İsmi 073Precision Full-Wave Rectifier.ms10

Devre Açıklaması

12.27. Hassas Tam Dalga Doğrultucu

Bu devre eşit dirençli hassas tam dalga doğrultucuyu gösterir, aynı zamanda mutlak değer devresi denir.

Diğeri terslenirken giriş işaretinin bir polaritesi aktarılır. Genel olarak çıkış işareti (Vo ) her zaman pozitif

polariteli ve giriş işaretinin mutlak değerine eşittir: EiVo . Bu devre doğrultucunun çalışmasının

analizini yapmakta kullanılır.

Deneyler Devrenin çalışmasını analiz edin, pozitif giriş gerilimi için akım geçişini ve gerilim polaritesini

tartışın. Doğrultucunun çalışma analizini tekrarlayın.

Osiloskop üzerinde dalga şekline bakarak çıkışın her zaman pozitif olduğunu ve bu değerin giriş geriliminin mutlak değerine eşit olduğunu doğrulayın. Osiloskobun duyarlılığını 1V/DIV’e ayarlayın.

Diyotları çevirin. Giriş işaretinin ( Ei ) her iki polaritesi için negatif bir çıkış elde edilir mi?

Devre Şeması

R4

5kΩ

R2

5kΩ

U1

XSC1

A B

Ext Trig+

+

_

_ + _

R3

5kΩ

R1

5kΩV1

1 Vpk

1kHz

Dp

Dn

U2

R5

5kΩ

Rl10kΩ

Page 243: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

235

Devre Dosya İsmi 074 Basic Shunt Regulator.ms10

Devre Açıklaması

12.28. Temel Şönt (Paralel) Regülatör

Bu devre temel opamp şönt regülatörü gösterir ve yalnızca devre analiz için kullanılır. Bu devredeki

kontrol elemanı yük direnci ile paralel olan 1Q transistörüdür. Regülasyon paralel transistörden geçen

akım kontrol edilerek elde edilir.

Deneyler Çıkış gerilimi artmasına veya azalmasına etki nedir?

Devre Şeması

V1

15 V

V2

15 V

U1

741

Vout

R112kΩ

V325 V

D15.1 V

Q1R38.2kΩ

R43.9kΩ

R2

100Ω

U2DC 1e-009W0.016 A

+

-

RL1kΩ

Page 244: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

236

Devre Dosya İsmi 075 Basic Opamp Series Regulator.ms10

Devre Açıklaması

12.29. Temel Opamp Serisi Regülatörü

Bu devre doğrusal regülatörün temel opamp seri türünü gösterir. Kontrol elemanı giriş ve çıkış arasındaki

yük direnci ile seridir. Çıkış örnek devre (yukarıdaki resimde gördüğünüz) gerilim bölücü 2R ve 3R

tarafından oluşturulur, çıkış gerilimindeki herhangi bir değişikliği algılar. Hata dedektörü zener diyotla referans geriliminde tutulan örnek gerilimi karşılaştırır ve sabit bir çıkış gerilimi sağlamak amacıyla kompanze etmeye kontrol elemanı neden olur.

Deneyler Çıkış gerilimi arttığında veya azaldığında ne olduğunu açıklayın. (Dikkat: yük akımı arttığında

veya Vin azaldığında çıkış gerilimi azalır.)

Devre Şeması

V1

15 V

V2

15 V

U1

741

Vout

R11kΩ

V315 V

D15.1 V

Q1

R210kΩ

R310kΩ

XMM1

Page 245: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

237

Devre Dosya İsmi 077 Current-to-Voltage Series Regulator.ms10

Devre Açıklaması

12.30. Akım-Gerilim Serisi Regülatörü

Bu devre akım gerilim dönüştürücüyü temsil eder. Adından da anlaşılacağı gibi dirençten ( Rf ) geçen

kısa devre akımının ( Isc ) tamamını çıkış gerilimine dönüştürülür.

Deneyler Devreyi analiz edin. Iscakım geçişini tartışın. Voltmetrede okunanın avantajlarından ve gerekli

bileşen değerlerinden yararlanarak kısa devre akımını ( Isc ) hesaplayın. Bu eşitlik yardım edebilir:

RfVoIsc / .

Kısa devre direncinin değerini değiştirin. Isc ’ye etkisi ne oldu?

Devre Şeması

Rf

40.8Ω

R1100Ω

U1

-4.079 V

+

-

Isc100mA

R215kΩ

Vo

Page 246: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

238

Devre Dosya İsmi 078 Voltage Follower.ms10

Devre Açıklaması

12.31. Voltaj Takipçisi

Bu devre bir gerilim izleyiciyi gösterir. Adından da anlaşılacağı gibi çıkış gerilimi giriş veya kaynak gerilimini izler; bu giriş işareti gibi aynı genlik ve polaritede çıkış gerilimi üretir.

Deneyler Çıkışın giriş neden takip ettiğini açıklayın. Bunun sebebi opamp’ın iç direnç değeri midir?

Devrenin gerilim kazancı nedir? 1 midir?

Devre Şeması

V115 V

V215 V

U1

741

R110kΩ

U2

741

U3

741

U4

741

XMM1

V3

5 Vrms

1kHz

Ei

Vout

Page 247: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

239

Devre Dosya İsmi 079 Digital-to-Analog Converter.ms10

Devre Açıklaması

12.32. Dijital Analog Dönüştürücü

Bu devre dijital analog dönüştürücüyü gösterir ve yalnızca devre analizinde kullanılır. Bu bir dijital giriş

0D ve 3D tarafından kontrol edilerek analog gerilime dönüştürülür. Bir akım şeklindeki direnç

devresinin çıkışı bir gerilime dönüştürülür. Her iki akım ve gerilim çıkışları dijital giriş kodunun analog temsilidir.

Devre Şeması

V1

15 V

V2

15 V

U1

741

Iref R1

10kΩ

Vref10 V

I0

U2

DC 1e-009W

0.998m A

+ - R2

10kΩ

R3

10kΩ

R420kΩ

R520kΩ

R620kΩ

R720kΩ

R820kΩ

U3DC 1e-009W0.063m A

+

-

D0D1D2D3

Iout

U5

DC 1e-009W

0.937m A

+ -

Rf

10kΩ

XMM1

Vout

Page 248: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

240

Devre Dosya İsmi 080 Servo Amplifier.ms10

Devre Açıklaması

12.33. Servo Amplifikatör

Servo yükseltici iki kez giriş işareti ( Ei ) olan çıkış gerilimini (Vo ) üretir. Kondansatörde düşen gerilim

(Vcap ) giriş geriliminin üç katıdır.

Deneyler Devrenin çalışmasını analiz edin. Yukarıdaki ifadeleri doğrulayın. Giriş işaretini 3V’a ayarlayın.

Vcap , Vo ve Vf ’nin değerlerini belirleyin. Açıklamada verilen formüllerle sonuçlar uyumlu

mudur?

Rb ’den geçen akımı hesaplayın. Ra ’dan geçen akımla aynı akım mıdır? Hesaplamak için

devrede verilen bileşen değerlerini kullanın. İlgili okumalarla sonuçlarınızı karşılaştırın.

Devre Şeması

Ri

100kΩ

Ei2 V

C1

1uF

5.800 V

+ -

Rc

10kΩ

Rd

10kΩ

Ra10kΩ

Rb10kΩ

U1

U3

VCC

15V

VEE

-15V

VCC

15V

VEE

-15V

Probe1,Probe1 V:

I:

Probe2,Probe2 I:

Page 249: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

241

Devre Dosya İsmi 081 Subtractor.ms10

Devre Açıklaması

12.34. Çıkarıcı

Bu devre iki giriş tersleyen ortalamaya tersleyen yükselteci bağlayarak yapılan bir çıkarıcıyı

göstermektedir. Bu 1E ve 2E arasındaki farka eşit çıkış gerilimi üretir: 21 EEVo ( 1RRf

varsayılır).

Deneyler Devrenin çalışmasını analiz edin. Yukarıda belirtilen iki devre arasındaki farkları ayırt ediniz.

Üretilen çıkış geriliminin 1E ve 2E arasındaki farka eşit olduğunu ispatlayın. 1RRf varsayın.

Eğer Rf değeri Ri ’den daha büyükse çıkış gerilimi nedir? Bu problemi çözmenizde yardım

etmek için Rf ’yi 15k’a ayarlayın ve devreyi etkinleştirin. Voltmetre üzerinde çıkış gerilimini

gözlemleyin. Formüllerle bulduklarınızı doğrulayın:

21 EEVo

Eğer RiRf ise çıkarıcı RiRf / oranının bir kazancı olacaktır.

)21)(( EERiRfVo

Devre Şeması

R1

10kΩ

U1

OPAMP_3T_VIRTUALV14 V

XMM1

R2

10kΩ

U2

OPAMP_3T_VIRTUAL

Ria

10kΩ

Rib

10kΩ

V21 V

Rf

10kΩ

Page 250: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

242

Devre Dosya İsmi 083 Opamp Integrator.ms10

Devre Açıklaması

12.35. Opamp İntegral Alıcı

Bu devre kare dalga girişli opamplı integral alıcıyı göstermektedir ve onun çalışmasının giriş frekansına etkisinin nasıl olduğunu göstermekte kullanılabilir. Giriş frekansı düşük frekans cevabında (kesme

frekansı) daha büyük olduğunda integral alıcı gibi çalışır. Aksi halde 1/ RRs ’e eşit gerilim kazançlı bir

terleyen yükselteç gibi işlev görür.

Deneyler Giriş ve çıkış dalga şekillerini gözlemleyin. Uygulanan giriş geriliminin kare dalga olduğuna dikkat

edin. Giriş ve çıkış işaretlerinin faz kayması ve dalga şekli kavramlarını karşılaştırın. Olguyu açıklayın.

Giriş frekansının değişik değerlerini ayarlayın ve sonuç çıkış gerilimini gözlemleyin. Cihazı aşağıdaki gibi ayarlayın:

(1) fonksiyon jeneratörü: kHzfin 4 ; Osiloskop timebase=0,1ms/DIV, kanal B

duyarlılığı=5V/DIV. Çıkış işareti gibi görünen nedir? Tepe çıkış gerilimi arttı mı? (İpucu: evet.)

(2) fonksiyon jeneratörü: Hzfin 100 ; Osiloskop timebase=2ms/DIV, kanal B

duyarlılığı=0,01kV/DIV. Çıkış dalga şekli şimdi kare midir? Girişe yansıyan onun faz kayması

nedir? (İpucu: çıkış işareti 180 faz kaymalı kare dalgadır.) Cevaplarınızı kısa bir açıklama ile destekleyin.

Devre Şeması

V1

15 V

V2

15 V

U1

741

Rs

100kΩ

C1

2.2nF

R1

10kΩ

R210kΩ

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

Page 251: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

243

13. NI MULTISIM TEMEL DEVRELER: Filtreler

Devreler

084 First Order-Low Pass Filter.ms10

085 Second-Order Low-Pass Filter.ms10

087 Sallen-Key Second-Order Low-Pass Filter.ms10

088 Third-Order Low-Pass Filter.ms10

089 High-Pass Active Filter.ms10

091 Second-Order High-Pass Filter.ms10

092 Butterworth Second-Order High-Pass Filter.ms10

093 Third-Order High-Pass Filter.ms10

095 Wideband Bandpass Filter.ms10

096 Speech Filter.ms10

097 Narrow Bandpass Filter.ms10

099 Active Bandpass Filter.ms10

101 Active Notch Filter.ms10

Page 252: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

244

Devre Dosya İsmi 084 First Order-Low Pass Filter.ms10

Devre Açıklaması

13.1. Birinci Dereceden Alçak Geçiren Filtre

Bu devre, birinci dereceden bir alçak geçiren aktif filtre çalışmasını ve özelliklerini göstermektedir.

Filtreleme RC ağı tarafından yapılır ve opamp bir birim kazanç yükselteci gibi kullanılmaktadır. Bu,

kesim frekansı altındaki frekanslarla tüm sinyaller geçer (tekrar bir maksimum düz bant geçiren Filtre fc .)

Deneyler Formüle bakarak kesme frekansını ( fc ) hesaplayın:

)2(1 RCfc ; RfR

Giriş frekansı 10kHz ayarlı iken giriş ve çıkış işaretini gözlemleyin. Ne buldunuz? Tepe giriş gerilimine göre tepe çıkış voltajı nedir? Onların her biri aynı fazdalar mı?

Giriş frekansını ( fin ) 10kHz’e ayarlayın. Giriş gerilimini sabit tutarak her defasında 1kHz

civarında onu artırın sonra azaltın. Giriş frekansının belli aralıkları için tepe çıkış gerilimi değişmez. Aralık nedir? Buna ne denir?

Belirli bir değer (değer nedir?) üstünde artan fin tepe çıkış gerilimi azalmasına neden olur. Bu

oran nedir? Ne denir? Bunun filtrenin kazancına etkisi nasıldır? Sonuçlarınızı doğrulamak için Bode çiziciyi ayarlayın.

Formüle bakarak kapalı çevrim gerilim kazancı A ’nın giriş frekansına etkisinin nasıl olduğunu

açıklayın: )21(1 RCjVinVoutA .

Devre Şeması

R1

10kΩ

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

C11nF

XBP1

IN OUT

R2

10kΩ

U1

VCC

15V

VEE

-15V

Page 253: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

245

Devre Dosya İsmi 085 Second-Order Low-Pass Filter.ms10

Devre Açıklaması

13.2. İkinci Dereceden Alçak Geçiren Filtre

Bu devre bir kesme frekansı sonra -40dB bir yuvarlanma üreten ikinci dereceden alçak geçiren aktif

filtrenin çalışmasını ve karakteristiklerini gösterir. Rf direnci DC ofset için bağlıyken, opamp DC birim

kazanç için bağlıdır.

Deneyler Giriş frekansını ( fin ) 450Hz’e ve osiloskobun zaman kademesini 0,5ms/DIV’e ayarlayın. Giriş

gerilimini sabit tutarak ve zaman kademesini buna göre ayarlayarak her defasında 100Hz civarında onu artırın ve sonra azaltın. Giriş frekansının belirli aralıkları için tepe çıkış gerilimi

değişmez. Bu aralık nedir? Ne denir? Belirli bir değerin (değer nedir?) üstünde artan fin tepe

çıkış geriliminin azalmasına neden olur? Bu aralık nedir? Ne denir? Filtrenin kazancına etkisi nasıldır? Sonuçlarınızı doğrulamak için Bode çiziciyi ayarlayın.

fin 'i farklı değerlere ayarlarken faz açısı ve büyüklüğüne özellikle dikkat ederek giriş ve çıkış

sinyal dalgalarını gözlemleyin. Kesme frekansında faz açısı nedir? Bu –90 derece midir? (İpucu:

Bu devre için, faz açıları aralığı 0 dereceden 1800fin dereceye fin sonsuza yaklaşır.)

Devre Şeması

Rf

22kΩ

R1

6.8kΩ

U1

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

C133nF

XBP1

IN OUT

R2

6.8kΩ

Rf147kΩ

C2

33nF

Page 254: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

246

Devre Dosya İsmi 087 Sallen-Key Second-Order Low-Pass Filter.ms10

Devre Açıklaması

13.3. Sallen – Anahtar İkinci Dereceden Alçak Geçiş Filtresi

Bu devre kesme frekansının üstündeki bütün işaretleri azaltan bölüm ikinci dereceden alçak geçiren

filtrenin çalışmasını ve karakteristiklerini göstermektedir. Filtreleme RC ağı tarafından sağlanmaktadır.

Deneyler Giriş frekansını ( fin ) 350Hz’e ve Osiloskop zaman kademesini 0,5ms/DIV’e ayarlayın. Giriş

gerilimini sabit tutup buna göre zaman kademesini ayarlayarak her zaman 100Hz civarında onu artırın, sonra azaltın. Giriş frekansının belirli oranları için tepe çıkış geriliminin değişmediğine dikkat edin ve diğer için o değişmektedir. Bu aralıklar nedir? Onlar nedir? Filtrenin kazancı giriş frekansına etkisi nasıldır? Sonuçlarınızı doğrulamak için Bode çiziciyi ayarlayın. Bu filtrenin yuvarlaması nedir?

Giriş frekansının farklı değerlerini ayarlarken, ek olarak giriş ve çıkış dalga şeklini gözlemleyin, genlik ve faz açılarına fazladan dikkat edin. Kesme frekansında faz açısı nedir?

Devre Şeması

V1

15 V

V2

15 V

U1

741

R1

7kΩ

C140nF

C2

40nF

R2

7kΩ

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

XBP1

IN OUT

Page 255: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

247

Devre Dosya İsmi 088 Third-Order Low-Pass Filter.ms10

Devre Açıklaması

13.4. Üçüncü Dereceden Alçak Geçiren Filtre

Bu devre ikinci dereceden alçak geçiren filtre ile birinci dereceden alçak geçiren filtre ardı ardına bağlanarak yapılan üçüncü dereceden alçak geçiren filtrenin çalışmasını ve karakteristiklerini göstermektedir. Bu -60dB/onluk bir yuvarlanma üretir. Toplam kapalı çevrim kazancı birinci ve ikinci filtrelerin kazançlarının bir ürünüdür.

Deneyler Giriş frekansını ( fin ) 610Hz’e ve osiloskobun zaman kademesini 0,5ms/DIV’e ayarlayın. Giriş

gerilimini sabit tutarak ve zaman kademesine göre ayarlayarak her defasında 100Hz civarında onu artırın, sonra azaltın. Giriş frekansı azaldığında tepe çıkış geriliminin değişmediğine dikkat

edin; fin kesme frekansının üzerinde arttığında bu değişir. Bu iki aralığı belirleyin. Filtrenin

kapalı çevrim kazancına giriş frekansının etkisi nasıldır? Hangi frekans için dik bir yuvarlanma meydana gelir? Sonuçlarınızı doğrulamak için Bode çiziciyi ayarlayın.

Giriş frekansını farklı değerlere ayarlarken ek olarak faz açıları ve büyüklüğe vurgu yaparak giriş ve çıkış dalga şekillerini gözleyin. Kesme frekansında faz açısı nedir? Bu -135 dereceye yakın mı?

(İpucu: Devre için faz açısı aralığı 0 dereceden 0fin ’dan –270 dereceye giriş frekansı

sonsuza yaklaşır.)

-20 dB/onluk Bir alçak geçiren filtre ve diğeri 40 dB/onluk tanımlamak için deneyerek devreyi analiz edin.

Devre Şeması

R1

15.91kΩ

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

C15nF

XBP1

IN OUT

R2

15.91kΩ

R3

31.83kΩ

C2

20nF

R4

19.91kΩ

C310nF

R5

15.91kΩ

U1

U2

VCC

5V

VEE

-15V

VEE

-15V

VCC

5V

Page 256: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

248

Devre Dosya İsmi 089 High-Pass Active Filter.ms10

Devre Açıklaması

13.5. Yüksek Geçiren Aktif Filtre

Bu devre 100Hz kesme frekansı için tasarlanmış olan yüksek geçiren aktif filtrenin çalışmasını ve karakteristiklerini göstermektedir. Bu 20 dB/onluk bir yuvarlanma üretir.

Deneyler Fonksiyon jeneratörünü 260Hz’e ayarlayın. Tepe çıkış gerilimini faz açısına göre gözlemleyerek

sabit bir şekilde her defasında 50 Hz civarında giriş frekansını ( fin ) artırın. Bu değişikliklerin

çıkış gerilimini nasıl etkilediğine dikkat edin. Tepe çıkış gerilimi, giriş gerilimi değerine ulaşır mı? Belirli bir frekans seviyesi için sabit kalır mı? Bu aralık nedir? Filtrenin kapalı çevrim kazancını tartışın. Faz giriş ve çıkış işareti var mı?

260Hz’e eşit fin ile tekrar başlayarak, her adımda 100Hz civarında her defasında azalma

getiren giriş frekansı ile aynı gözlemi gerçekleştirin. Bu frekans değişimi çıkış gerilimine nasıl etki etti? Çıkış gerilimi zayıflatılmış mı? Bu filtrenin faz açısının bütün aralıklarını belirleyin.

Deneyerek bu özel filtre için kesme frekansını doğrulamaya çalışın.

Devre Şeması

R1

110kΩ

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

C1

20nF

XBP1

IN OUT

C2

10nF

R2110kΩ

R3

10kΩ

U1

Page 257: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

249

Devre Dosya İsmi 091 Second-Order High-Pass Filter.ms10

Devre Açıklaması

13.6. İkinci Dereceden Yüksek Geçiş Filtresi

Bu devre ikinci dereceden yüksek geçiren filtrenin karakteristiklerini ve çalışmasını gösterir. Bu kesme frekansının üstündeki bütün frekanslar için bütün işaretler geçerken belirli kesme frekansı altındaki bütün frekansları azaltır. Filtre 40dB/onluk yuvarlanma vardır.

Deneyler Kesme frekansını hesaplayın.

Giriş frekansını ( fin ) 2kHz’e ve Osiloskop zaman kademesini 0,1ms/DIV’e ayarlayın. İlk önce

her defasında onu 1kHz kadar artırın ve faz açısı yanı sıra tepe çıkış gerilimini gözlemleyin. Çıkış gerilimi değişti mi? Bu frekans bandında filtrenin kazancı sabit mi? Bu frekans bandı nedir?

Şimdi, 1kHz’den başlayarak giriş frekansını bu kez 100Hz kadar azaltın. Osiloskopun zaman kademesini 0,2ms/DIV’e ayarlayın ve tepe çıkış gerilimi ve faz açıları için sonuç değişmelerini gözlemleyin. Çıkış gerilimi hala giriş ile aynı fazda mı? O azaldı mı? Kesme frekansında faz açısı nedir? Bu 90 derece midir? Sonuçlarınızı doğrulamak için Bode çiziciyi ayarlayın. Hangi frekansta adım yuvarlanma elde edilir?

Devre Şeması

V1

15 V

V2

15 V

U1

741R122.5kΩ

C1

10nF

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

XBP1

IN OUT

C2

10nF

R2

11.3kΩ Rf

22.5kΩ

Page 258: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

250

Devre Dosya İsmi 092 Butterworth Second-Order High-Pass Filter.ms10

Devre Açıklaması

13.7. Butterworth İkinci Dereceden Yüksek Geçiren Filtre

Bu devre Butterworth ikinci dereceden yüksek geçiren aktif filtrenin karakteristiklerini ve çalışmasını gösterir. Bu sabit geçiş bandı kazancı ile kesme frekansı üstündeki frekanslarda bütün işaretleri geçirir.

Deneyler Verilen formüle bakarak kesme frekansını ( fc ) hesaplayın:

Butterworth ikinci dereceden yüksek geçiren aktif filtre

)1.12(1 CRfc

Giriş frekansının 10kHz’e ayarlayarak giriş ve çıkış işaretini gözlemleyin. Çıkış işaretti girişten daha büyük mü? Birbiri açısından aynı fazda mı? (İpucu: Çıkış gerilimi girişten daha büyük ve giriş ile aynı fazdadır.)

Kesme frekansına yakın olan kHzfin 4 ile başlayan giriş frekansının değişik değerlerine

ayarlamak için fonksiyon jeneratörünü seçin. Giriş gerilimini sabit tutarak her defasında 1kHz

civarında fin ’i artırın veya azaltın.

Frekansın belirli aralıkları için tepe çıkış gerilimi değişmez. Bu aralık nedir? Bu frekans bandında filtrenin kazancı sabit midir? (İpucu: evet, öyledir.) Artan giriş frekansının bu noktasında (kesme frekansı) tepe çıkış gerilimi değişmesine neden olur. Bu filtrenin kazancını nasıl etkiledi? Çıkış gerilimi giriş ile hala aynı fazda mı? Bu sorunun cevabı için frekansı 2kHz’e ayarlayın ve giriş ve çıkış dalga şeklin gözlemleyin.

Devre Şeması

R1

6.8kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

C1

4.7nF

C2

4.7nF

R213.6kΩ

Rb

27kΩ

Ra47kΩ

V1

353.5mVrms

10kHz

U1

VCC

15V

VEE

-15V

Page 259: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

251

Devre Dosya İsmi 093 Third-Order High-Pass Filter.ms10

Devre Açıklaması

13.8. Üçüncü Dereceden Yüksek Geçiren Filtre

Bu devre üçüncü dereceden yüksek geçiren filtre karakteristiklerini ve çalışmasını gösterir ve 40dB/onluk filtre kullanılarak yapılmıştır. Frekans cevabı kesme frekansının altında 60dB/onluk’tur. Kesme frekansının altındaki bütün işaretler zayıflatılmıştır.

Deneyler Giriş frekansını ( fin ) 1kHz’e ve Osiloskop zaman kademesini 0,2ms/DIV’e ayarlayın. Her

defasında 1kHz civarında artırın, bu frekans bandı içerisinde sabit mi? Bu frekans bandı nedir? Çıkış gerilimi giriş gerilimi ile aynı fazda mı?

İleride 1kHz’de başlayarak, 200Hz’e ulaşana kadar bir defada 100Hz civarında giriş frekansını

azaltın. Çıkış gerilimi değişti mi? Faz açıları hakkında ne söyleyebilirsiniz? DC durum ( 0fin

yaklaşımı gibi fin açı aralığı azaltarak tutun. Kesme frekansı faz açısı nedir? 135 derece midir?

Sonuçlarınızı doğrulamak için Bode çiziciyi ayarlayın.

20dB/onluk ve 40dB/onluk bir yüksek geçiren filtre tanımlamaya çalışarak devreyi analiz edin.

Devre Şeması

R120kΩ

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

C1

100nF

XBP1

IN OUT

C2

100nF

R2

5kΩ

R4

20kΩ

C3

100nF

R310kΩ

R5

10kΩ

U1

U2

Page 260: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

252

Devre Dosya İsmi 095 Wideband Bandpass Filter.ms10

Devre Açıklaması

13.9. Geniş Bantlı Band Geçiren Filtre

Bu devre geniş bantlı bant geçiren filtrenin karakteristiklerini ve çalışmasını gösterir, ikinci dereceden alçak geçiren ve bir yüksek geçiren filtre bağlanarak yapılmıştır. Sonuç olarak 300Hz – 3kHz bant geçiren ses filtresi oluşmuştur.

Deneyler Fonksiyon jeneratörünü 1kHz’e ve Osiloskop zaman kademesini 0,2ms/DIV’e ayarlayın. Tepe

çıkış gerilimini sabit olarak gözlemleyerek giriş frekansını 4kHz’e kadar her defasında 1kHz kadar

artırın. Bu frekans değişiminin çıkış gerilimine etkisi nasıl oldu? O azaldı mı? kHzfin 3 ’te

filtrenin kazancı nedir? Bu 0,7’ye yakın mıdır? Eğer öyleyse frekansa ne denir? (İpucu: bu yüksek kesme frekansıdır.)

Osiloskop zaman kademesini buna göre ayarlayarak bir defada 100Hz civarında 250Hz’e kadar giriş frekansını azaltarak aynı gözlemi gerçekleştirin. Filtrenin kazancı 0,7 civarına ulaşana kadar giriş frekansını ayarlayın. Frekansa ne denir? (İpucu: bu düşük kesme frekansıdır.)

Şimdi, filtrenin bant genişliğini hesaplamak için hazırız. 1kHz frekansa ne dersiniz? (İpucu: Bu rezonans frekansıdır.) Bu frekansta ne oluşur? (İpucu: Filtrenin kazancı rezonans frekansında

maksimumdadır.) geniş bant filtreler için eşitlik faktörü ( Q ) nedir? Q 0,5’e eşit midir?

Devre Şeması

V1

15 V

V2

15 V

U1

741

R115kΩ

C1

50nF

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

XBP1

IN OUT

V3

15 V

V4

15 V

U2

741

R27.5kΩ

C2

50nF

C35nF

R3

7.5kΩ

C410nF

R4

7.5kΩ

Page 261: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

253

Devre Dosya İsmi 096 Speech Filter.ms10

Devre Açıklaması

13.10. Konuşma Filtresi

Bu devre yüksek geçiren filtre ve alçak geçiren filtre bağlanarak yapılmış konuşma filtresinin karakteristiklerini ve çalışmasını gösterir. Sonuç olarak 300Hz – 3kHz bant geçiren ses filtresi oluşturulmuştur. Bu devre yüksek geçiren alçak geçiren filtreye bağlıysa veya tam tersi fark olmadığını ispatlamak için kullanılıyor olabilir. Bandpass.ms10 dosyası ile karşılaştırın.

Deneyler Fonksiyon jeneratörünü 1kHz’e ayarlayın. Filtrenin kazancı 0,7’ye düşene kadar her defasında

1kHz kadar giriş frekansını artırın. Giriş frekansını belirleyin. Bu 3kHz kadar mıdır? Bu frekansa yüksek kesme frekansı denir.

Giriş frekansı ( fin ) tekrar 1kHz’e eşit başlayarak filtrenin kazancı 0,7’ye düşene kadar her

defasında onu 200Hz azaltın. 300Hz civarında bu frekans nedir? Düşük frekans denen frekansı şimdi belirleyin.

Şimdi alçak ve yüksek kesme frekansları arasındaki frekansların aralığı olarak tanımlanan

filtrenin bant geçirenini ( B ) hesaplamak için hazırsınız. Rezonans frekansının ( fr ) değeri nedir?

Bu konuşma filtresinin ( Q ) nedir? Bu formül yardım edebilir: BfrQ / .

Devre Şeması

R1

270kΩ

U1

XFG1

C1560pF

R2

130kΩR347kΩ

R4

270kΩ

C22mF

C3100mF

C4

150pF

C5

560pF

R5430kΩ

C6

560pF

R6240kΩ

R7

2MΩ

C7

560pF

C8100mF

C910mF

C10

100mF

U2

Page 262: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

254

Devre Dosya İsmi 097 Narrow Bandpass Filter.ms10

Devre Açıklaması

13.11. Dar Band Geçiren Filtre

Bu devre dar bant geçiren filtrenin karakteristiklerini ve çalışmasını gösterir. Bu filtrede yalnızca bir opamp kullanıldığına dikkat edin. Bu 1kHz’e eşit rezonans frekansı, alçak kesme frekansı 780Hz’e eşit ve yüksek kesme frekansı 1280Hz’e eşit tasarlanmıştır.

Deneyler Fonksiyon jeneratörünü 1kHz’e ayarlayın. Faz açılarının yanı sıra tepe çıkış gerilimini sürekli

gözlemleyerek her defasında giriş frekansını 50Hz azaltın. Bulunanlar nelerdir? Alçak kesme frekansını belirlemeyi deneyin.

fin 1kHz’e eşit iken tepe çıkış gerilimi nedir? Bu giriş işareti ile aynı fazda mıdır? (İpucu: hayır,

değildir.)

Geri besleme direnci RRf 2 olduğunu varsayarak filtrenin maksimum kazancı nedir? Hangi

frekansta kazanç maksimum değer ulaşır?

Aşağıda verilen formüllere başvurarak:

RCB /1591,0 [Hz], QfrB /

))/1()(/1125,0( RrRSQRRCfr

Rezonans frekansını ve filtrenin bant genişliğini etkileyen parametrelerin ne olduğunu tartışın.

Rr ’nin farklı değerlerini ayarlayın ve rezonans frekansında değişmeleri gözlemleyin. Buna göre bant genişliği veya kazanç değişir mi?

Devre Şeması

R1

21.21kΩ

U1

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

C1

15nF

XBP1

IN OUT

Rr3.03kΩ

C2

15nF

Rf

42.42kΩ

Rf =2R

Page 263: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

255

Devre Dosya İsmi 099 Active Bandpass Filter.ms10

Devre Açıklaması

13.12. Aktif Band Geçiren Filtre

Bu devre aktif bant geçiren filtrenin karakteristiklerini ve çalışmasını gösterir. Aralığın dışındaki reddedilirken verilen aralık içindeki (bant genişliği denen) bütün giriş işaret frekanslarının geçmesine izin verir.

Deneyler Merkez frekansı ( fo ) hesaplayın. Ayrıca formülü kullanarak merkez frekans gerilim kazancı

doğrulayın:

12/3 RRGo .

Fonksiyon jeneratörünü tepe çıkış gerilimi (Vout ) maksimuma ulaşana kadar giriş frekansını

değiştirmek için kullanın. Bu tek bir frekans denen nedir? Bunun değeri nedir? (İpucu: 785Hz civarında.)

Osiloskop üzerindeki dalga şekillerine bakarak merkez frekans gerilim kazancını

( VinVoutGo / ) doğrulayın ve önceki hesaplanan değerler sonucunu karşılaştırın. Bu 1,2

kadar mıdır? Çıkış işareti giriş ile aynı fazda mı? (İpucu: Hayır, değildir.)

Filtrenin bant genişliğini belirleyin. Merkez frekansını 750Hz’e, çıkış gerilimini 1V’a ve çıkış frekansını sabit ayarlayın, aşağıdakileri yapın:

(1) Tepeden tepeye Vout 0,7V’a ulaşana kadar giriş frekansını azaltın; fin ’e dikkat edin. 550Hz

civarında mı?

(2) Tepeden tepeye Vout 0,7V’a ulaşana kadar giriş frekansını artırın; fin ’e dikkat edin. 1kHz

civarında mı? Şimdi, filtrenin bant genişliğini belirlemek için hazırsınız. Bu nedir?

Devre Şeması

V1

15 V

V2

15 V

U1

741

R1

68kΩ

C1

10nF

XFG1

XSC1

A B

Ext Trig+

+

_

_ + _

R22.7kΩ

R3

180kΩ

C2

10nF

Vin

Vout

Page 264: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

256

Devre Dosya İsmi 101 Active Notch Filter.ms10

Devre Açıklaması

13.13. Aktif Çentik (Notch) Filtre

Bu devre aktif çentik (Notch) filtrenin karakteristiklerini ve çalışmasını gösteri. Verilen aralık dışındaki frekanslara izin verirken, aralık içindeki bütün giriş işaret frekanslarını reddeder.

Deneyler Devredeki çentik (Notch) frekansını ( fo ) ve bileşen değerlerini hesaplayın. Verilen formüle

bakarak:

5^.)321/()21()2(1 RRRRRCfc

)....2(1 CGofcQR ., )5^..2(...22 GoQCfcQR ., )..(3 CfcQR .

)12(3 RRGo , )/( FlFhfcQ

Ayrıca birim geçiş bant gerilim kazancını doğrulayın.

Frekansı 1kHz’e ayarlayarak kanal B’nin duyarlılığını 0,1V/DIV’e ayarlayarak tepeden tepeye

çıkış gerilimi maksimuma ulaşana kadar fin ’i yavaşça azaltın. Bu tek frekans denen nedir? Bu

değer nedir? 737Hz’e yakın mı?

Osiloskop üzerinde dalga şekillerine bakarak VinVout / ’e eşit çentik derinliğini belirleyin ve

önceki hesaplanmış değerle karşılaştırın. Çıkış dalga şekli giriş ile aynı fazda mı?

Giriş frekansının artırırken ve sonra azaltırken çıkış gerilimin gözlemleyin. Bulunanlar nedir? (İpucu: tepeden tepeye çıkış gerilimi giriş frekansını filtrenin çentik frekansından uzağa taşıyarak artar.)

Filtrenin bant genişliğini belirleyin. (f=737Hz) ayarlı çentik frekansı, çıkış gerilimi 1V’a eşit ve çıkış frekansı sabit aşağıdakileri yapın:

(1) Tepeden tepeye Vout 0,7V’a ulaşana kadar giriş frekansını azaltın; fin ’e dikkat edin.

675Hz’e yakın mı?

(2) Tepeden tepeye Vout 0,7V’a ulaşana kadar giriş frekansını artırın; 812Hz’e yakın mı? Şimdi

filtrenin bant genişliğini belirlemeye hazırsınız. Bu nedir?

Devre Şeması

R1

68kΩ

U1

XSC1

A B

Ext Trig+

+

_

_ + _

C1

10nF

XBP1

IN OUT

V1

353.5mVrms

1kHz

R22.7kΩ

R3

180kΩ

C2

10nF

R4

15kΩ

R5

12kΩ

U2

R6

12kΩ

Vout

Vin

Page 265: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

257

14. ÇEŞİTLİ DEVRELER

Bölüm 7 NI Multisim temel devrelerinin etkileşimli simülasyon ve analizler ile bir miktar çeşitli devreleri araştırır. Konular transistör-transistör lojiği (TTL), osilatörler ve filtreleri içerir. Aşağıda eklenmiş zip dosyalarındaki devreleri yükleyin. Aşağıdaki devreleri yükleyebilir ve NI Multisim 10’da kullanabilirsiniz.

Devreler

103 Shunt Feedback Circuit.ms10

105 TTL Inverter.ms10

107 TTL Gate.ms10

109 OR Gate Circuit.ms10

111 Over-Damp Circuit.ms10

113 Critical-Damp Circuit.ms10

115 Series RLC circtui 1.ms10

117 Clapp Oscillator.ms10

119 Differential Amplifier 1.ms10

121 Differential Amplifier in Common Mode.ms10

123 LC Oscillator with Unity Gain Buffer.ms10

125 Notch Filter.ms10

127 PNP Differential Pair.ms10

129 Crossover Network.ms10

131 Second-Order High-Pass Chebyshev Filter.ms10

133 Third-Order High-Pass Chebyshev Filter.ms10

135 Fifth-Order High-Pass Filter.ms10

Page 266: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

258

Devre Dosya İsmi 103 Shunt Feedback Circuit.ms10

Devre Açıklaması

14.1. Şönt Geri Besleme Devresi

Bu devre giriş işaretine paralel geri besleme direncini kullanır ve böylece yükselticinin kazancını kontrol eder.

RiRfAf /

Devre Şeması

Ri

10kΩ

XSC1

A B

Ext Trig+

+

_

_ + _

C1

100nF

Rf

47kΩ

R1120kΩ

R215kΩ

R33.9kΩ

R4390Ω

R5100kΩ

C210uF

C3

10uF

Q1

V110 V

V2

100mVrms

10kHz

0Deg

XMM1

Page 267: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

259

Devre Dosya İsmi 105 TTL Inverter.ms10

Devre Açıklaması

14.2. TTL Inverter

Bu transistör-transistör lojik kapısının temsilidir.

Devre Şeması

R14kΩ

V15 V

Q1

2N3904

XMM1

R24kΩ

Q2

Q3

2N3904

D1

V25 V

R3130Ω

R41kΩ

Q4

R51kΩ

J1

Key = Space

Vin

Vout

Page 268: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

260

Devre Dosya İsmi 107 TTL Gate.ms10

Devre Açıklaması

14.3. TTL Gate

Bu transistör-transistör lojik NAND kapısının bir örneğidir.

Devre Şeması

R14kΩ

Q1

V15 V

Q2

R21.6kΩ

R31kΩ

R41kΩ

R5

130Ω

D1

Q3

Q4

Q5

V25 V

XMM1

J1Key = Space

Page 269: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

261

Devre Dosya İsmi 109 OR Gate Circuit.ms10

Devre Açıklaması

14.4. OR Kapı Devresi

Bir OR kapısı tek diyot direnç lojiği ile yapılabilir.

Devre Şeması

V15 V

R26kΩ

XMM1

R3

400Ω

D3

D4

A

B

Y

OR Gate

Page 270: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

262

Devre Dosya İsmi 111 Over-Damp Circuit.ms10

Devre Açıklaması

14.5. Aşırı Sönümleyici Devre

Bu devre aşırı sönümleyici ikinci dereceden basamak cevabını temsil eder.

Devre Şeması

V110 V

R1

100Ω

L1

10mH

C111.11uF

XSC1

A B

Ext Trig+

+

_

_ + _

Page 271: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

263

Devre Dosya İsmi 113 Critical-Damp Circuit.ms10

Devre Açıklaması

14.6. Kritik-Sönümleyici Devre

Bir kritik sönümleyen ikinci dereceden basamak cevabı aşağıda gösterilmiştir.

Devre Şeması

V110 V

C111.11uF

L1

10mH

R1

60Ω

XSC1

A B

Ext Trig+

+

_

_ + _

Page 272: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

264

Devre Dosya İsmi 115 Series RLC circuit 1.ms10

Devre Açıklaması

14.7. Seri RLC Devresi 1

Rezonans frekansı için ifade:

LCr

2

1f

Ve bu bileşen değerleri hesaplamakta kullanılıyor olabilir: Örneğin verilen işaret frekansında kapasitans

devrenin rezonans durumu için kapasitans ( C ).

Devre Şeması

R1

900mΩ

L1

15uH

C18.16nF

XSC1

A B

Ext Trig+

+

_

_ + _XFG1

R2

900uΩ

Page 273: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

265

Devre Dosya İsmi 117 Clapp Oscillator.ms10

Devre Açıklaması

14.8. Clapp osilatör

Bu Clapp osilatör Colpitts’in bir çeşididir. Fark rezonans geri besleme devresinde endüktans ile seri eklenmiş olan bir kondansatördür.

Devre Şeması

V11 V

R210kΩ

L150mH

C1

100nF

V212 V

R15kΩ

R3500Ω

C2100nF

L2

50mH

C3

50nF

C4

100nF

C5

10nF

XSC1

A B

Ext Trig+

+

_

_ + _

C610pF

Q1

2N1711

Page 274: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

266

Devre Dosya İsmi 119 Differential Amplifier 1.ms10

Devre Açıklaması

14.9. Fark Yükselteci

Ağ çıkış akımı ampermetre 1 tarafından temsil edilen diferansiyel çiftinin üsleri arasındaki dengesizliğin bir fonksiyonudur.

Devre Şeması

Q1

Q2

V157.78mV

U1DC 1e-009W0.858m A

+

-

Q3

Q4

V25 V

V35 V

R15kΩ

U2DC 1e-009W0.670m A

+

-

Page 275: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

267

Devre Dosya İsmi 121 Differential Amplifier in Common Mode.ms10

Devre Açıklaması

14.10. Ortak Mod Fark Yükselteci

Bu devre akım aynalı diferansiyel yükselteci temsil eder. Dengelenmiş akım gözlenmektedir.

Devre Şeması

V15 V

Q1

2N3904

R24.7kΩ

R33.3kΩ

R110kΩ

Q2

2N3904

Q3

2N3904

V25 V

0.375m A

+

-

0.375m A

+

-

0.758m A

+

-

Page 276: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

268

Devre Dosya İsmi 123 LC Oscillator with Unity Gain Buffer.ms10

Devre Açıklaması

14.11. Birim Kazanç Tamponlu LC Osilatör

Bu biri potansiyel bölücü ile birleştirilmiş birim kazanç tamponu olan LC osilatördür.

Devre Şeması

V15 V

R1

R2100Ω

R31kΩ

L110mH

L2100mH

C11uF

V2

15 V

V3

15 V

U1

741

XSC1

A B

Ext Trig+

+

_

_ + _

Page 277: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

269

Devre Dosya İsmi 125 Notch Filter.ms10

Devre Açıklaması

14.12. Çentik (Notch) Filtre

Bir çentik filtre 1kHz’de –80dB civarında zayıflama ile bir yük direnci ve paralel rezonans devresi yapılmıştır.

Devre Şeması

L1

1.6H

R1

100Ω

C1

16nF

XFG1

XBP1

IN OUT

Page 278: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

270

Devre Dosya İsmi 127 PNP Differential Pair.ms10

Devre Açıklaması

14.13. PNP Diferansiyel Çift

Devre Şeması

Q1 Q2

V1500mV

U1DC 10MW0.811 V

+

-

U2DC 1e-009W0.888u A

+

-

R11kΩ

U3DC 10MW-4.999 V

+

-

R21kΩ

V25 V

V35 V

R31kΩ

U4DC 1e-009W4.148m A

+

-

U5DC 10MW-0.852 V

+

-

Page 279: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

271

Devre Dosya İsmi 129 Crossover Network.ms10

Devre Açıklaması

14.14. Çaprazlama Ağ

Devre Şeması

R1

1kΩ

U1

XFG1

R2

1kΩ

R3

1kΩ

C153.35nF

C2

3.21uF

C31.15uF

R4

1kΩ

R5

1kΩ

C4

2.21uF

C5136.3nF

U2

R610kΩ

C6

50nF

C7

50nF

R7

987.06Ω

R859.33kΩ

U3

C8

50nF

R92.75kΩ

C9

50nF

U4

C10

50nF

R10

1.44kΩ

R1110kΩ

XBP1

IN OUT

Page 280: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

272

Devre Dosya İsmi 131 Second-Order High-Pass Chebyshev Filter.ms10

Devre Açıklaması

14.15. İkinci Dereceden Yüksek Geçiren Chebyshev Filtresi

Devre Şeması

U1

741

V1

15 V

V2

15 V

XFG1

C1

50nF

C2

50nF

R110kΩ

R2915.22Ω

R3388.6Ω

XBP1

IN OUT

Page 281: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

273

Devre Dosya İsmi 133 Third-Order High-Pass Chebyshev Filter.ms10

Devre Açıklaması

14.16. Üçüncü Dereceden Yüksek Geçiren Chebyshev Filtresi

Devre Şeması

R1

1kΩ

U1

XFG1

R2

1kΩ

R3

1kΩ

C153.35nF

C2

3.21uF

C31.15uF

R4

1kΩ

R5

1kΩ

C4

2.21uF

C5136.3nF

U2

R610kΩ

C6

50nF

C7

50nF

R7

987.06Ω

R859.33kΩ

U3

C8

50nF

R92.75kΩ

C9

50nF

U4

C10

50nF

R10

1.44kΩ

R1110kΩ

XBP1

IN OUT

Page 282: Multisim 10

Bilgisayar Destekli Devre Tasarımı

Özer ŞENYURT www.ozersenyurt.net orbeetech

274

Devre Dosya İsmi 135 Fifth-Order High-Pass Filter.ms10

Devre Açıklaması

14.17. Beşinci Dereceden Yüksek Geçiren Filtre

Devre Şeması

U1

741

V1

15 V

V2

15 V

XFG1

C1

50nF

C2

50nF R13.92kΩ

R217.17kΩ

R3

1.64kΩ

U2

741

V3

15 V

V4

15 V

C3

50nF

C4

50nF R410kΩR5

12.59kΩ

R6

3.03kΩ

C5

50nF

XBP1

IN OUT

Page 283: Multisim 10

NI Multisim ve Temel Devre Uygulamaları

Özer ŞENYURT www.ozersenyurt.net orbeetech

275

Özer ŞENYURT 14 Ocak 1970 tarihinde İzmir/Bergama’da doğdu. İlk ve orta öğrenimini Samsun’da tamamladı. Lisans Eğitimini Marmara Üniversitesi Teknik Eğitim Fakültesi’nde yaptı. 2006 yılında Gazi Üniversitesi Fen Bilimleri Enstitüsü Elektrik Eğitimi Ana Bilim Dalı’nda Yüksek Lisans eğitimini tamamladı. Karabük Üniversitesi Fen Bilimleri Enstitüsü Elektrik – Elektronik Mühendisliği Bölümü’nde Doktora eğitimine devam etmektedir. Milli Eğitim Bakanlığı’na bağlı Ordu, Şanlıurfa ve Amasya / Taşova’da bulunan Meslek Liseleri’nde öğretmenlik görevinde bulundu. 2001 yılında KTÜ Ordu Meslek Yüksekokulu’nda Öğretim görevlisi olarak çalışmaya başladı. 2006 yılından itibaren Ordu Üniversitesi Meslek Yüksekokulu’nda Öğretim görevlisi olarak çalışmaya devam etmektedir. Görev süresince çalıştığı kurumlarda Müdür Yardımcılığı, Laboratuar Şefliği, Teknik Programlar Bölüm Başkan Yardımcılığı, Bölüm Başkanlığı görevlerinde bulunmuştur. Halen Elektrik ve Enerji Bölüm Başkan Yardımcılığı görevinde bulunmaktadır.