8

Click here to load reader

Low-Knudsen-number transport and deposition

  • Upload
    hung

  • View
    212

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Low-Knudsen-number transport and deposition

LowKnudsennumber transport and depositionHung Liao and Timothy S. Cale Citation: Journal of Vacuum Science & Technology A 12, 1020 (1994); doi: 10.1116/1.579278 View online: http://dx.doi.org/10.1116/1.579278 View Table of Contents: http://scitation.aip.org/content/avs/journal/jvsta/12/4?ver=pdfcov Published by the AVS: Science & Technology of Materials, Interfaces, and Processing Articles you may be interested in Low Peclet number mass and momentum transport in microcavities Appl. Phys. Lett. 102, 084108 (2013); 10.1063/1.4794058 On the Knudsen transport of gases in nanochannels J. Chem. Phys. 127, 074706 (2007); 10.1063/1.2766952 Stable transport equations for rarefied gases at high orders in the Knudsen number Phys. Fluids 16, 3921 (2004); 10.1063/1.1782751 Sphere Drag at High Knudsen Number and Low Mach Number Phys. Fluids 9, 2522 (1966); 10.1063/1.1761649 Relevant Dimension in the Knudsen Number Criterion Am. J. Phys. 31, 548 (1963); 10.1119/1.1969627

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.113.111.210 On: Sun, 21 Dec 2014 20:30:24

Page 2: Low-Knudsen-number transport and deposition

Low-Knudsen-number transport and deposition Hung Liao and TImothy S. Calea) Department of Chemical, Bio and Materials Engineering, Center for Solid State Electronics Research, Arizona State University, Tempe, Arizona 85287-6006

(Received 1 October 1993; accepted 7 March 1994)

We present our model for transpOlt and deposition in features for situations in which intermolecular collisions dominate the species transport. Species transport in this "low" -Knudsen-number regime is modeled using continuum diffusion. Our mode! allows both homogeneous reactions and heterogeneous (surface) reactions. We use the Galerkin finite element method to estimate reactant species concentration prof1les for infinite trenches with arbitrary cross section, for which two-dimensional profile evolution is appropriate. We simulate deposition processes using a solution domain which includes the trench as well as a specified region above the surface of the wafer. As an example application, we present results for the deposition of tungsten using the hydrogen reduction of tungsten hexafluoride in trenches of rectangular cross section with initial aspect ratio 4. The model predicts that step coverage increases with decreasing temperature and increasing tungsten hexafluoride partial pressure, while keeping hydrogen partial pressure and the height of the solution domain constant. These trends are in agreement with experimental observations for tungsten deposition processes. Trends in film conformality with deposition conditions are explained in terms of the "step coverage modulus" and reactant concentration ratios for given initial trench dimensions. The step coverage modulus is the ratio of a characteristic deposition rate to a characteristic transport rate.

I. INTRODUCTION

Thin films are used in a host of different applications in very large scale integrated circuit (VLSI) fabrication, and can be prepared using a variety of techniques. One important characteristic of a deposited film is its thickness uniformity (conformality) over underlying features on a substrate. One popular measurement of conformality is the step coverage of the film. We consider infinite trenches in this paper and de­fine step coverage as the film thickness halfway down the trench divided by that at the wafer surface, at any time before trench closure. We consider values at trench mouth closure, and express step coverage in terms of percentages. It has been reported that film conformalities in chemical vapor deposition (CVD) processes depend on deposition param­eters, e.g., temperature, reactant gas partial pressures, and feature aspect ratios.I,2

There have been many modeling and simulation studies of film conformality published in recent years.3

-6 Most of them

are concerned with low-pressure CVD (LPCVD) and use the assumption that the Knudsen number (ratio of the gas mean free path to the length scale of the feature) is large (K,,'3> 1) and that the gas is in free molecular flow inside micron scale features. For example, the mean free path is about 50 /-tm for a gas pressure of 1 Torr and Lhe Knudsen number is 50 for a 1 /-tm wide trench. These deposition processes are well mod­eled using the ballistic transport and reaction model (BTRM) presented by Cale and co-workers,7-9 IslamRaja et aI., 10

Singh, Shaqfeh, and McVittie, II and Hsieh and Joshi.12 The BTRM is three dimensional in its treatment of species trans­port, and the fiux of each species to the local surface is computed as the sum of contributions of fluxes leaving the source volume as well as the surfaces in the feature. The

a) Author to whom correspondence should be addressed.

BTRM has been combined with a two-dimensional surface moving algorithm in EVOLVE (Ref. 13) and with a three­dimensional surface moving algorithm in EVOLVE-3D (Ref. 14).

There have been relatively few studies of transport and reaction for CVD systems in which transport in micron scale features is in the continuum regime (low Knudsen number, K"q;l). van den Brekel and co-workers I5

,16 solved the two­dimensional diffusion-reaction problem and obtained con­centration profiles of one reactive species in triangular and trapezoidal trenches during atmospheric pressure CVD (APCVD). The mean free path is about 0.06 /-tm in APCVD processes. Oh, Rhee, and Kang l7 used a model similar to that used by van den Brekel and co-workers15,16 to study APCVD processes, and accounted for surface diffusion. They solved the governing equations using the boundary integral tech­nique to find the critical parameters which control the depos­ited film conformality and stability. They found that the ratio of ditlusivity m the gas phase to the surface reaction rate coefficient plays a major role in determining the step cover­age. They also concluded that surface diffusion plays a minor role in the APCVD processes they analyzed. Both of the reports considered one reactive species with constant gas phase diffusivity, and there were no gas phase reactions in their models. Alkire, Bergh and Sani J8 utilized the Galerkin finite element method to solve the equation of Laplace for simulations of electrodeposition on electrodes.

In this work, we present our transient, mUltiple-species, two-dimensional, diffusion-reaction model for high-pressure CVD processes in long trenches with arbitrary vertical cross section. Homogeneous reactions are taken into account. The quasi steady-state version of the governing equations are solved using the Galerkin finite element method. After deter­mining the local growth rates, the method of characteristics

1020 J. Vac. ScI. Technol. A 12(4), JuliAug 1994 0734-21 01/94/12(4)/1 020nJ$1.00 ©1994 American Vacuum Society 1020

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.113.111.210 On: Sun, 21 Dec 2014 20:30:24

Page 3: Low-Knudsen-number transport and deposition

1021 H. liao and T. S. Caie: low-Knudsen-number transport and deposition 1021

jl Height of the :

ooloti," domol

i .................... !

ac ... _' =0 an

Flu. L An example domain for the governing equatioll and boundary COII­

ditions.

is used to move the surface in the surface evolution routines in EVOLVE. This solution, film surface movement sequence, is repeated until the desired simulation time is met.

Our primary concern in the present work is to demon­strate that our solution procedure and simulator work. rather than simulating a specific high-pressure CVD process. To focus our discussion, we consider tungsten deposition by the hydrogen reduction of tungsten hexafluoride in 1 ,urn wide, 4 f.lm deep trenches as an example appiication of our simula­tor. The stoichiometry and rate expression for tungsten depo­sition by hydrogen reduction of WF6 in LPCVD processes have been reported as 19,20

(0 and

(

\ 0.5

8300 PH PWF(, R=2.5 exp --T-,!'

1 +752pWF 6

(2)

where R is the heterogeneous chemical reaction rate in molJ(cm2 s), T is the absolute temperature in K, and p is the reactant partial pressure in Torro Although this rate expres­sion was developed for LPCVD processes, we use it in our simulations.

II. MODEL DEVELOPMENT

Figure 1 shows a representative solution domain used in this studyo The model presented is based on two-dimensional species balances and the following assumptions have been made for the purposes of this article 0

(1) The gas phase obeys the ideal gas law. (2) The effect of surface diffusion on film confomlality is

neglected.2,17

(3) The solution domain is isothermal. (4) The key reactant (WF6 in the example system) is diluted

so that the total volume change with reaction approaches zero under the operation conditions consideredo

JVST A - Vacuum, Surfaces, and Films

(5) The convective transport of species can be neglected, because the solution domain is near the wafer surface (within several microns) 0

Under assumptions (1)-(5), the governing equations are the time-dependent diffusion-reaction equation for each species, i.e.,

(3)

where C i is the molar concentration of the species i, Dim is the effective diffusivity of species i in the mixture, and R gi is the homogeneous rate of generation of the ith species [moll (time volume)} Our approach to estimating diffusivities is presented in the Appendix. Although gas phase homoge­neous reactions in the example system are negligible,21 we retain this term for generality. Boundary conditions for the problem are as follows 0

(1) Surface (heterogeneous) reaction on the wafer

aC i - Dim -;;;; =Rsi' (4a)

(II) At the top of the domain (in the source)

C;= C~o (4b)

(III) At the domain side waH (no flux across the side wall)

iJc. ~ =ii· VC;=O. (4c) on

In these expressions, ii is the local unit normal vector along the boundary, R,j is the heterogeneoLls generation rate of species i [mol/(time area)], and C? is the concentration of the ith species at the top of the domain. The boundary conditions are the same as those used by van den Brekcl and co_worker15

,16 and Oh, Rhce, and Kang l7 and were adopted in order to compare results. The local deposition rate (length! time) is determined from

G(x,y,t) = Rsolid(X,y ,t)v. (5)

Here u is the molar volume of the deposited solid film and R solid represents the rate of formation of the solid product on the wafer surface [molJ(time area)]. The reaction rate expres­sion [Eqo (2n is expressed in terms of concentrations rather than partial pressures to solve the model equations.

In order to identify the important parameters that dictate step coverage and to determine the dependences of step cov­erage on the CVD chemistry and on deposition conditions, the model equations are nondimensionalized. The dimen­sionless (denoted by a prime) gas concentrations, diffusivi­ties, homogeneous reaction rates, and surface reaction rates are obtained by referencing them to representative values [denoted by an asterisk (* n, viz.,

dimensionless time t r = t D * / H2 ,

dimeniosnless diffusivity [):m = D iJr/ D * ,

dimensionless homogeneous reaction rate R;i = R g/ R: '

dimensionless heterogeneous reaction rate R;i= Rs;f R;' , (6)

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.113.111.210 On: Sun, 21 Dec 2014 20:30:24

Page 4: Low-Knudsen-number transport and deposition

1022 H. Llao and T. S. Cale: Low-Knudsen-number transport and deposition 1022

dimensionless concentration C; = C/C*,

dimensionless x coordinate x I = xl H,

dimensionless y coordinate y' = yl H.

The model equations can be written in dimensionless form as follows:

governing equation

ac' H2R* (it: = V· (D;m V CD + D*Cg* R;i' (7)

boundary conditions

ac' , l ,h.'

- Dim an = <pR si ' (Sa) (1)

(II) (8b)

(III) ac: an' =0. (8c)

The solution for the concentration as a function of position, and therefore the deposition rate as a function of position, depends on the "step coverage modulus" <f; given by22

R*H <p= D:C* (9)

and the ratio of species concentrations at the top of the so­lution domain.22 The step coverage modulus is a ratio of characteristic rates. The numerator is the rate of consumption of the reference species (assumed to be a reactant) via the heterogeneous reaction(s), at the conditions of the reference state. The denominator is the diffusive flux of the reference species assuming a linear concentration profile, with zero concentration at the feature base and the reference concen­tration at feature mouth. 22

For the reaction system considered in this shldy, there are no gas phase reactions, i.e., R;i = 0. 21 The reference length H is the initial trench depth. The reference state is chosen as the conditions at the top of the solution domain, i.e., D*

= D~F6' R; = Rs(T,C~F6'C~), and C* = C~f'6' For this example, the step coverage modulus can be expressed as

(Co ) 1.5 H2'

<f;=K(T,H) 1 +752(RT)C~F ' 6

(10)

where K is a constant for a given initial trench and tempera­ture and R is the gas constant. Assumption (4) is used here to derive Eq. (10), i.e., WF6 and HF are dilute in hydrogen and the total pressure can therefore be approximated by the hy­drogen partial pressure. We consider three gas species in our simulations: H2 , WF(\, and HF. The dimensionless boundary condition (II) for these three species at the top of the domain are

(11 a)

C~F = 1, 6

(llb)

(lIe)

J. Vac. Sci. Technol. A, Vol. 12, No.4, Jul/Aug 1994

As pointed out by Cale, Jain, and Raupp,22 the step coverage modulus provides trends in step coverage with trends in deposition conditions for constant ratios of species concen­trations at the top of the solution domain. If the step cover­age modulus increases, then the step coverage decreases and vice versa.

III. MESH GENERATOR

Meshing the domain is the first step in the finite element method. We use program TRIGEN from the AT&T Netlib package PLTMG (Ref. 23) to generate the triangulation. TRI­

GEN triangulates the region into linear triangular elements (T3), with the different boundary conditions distinguished by different labels. It uses a function q as a measure of the quality of each triangle, i.e., as a test for acceptability of a triangle. Given a triangle with area a and side lengths h x ,

h y' and hz, q is expressed by

q=4/~al(h;+h;+h;). (12)

The function q is 1 for an equilateral triangle and approaches o for triangles with small angles. In attempting to compute a high-quality tricmgulation, TRIGEN triangulates such that q ?0.6 when possible. Sufficiently small interior angles on the boundaries of the domain could cause this inequality to be violated, and TRIGEN tries to make the q values of the boundary triangles as large as possible. Viewing the domain as a polygon, which is described by nodes along the bound­ary, TRIGEN first tries to reduce the order of the polygon by one by "chopping" off a triangle from the vertex with small­est interior angle. When the chopping strategy is no longer successful, TRIGEN checks to see if the remaining polygon is convex with eight or fewer points. If it is, TRIGEN triangu­lates the entire remaining area by adding a central vertex and connecting it to each remaining boundary vertex. If the sec­ond strategy fails or is not applicable, TRIGEN tries to break the polygon into two smaller polygons by connecting two nonadjacent vertices by a straight line. After the region has been successfully triangulated, TRIGEN improves the triangu­lation by locally rearranging edges and adjusting vertex lo­cations generated interior to the domain boundary. Figure 2 shows an example of a meshed domain for a partially filled trench.

IV. SOLUTION PROCEDURE

We use the Galerkin finite element method to solve the dimensionless model equations on the mesh in order to de­termine the local deposition rates inside the trench. We chose the Galerkin finite element method, because it can be used to solve problems which include nonlinear homogeneous reac­tions. To maintain solution accuracy in the region of the fea­ttlre mouth (it will be very narrow when the trench nears closure), we convert the T3 elements generated by TRIGEN

into quadratic triangular clements (T6) elements by inserting a central point on each triangle edge. To simulate the depos­ited film profile as a function of time, deposition processes are divided into time steps. In addition to the assumptions listed in Sec. II, we assume that the film grows slowly rela­tive to the redistribution of reactant species concentrations

·········-----.·····························1········ Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.113.111.210 On: Sun, 21 Dec 2014 20:30:24

Page 5: Low-Knudsen-number transport and deposition

1023 H. Liao and T. S. Cale: Low-Knudsen-number transport and deposition 1023

FIG. 2. Example of meshed domain in one lime step.

caused by changes in geomctry. Thus, at any time the gas phase concentration profiles are approximated weB by their pseudostcady-state profiles. The steady-state governing equa­tions in the absence of homogeneous reactions are

V(D(",VC;) =0. (13)

Cale et al. 4 demonstrated that solutions of the continuumlike diffusion reaction model for LPCVD obtained using the pseudosteady-state assumption are essentially identical with those of the complete transient model until the feature is very near closure. At each time step, AT&T Netlib program SVODE,24 which is based on Gear's method,25 is used to in­tegrate the simultaneous ordinary differential equation sys­tem until steady state is attained. We have found that using this method to determine the steady-state solution to the equations is more reliable than directly solving the algebraic equations obtained by deleting the time derivative in the model equations. The surface film protilc is updated by the surface evolution routines from EVOLVE. This procedure is repeated until a desired film thickness or deposition time is reached.

v. RESULTS AND DISCUSSION

We simulate tungsten deposition in 1 fLm wide by 4 fLm deep trenches. Our simulator predicts excellent step coverage at high pressures using reasonable operating conditions, in agreement with the results of Schmitz and co-workers.z,26 They found that increasing the total pressure while keeping the partial pressure ratio of hydrogen and tungsten hexafiuo­lide constant resulted in higher throughput and better step

JVST A - Vacuum, Surfaces, and Films

••• -.-.-•••. -., •••.•••••••••••• <;.; •••••••••••• -••••• ~ ••• :.~.:.:.;.: ••• 7 ••••••••• ' ••• • •• .'.·.·.<.·:.·.·.·.· •• ~.-.·N·-······· .. ·

coverage than LPCVD processes. Under conditions which yield good step coverage, the solution does not significantly depend on the domain size above the feature because any gradients are small. In order to test our solution procedure in the presence of severe concentration gradients, we simulate depositions under conditions which yield poor step coverage, and which do not represent conditions used in practice. So­lutions depend somewhat on the domain hei.ght chosen under these conditions, but not on the domain width. The results presented below are for a domain height above the feature of 7 fLm high and for domain a width of either 3 or 5 fLm. We used a Hz partial pressure of 700 Torr (pilI2 = 700 Torr) at

the top of the solution domain in all simulations. where the gas mean free path is about 0.07 fLID and the initial Knudsen number based on initial trench width is 0.07.

As deposition proceeds, the trench width shrinks and the Knudsen number increases. As trench closure in approached, the Knudsen number goes through the transition regime and into the ballistic transport regime?- 9 As noted, we divide the deposition processes into a number of time steps, and we could switch from this simulator to EVOLVE to complete the deposition simulation. Although this approach has not been taken for this work, we arc cunently performing simulations to determine when such combined simulations are important, i.e., when the differences in final mm profiles predicted by the two approaches become significant.

Figure 3 shows two examples of deposited film profiles at trench mouth closure predicted by our simulator. The depo­sition conditions used to obtain Fig. 3(a) are T=823 K and PRrF = 0.025 Torr. Those used for Fig. 3(b) are T=873 K,

6

P?'VF = (). 015 Ton. The nonconformal step coverage in both • 6

cases is due to transport limitations, i.e., the deposition rates inside the feature would be higher if sufficient WF6 was sup­plied. Figures 4(a) and 4(b) present two examples of contour maps of the WF5 concentration in the initial trench. The op­erating conditions used are 1'=823 K and pRrp = 0.015 Ton

(,

in Fig. 4(a) and P~F6 = 0.035 Ton in Fig. 4(b). In the initial

trenches, the concentration at the midpoint of the trench side­wall is 31 % of the flat surface concentration and 1.5% of C~F6 in Fig. 4(b), and are 11% and 0.16% in Fig. 4(a).

Significant concentration gradients exist in both cases; how­ever, the larger value of C~/C~P6 used to obtain Fig. 4(a)

resulted in much poorer step coverage than in Fig. 4(b) [5.4% for the conditions in Fig. 4(a) and 74% for those in Fig. 4(b)]. In the source volume above the trenches, the iso­concentration contour Jines bend toward the trench mouth. This effect results in larger WF6 concentration at the trench mouth than that above the fiat regions of the wafer away from features. This results in "humps" near the feature mouth [as shown in Fig. 3(b)]. in agreement with the predic­tions of Oh, Rhee, and Kang.17 This phenomenon has been observed experimentally for APCVD of silicon dioxide. I For lower values of P~F ' WF(i partial pressure has a larger effect

6

on reaction rate than at higher partial pressures [see Eq. (2)] (Refs. 19 and 20) and this enhances the bumps in the pro­files.

The model is used to predict step coverage as functions of

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.113.111.210 On: Sun, 21 Dec 2014 20:30:24

Page 6: Low-Knudsen-number transport and deposition

1024 H. Liao and T. S. Cale: Low-Knudsen-number transport and deposition 1024

3

2

--~V·---

!

)

() I

2

( r---·---

o

-1 ! l

-2 1_-

-1

-2 l __ J -0.5 0 2 3 3.5 -I 0 2 3 4

(a) (b)

FIG. 3. (al Simulated film profiles at 823 K, H2 partial pressure 700 Torr, and WF6 partial pressure 0.035 Torr. (b) Simulated film profiles at 873 K, II2 partial pressure 700 Ton·, and WF6 partial pressure 0.015 TOIT (the height of the solution domain is 7 ,urn).

(a) (b)

FIG. 4. Dimensionless WF6 concentration profiles in the initial trench. (al 823 K, H2 pmtial pressure 700 TOIT, and WF6 partial pressure (lOIS Torr. (b) 823 K, H2 partial pressnre 7{]O Torr, and WF6 partial pressure 0.035 TOIT (the height of the solution domain is 7 ,urn).

J. Vac. Sci. Technol. A, Vol. 12, No.4, JullAug 1994

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.113.111.210 On: Sun, 21 Dec 2014 20:30:24

Page 7: Low-Knudsen-number transport and deposition

1025 H. Liao and T. S. Cale: Low-Knudsen-number transport and deposition 1025

100

80

"" L 60

Q) Ol Temperature: 823K ~ Q)

Hydrogen partial pressure: 700Torr

> 0 40 u a. Q)

ro 2()

0.00 0.02 0<04 0.06 0.08 0.10

Tungsten hexafluoride partial pressure (Torr)

FIo. 5. Effect of WF6 partial pressure on step coverage (the partial pressure is measured at the top of the solution domailL The height of the solution domain is 7 ,Lan).

two key process parameters, P~FIi and temperature at P~2 = 700 Torr. Figure 5 summarizes the dependence of step coverage on P~F ' while keeping the temperature at 823 K.

6

The model predicts that step coverage increases with increas-ing P~F6' At low P~F6' the WF6 is depleted along the trench

depth. causing large non uniformity in deposition. This is be­cause decreasing P~VF increases the step coverage modulus

6

(down to very low P~F values) and increases the value of 6

C?{/C~F6' The effect of temperature on step coverage for P~o

= 700 Torr and P~F = 0.035 Torr is shown in Fig. 6. Step 6

coverage depends strongly on temperature, decreasing rap-idly as temperature increases. This is because the rate of the

100.-----~~----------------------------~

80

60

40

Hydrogen parlial pressure: 700Torr Tungsten hexafluoride part;al pressure: O.035Torr

20

O+---~----r---~----r---~--~r---~--~ 700 750 BCO 850 900

Temperature (K)

rIO. 6. Effect of temperature on step coverage (the values of reactant species partial pressures are measured at the top of the slliution domain < The height of the solution domain is 7 ,um).

JVST A - Vacuum, Surfaces, and Films

surface reaction increases much faster than the rate of diffu­sion as the temperature is increased, and the step coverage modulus increases dramatically with temperature.

VI. CONCLUSIONS

We presented a two-dimensional continuum diffusion re­action model for high-pressure CVD (low Knudsen number) processes. The model is solved by the Galerkin finite element method on triangulated domains and the deposited film pro­files are updated by the surface evolution algorithms used in EVOLVE. The effects of changes in process parameter values on step coverage are revealed through the dimensionless pa­rameters of the problem, i.e., step coverage modulus a.l1d the species concentration ratios in the source volume. The larger the step coverage modulus, the lower the step coverage for fixed concentration ratios. The model presented here predicts trends which agree with observations for tungsten deposition at lower pressures. We are currently developing guidelines for choosing the domain size and are generalizing the bound­ary conditions. We are also evaluating the differences in step coverages predicted by the continuum model and simulator presented in this paper and those predicted by the free mo­lecular flow based BTRM and EVOLVE.

ACKNOWLEDGMENTS

The authors gratefully acknowledge support from the Semiconductor Research Corporation and the National Sci­ence Foundation.

APPENDIX: ESTIMATION OF EfFECTIVE OIFFUSIVITY IN THE MIXTURE

The binary diffusivity D ij of the gas pair i and j at low to moderate pressures can be estimated from kinetic theory of gases.27 Its value is a function of temperature and pressure, but is virtually independent of gas composition, viz.,

(AI)

with Tin K and P in atm. In Eq. (AI) mi and mj are mo­lecular weights of species i and j, respectively, (J"ij is the characteristic Lennard-Jones length and On,ij is the diffusion collision integral. Mean values of the Lennard-Jones param­eters (Tij and €ij are calculated using the mixing rules28

(Ti + (J"j (J" .. =---

IJ 2 (A2a)

and

(A2b)

The collision integral UD •i) is a function of temperature only and is given bl9

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.113.111.210 On: Sun, 21 Dec 2014 20:30:24

Page 8: Low-Knudsen-number transport and deposition

1026 H. Liao and T. S. Cale: Low-Knudsen-number transport and deposition 1026

1.06036 0.19300 ---+ -T 0.156 [0 exp(0.47635T)

1.03587 1.764 74 (A3) +------+------

exp( 1.529 96T) exp(3.89411T)

with the reduced temperature f given as

(A4)

where k is Boltzmann's constant. By assuming that the ith species diffuses to a stagnant

multicomponent mixture, the effective diffusivity of the ith species in the mixture Dim is calculated from30

In) -1

D ,m =(1--X,)( 2: /;1. J= J lJ

\ jet!

where Xi is the molar fraction of the ith species.

NOMENCLATURE

tliangle area (length2)

gas species concentration (mol!length3)

gas species diffusivity (length2/time) binary gas difIusivity (Iength2/time)

(AS)

effective diffusivity of the ith species in the mixture (length2/time)

G h H k K m n p p

q R

Rsolid

t T T v x

growth rate (length/time) triangle edge length (length) initial trench depth (length) Boltzmann's constant function of temperature and initial trench depth molecular weight unit normal vector gas species partial pressure (Torr) gas phase total pressure (atm or Torr) triangulation quality test function reaction rate [moll(area time) or llloll(volume time)] or gas constant deposition rate of solid product [mol/(area time)] time temperature (K) reduced temperature molar volume of the solid film (volume/mol) molar fraction

Greek Characters

€ characteristic Lennard-Jones energy cP the step coverage modulus a characteristic Lennard-lones length n diffusion collision integral

Superscripts

o conditions at the top of the domain dimensionless variables

* reference variable

J. Vac. Sci. Techno!. A, Vol. 12, No.4, JuliAug 1994

Subscripts

g gas phase reaction i,j species index m mixture s surface reaction

IS. Wolf and R. N. Tauber, in Silicon Processing for the VLSI Era (Lattice,

Sunset Beach, CA, 1986).

2J. E. 1. Schmitz, in Chemical Vapor Deposition of Tungsten and Tungsten

Silicides jiJr VLSIIUI1Jl Applications (Noyes, Park Ridge, NJ, 1(92).

JG. B. Raupp and T. S. Cale, Chem. Mater. 1,207 (1989).

4-r. S. Cale, T. H. Gandy, G. B. Raupp, and M. Ramaswami, Thin Solid

Films 206, 54 (1991).

5 A. Hasper, J. Holleman, and 1. Middelhoek, J. Electrochem. Soc. 138. 1729 (1991).

6K. Fujino, Y. Nishimoto, N. Tokumasu, and K. Maeda, J. Electrochem.

Soc. 137, 2883 (1990).

7T. S. Cale and G. B. Raupp, J. Vac. Sci. Techno!. B 8, 649 (1990).

81'. S. Calc, T. H. Gandy, and G. B. Raupp, J. Apr!. Phys. 68, 3645 (1990).

9T. S. Cale and G. B. Raupp, J. Vae. Sci. Technol. B 8, 1242 (1990).

10M. M. Is\amRaja, M. A. Capelli, J. P. McVittie, and K. C. Saraswat, J.

App\. Phys. 70, 7137 (1991).

llv. K. Singh, E. S. G. Shaqfch. and 1. P. McVittie, J. Vae. Sci. Technol. B 10, 1091 (1992).

I2J. J. Hsieh and R. V. Joshi, in Advanced l"fetallization for ULSI Applica­tions, edited by V. V. S. Rana, R. V. Joshi and 1. Ohdomari (Materials

Research Society, Pittshurgh, PA, 1992), p. 77.

DEVOLVE is a low-pressure deposition process simulator developed by T. S.

Cale at ASU and Motorola, Inc. with funding from the Semiconductor

Research Corporation and the National Science Foundation.

I4EVOLVE_311 is a three-dimensional low-pressure deposition process simu­

lator developed by H. Liao at ASU under the direction of Dr. T. S. Cale

with funding from the Semiconductor Research Corporation and the Na­

tional Science Foundation.

lIe. H. J. van den Brekel, Philips Res. Rep. 32, 118 (1977).

I6C. H. J. van den Brekel and A. K. Jensen, J. Cryst. Growth 43, 488 0(78).

I7H. 1. Qh, S. W. Rhee, and I. S. Kang, J. Electrochem. Soc. 139, 1714 (1992).

I8R. Alkire. T. Bergh, and R. L. Sani. J. E1cctrochern. Soc. 125, 1981

(1978). 19T. S. Cale, M. B. Chaara, and A. Hasper, Mater. Res. Soc. Symp. Proc.

260, 393 (1992).

20M. B. Chaara and T. S. Calc, Thin Solid Films 220, 19 (1992).

21R. Arora and R. Pollard, J. Rlectrochem. Soc. 138, 1523 (J991). 221'. S. Cale, M. K. Jain, ,md G. B. Raupp, J. Electrochem. Soc. 137, 1526

(19<)()). 23R. E. Bank, in PLTMG: A Software Packaf(e for Solving Elliptic Partial

Differential Equations (Society for Industrial and Applied Mathematics, PA,19(0).

24K. R. Jackson and R. Sacks-Davis, ACM Tran. Math. Software 6, 295 (1980).

25p. N. Brown, G. D. Byrne, and A. C. Hindmarsh, SIAM J. Sci. Stat.

Conlp'. 10, 1038 (989).

26J. Ii. J. Schmit?, A. J. M. van Dijk, 1. L. G. Suijker, M. J. Buiting, and R.

C. Ellwanger, App!. Smf. Sci. 38, 350 (1989).

27R. B. Bird, W. E. Stewart, and E. N. Lightfoot, in Transport Phenomena

(Wiley, New York, 1(60).

2sR. C. Reid, W. E. Stewart, and B. E. Poling, in The Properties of Gases and Liquids (McGraw-Hill, New York, 1988).

29p. D. Neufeld, A. R. Janzen, and R. A. Aziz, 1. Chem. Phys. 57, l100 (1972).

lOCo R. Wilke, Chern. Eng. Prog. 46, 95 (1950).

····························v······ Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.113.111.210 On: Sun, 21 Dec 2014 20:30:24