156
George Mason University VHDL Basics Lecture 3 Testbenches

George Mason University VHDL Basics Lecture 3 Testbenches

Embed Size (px)

Citation preview

Page 1: George Mason University VHDL Basics Lecture 3 Testbenches

George Mason University

VHDL Basics

Lecture 3

Testbenches

Page 2: George Mason University VHDL Basics Lecture 3 Testbenches

2

Required reading

• P. Chu, RTL Hardware Design using VHDL

Chapter 2, Overview of Hardware Description

Languages

Chapter 3, Basic Language Constructs of VHDL

Page 3: George Mason University VHDL Basics Lecture 3 Testbenches

3

Recommended reading

• Wikipedia – The Free On-line Encyclopedia

VHDL - http://en.wikipedia.org/wiki/VHDL

Verilog - http://en.wikipedia.org/wiki/Verilog

Accellera - http://en.wikipedia.org/wiki/Accellera

Page 4: George Mason University VHDL Basics Lecture 3 Testbenches

4

Required reading

• Sundar Rajan, Essential VHDL: RTL Synthesis Done Right

Chapter 14, starting from “Design Verification”

Page 5: George Mason University VHDL Basics Lecture 3 Testbenches

5

Steps of the Design Process

1. Text description2. Interface3. Pseudocode4. Block diagram of the Datapath5. Interface with the division into the Datapath and the Controller6. ASM chart of the Controller7. RTL VHDL code of the Datapath, the Controller, and the

Top Unit8. Testbench of the Datapath, the Controller, and the

Top Unit9. Functional simulation and debugging10. Synthesis and post-synthesis simulation11. Implementation and timing simulation12. Experimental testing

Page 6: George Mason University VHDL Basics Lecture 3 Testbenches

6ECE 448 – FPGA and ASIC Design with VHDL

Differences between

Hardware Description Languages (HDL)

and Traditional

Programming Languages (PL)

Page 7: George Mason University VHDL Basics Lecture 3 Testbenches

7

Page 8: George Mason University VHDL Basics Lecture 3 Testbenches

8

Page 9: George Mason University VHDL Basics Lecture 3 Testbenches

9

Page 10: George Mason University VHDL Basics Lecture 3 Testbenches

VHDL for Specification

VHDL for Simulation

VHDL for Synthesis

Page 11: George Mason University VHDL Basics Lecture 3 Testbenches

11

Page 12: George Mason University VHDL Basics Lecture 3 Testbenches

12

Register Transfer Level (RTL) Design Description

Combinational Logic

Combinational Logic

Registers

Page 13: George Mason University VHDL Basics Lecture 3 Testbenches

Levels of design description

Algorithmic level

Register Transfer Level

Logic (gate) level

Circuit (transistor) level

Physical (layout) level

Level of description

most suitable for synthesis

Levels supported by HDL

Page 14: George Mason University VHDL Basics Lecture 3 Testbenches

14

Page 15: George Mason University VHDL Basics Lecture 3 Testbenches

15ECE 448 – FPGA and ASIC Design with VHDL

Brief History of VHDL

Page 16: George Mason University VHDL Basics Lecture 3 Testbenches

16

VHDL

• VHDL is a language for describing digital hardware used by industry worldwide

• VHDL is an acronym for VHSIC (Very High

Speed Integrated Circuit) Hardware

Description Language

Page 17: George Mason University VHDL Basics Lecture 3 Testbenches

17

Genesis of VHDL

• Multiple design entry methods and

hardware description languages in use• No or limited portability of designs

between CAD tools from different vendors• Objective: shortening the time from a

design concept to implementation from

18 months to 6 months

State of art circa 1980

Page 18: George Mason University VHDL Basics Lecture 3 Testbenches

18

A Brief History of VHDL

• June 1981: Woods Hole Workshop

• July 1983: contract to develop VHDL awarded by

the United States Air Force to

• Intermetrics (language experts)

• Texas Instruments (chip design experts)

• IBM (computer system design experts)

• August 1985: VHDL Version 7.2 released

• December 1987:

VHDL became IEEE Standard 1076-1987 and in 1988 an ANSI standard

Page 19: George Mason University VHDL Basics Lecture 3 Testbenches

19

Four versions of VHDL

• Four versions of VHDL:• IEEE-1076 1987• IEEE-1076 1993 most commonly supported by CAD tools• IEEE-1076 2000 (minor changes)• IEEE-1076 2002 (minor changes)• IEEE-1076 2008

Page 20: George Mason University VHDL Basics Lecture 3 Testbenches

20

Page 21: George Mason University VHDL Basics Lecture 3 Testbenches

21ECE 448 – FPGA and ASIC Design with VHDL

Verilog

Page 22: George Mason University VHDL Basics Lecture 3 Testbenches

22

Verilog• Essentially identical in function to VHDL• Simpler and syntactically different

• C-like

• Gateway Design Automation Co., 1985• Gateway acquired by Cadence in 1990• IEEE Standard 1364-1995 (Verilog-95)• Early de facto standard for ASIC design• Two subsequent versions

• Verilog 2001 (major extensions) ← dominant version used in industry• Verilog 2005 (minor changes)

• Programming language interface to allow connection to non-Verilog code

Page 23: George Mason University VHDL Basics Lecture 3 Testbenches

23

VHDL vs. Verilog

Government Developed

Commercially Developed

Ada based C based

Strongly Type Cast Mildly Type Cast

Case-insensitive Case-sensitive

Difficult to learn Easier to Learn

More Powerful Less Powerful

Page 24: George Mason University VHDL Basics Lecture 3 Testbenches

24

How to learn Verilog by yourself ?

Page 25: George Mason University VHDL Basics Lecture 3 Testbenches

25

How to learn Verilog by yourself ?

Page 26: George Mason University VHDL Basics Lecture 3 Testbenches

26

Features of VHDL and Verilog

• Technology/vendor independent

• Portable

• Reusable

Page 27: George Mason University VHDL Basics Lecture 3 Testbenches

27ECE 448 – FPGA and ASIC Design with VHDL

VHDL Fundamentals

Page 28: George Mason University VHDL Basics Lecture 3 Testbenches

28

Naming and Labeling (1)

• VHDL is case insensitiveExample:

Names or labels

databus

Databus

DataBus

DATABUS

are all equivalent

Page 29: George Mason University VHDL Basics Lecture 3 Testbenches

29

Naming and Labeling (2)

General rules of thumb (according to VHDL-87)

1. All names should start with an alphabet character (a-z or A-Z)

2. Use only alphabet characters (a-z or A-Z) digits (0-9) and underscore (_)

3. Do not use any punctuation or reserved characters within a name (!, ?, ., &, +, -, etc.)

4. Do not use two or more consecutive underscore characters (__) within a name (e.g., Sel__A is invalid)

5. All names and labels in a given entity and architecture must be unique

Page 30: George Mason University VHDL Basics Lecture 3 Testbenches

30

Valid or invalid?

7segment_display

A87372477424

Adder/Subtractor

/reset

And_or_gate

AND__OR__NOT

Kogge-Stone-Adder

Ripple&Carry_Adder

My adder

Page 31: George Mason University VHDL Basics Lecture 3 Testbenches

31

Extended Identifiers

Allowed only in VHDL-93 and higher:

1. Enclosed in backslashes2. May contain spaces and consecutive underscores3. May contain punctuation and reserved characters within

a name (!, ?, ., &, +, -, etc.)4. VHDL keywords allowed5. Case sensitive

Examples: /rdy/ /My design/ /!a/ /RDY/ /my design/ /-a/

Page 32: George Mason University VHDL Basics Lecture 3 Testbenches

32

Free Format

• VHDL is a “free format” language

No formatting conventions, such as spacing or indentation imposed by VHDL compilers. Space and carriage return treated the same way.Example:

if (a=b) then

orif (a=b) then

orif (a =

b) then

are all equivalent

Page 33: George Mason University VHDL Basics Lecture 3 Testbenches

33

Readability standards & coding style

Adopt readability standards based on one of the the two main textbooks:

Chu or Brown/Vranesic

Use coding style recommended in

OpenCores Coding Guidelines

linked from the course web page

Strictly enforced by the TA and myself.

Penalty points may be enforced for not following

these recommendations!!!

Page 34: George Mason University VHDL Basics Lecture 3 Testbenches

34

Comments

• Comments in VHDL are indicated with a “double dash”, i.e., “--”

Comment indicator can be placed anywhere in the line

Any text that follows in the same line is treated as a comment Carriage return terminates a comment No method for commenting a block extending over

a couple of linesExamples:-- main subcircuitData_in <= Data_bus; -- reading data from the input FIFO

Page 35: George Mason University VHDL Basics Lecture 3 Testbenches

35

Comments

• Explain Function of Module to Other Designers

• Explanatory, Not Just Restatement of Code

• Locate Close to Code Described• Put near executable code, not just in a header

Page 36: George Mason University VHDL Basics Lecture 3 Testbenches

36ECE 448 – FPGA and ASIC Design with VHDL

Design Entity

Page 37: George Mason University VHDL Basics Lecture 3 Testbenches

37

Example: NAND Gate

a b z

0 0 1

0 1 1

1 0 1

1 1 0

a

bz

Page 38: George Mason University VHDL Basics Lecture 3 Testbenches

38

Example VHDL Code• 3 sections to a piece of VHDL code• File extension for a VHDL file is .vhd• Name of the file should be the same as the entity name

(nand_gate.vhd) [OpenCores Coding Guidelines]

LIBRARY DECLARATION

ENTITY DECLARATION

ARCHITECTURE BODY

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC);

END nand_gate;

ARCHITECTURE model OF nand_gate ISBEGIN

z <= a NAND b;END model;

Page 39: George Mason University VHDL Basics Lecture 3 Testbenches

39

Design Entity - most basic building block of a design.

One entity can have many different architectures.

entity declaration

architecture 1

architecture 2

architecture 3

design entity

Design Entity

Page 40: George Mason University VHDL Basics Lecture 3 Testbenches

40

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC

);END nand_gate;

Reserved words

Entity name Port names Port typeSemicolon

No Semicolon after last port

Port modes (data flow directions)

Entity Declaration

• Entity Declaration describes the interface of the component, i.e. input and output ports.

Page 41: George Mason University VHDL Basics Lecture 3 Testbenches

41

ENTITY entity_name IS

PORT (

port_name : port_mode signal_type;

port_name : port_mode signal_type;

………….

port_name : port_mode signal_type);

END entity_name;

Entity declaration – simplified syntax

Page 42: George Mason University VHDL Basics Lecture 3 Testbenches

42

a

EntityPort signal

Driver resides

outside the entity

Port Mode IN

Page 43: George Mason University VHDL Basics Lecture 3 Testbenches

43

Entity

Port signal

Driver resides

inside the entity

Output cannot be read within the entity

z

c <= z

c

Port Mode OUT

Page 44: George Mason University VHDL Basics Lecture 3 Testbenches

44

Port signal

Entity

Driver resides

inside the entity

Signal x can beread inside the entity

x

c

z

z <= x

c <= x

Port Mode OUT (with extra signal)

Page 45: George Mason University VHDL Basics Lecture 3 Testbenches

45

Signal can beread inside the entity

EntityPort signal

Driver may reside

both inside and outside

of the entity

a

Port Mode INOUT (typically avoided)

Page 46: George Mason University VHDL Basics Lecture 3 Testbenches

46

Port Modes - Summary

The Port Mode of the interface describes the direction in which data travels with respect to the component

• In: Data comes into this port and can only be read within the entity. It can appear only on the right side of a signal or variable assignment.

• Out: The value of an output port can only be updated within the entity. It cannot be read. It can only appear on the left side of a signal assignment.

• Inout: The value of a bi-directional port can be read and updated within the entity model. It can appear on both sides of a signal assignment.

Page 47: George Mason University VHDL Basics Lecture 3 Testbenches

47

Architecture (Architecture body)

• Describes an implementation of a design entity

• Architecture example:

ARCHITECTURE model OF nand_gate ISBEGIN

z <= a NAND b;END model;

Page 48: George Mason University VHDL Basics Lecture 3 Testbenches

48

Architecture – simplified syntax

ARCHITECTURE architecture_name OF entity_name IS

[ declarations ]

BEGIN

code

END architecture_name;

Page 49: George Mason University VHDL Basics Lecture 3 Testbenches

49

Entity Declaration & Architecture

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC);

END nand_gate;

ARCHITECTURE dataflow OF nand_gate ISBEGIN

z <= a NAND b;END dataflow;

nand_gate.vhd

Page 50: George Mason University VHDL Basics Lecture 3 Testbenches

50

Tips & Hints

Place each entity in a different file.

The name of each file should be exactly the sameas the name of an entity it contains.

These rules are not enforced by all tools

but are worth following in order to increase

readability and portability of your designs

Page 51: George Mason University VHDL Basics Lecture 3 Testbenches

51

Tips & Hints

Place the declaration of each port, signal, constant, and variable

in a separate line

These rules are not enforced by all tools

but are worth following in order to increase

readability and portability of your designs

Page 52: George Mason University VHDL Basics Lecture 3 Testbenches

52ECE 448 – FPGA and ASIC Design with VHDL

Libraries

Page 53: George Mason University VHDL Basics Lecture 3 Testbenches

53

Library Declarations

Use all definitions from the package

std_logic_1164

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC);

END nand_gate;

ARCHITECTURE model OF nand_gate ISBEGIN

z <= a NAND b;END model;

Library declaration

Page 54: George Mason University VHDL Basics Lecture 3 Testbenches

54

Library declarations - syntax

LIBRARY library_name;

USE library_name.package_name.package_parts;

Page 55: George Mason University VHDL Basics Lecture 3 Testbenches

55

Fundamental parts of a library

LIBRARY

PACKAGE 1 PACKAGE 2

TYPES

CONSTANTS

FUNCTIONS

PROCEDURES

COMPONENTS

TYPES

CONSTANTS

FUNCTIONS

PROCEDURES

COMPONENTS

Page 56: George Mason University VHDL Basics Lecture 3 Testbenches

56

Libraries

• ieee

• std

• work

Need to be explicitly

declared

Visible by default

Specifies multi-level logic system,

including STD_LOGIC, and

STD_LOGIC_VECTOR data types

Specifies pre-defined data types

(BIT, BOOLEAN, INTEGER, REAL,

SIGNED, UNSIGNED, etc.), arithmetic

operations, basic type conversion

functions, basic text i/o functions, etc.

Holds current designs after compilation

Page 57: George Mason University VHDL Basics Lecture 3 Testbenches

57ECE 448 – FPGA and ASIC Design with VHDL

STD_LOGIC Demystified

Page 58: George Mason University VHDL Basics Lecture 3 Testbenches

58

STD_LOGIC

What is STD_LOGIC you ask?

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY nand_gate ISPORT( a : IN STD_LOGIC;

b : IN STD_LOGIC; z : OUT STD_LOGIC);

END nand_gate;

ARCHITECTURE dataflow OF nand_gate ISBEGIN

z <= a NAND b;END dataflow;

Page 59: George Mason University VHDL Basics Lecture 3 Testbenches

59

BIT versus STD_LOGIC

• BIT type can only have a value of ‘0’ or ‘1’

• STD_LOGIC can have nine values• ’U’,’X’,‘0’,’1’,’Z’,’W’,’L’,’H’,’-’• Useful mainly for simulation• ‘0’,’1’, and ‘Z’ are synthesizable

(your codes should contain only these

three values)

Page 60: George Mason University VHDL Basics Lecture 3 Testbenches

60

STD_LOGIC type demystified

Value Meaning

‘U’ Uninitialized

‘X’ Forcing (Strong driven) Unknown

‘0’ Forcing (Strong driven) 0

‘1’ Forcing (Strong driven) 1

‘Z’ High Impedance

‘W’ Weak (Weakly driven) Unknown

‘L’Weak (Weakly driven) 0.Models a pull down.

‘H’Weak (Weakly driven) 1. Models a pull up.

‘-’ Don't Care

Page 61: George Mason University VHDL Basics Lecture 3 Testbenches

61

More on STD_LOGIC Meanings (1)

‘1’

‘0’

‘X’

Contention on the busX

Page 62: George Mason University VHDL Basics Lecture 3 Testbenches

62

More on STD_LOGIC Meanings (2)

Page 63: George Mason University VHDL Basics Lecture 3 Testbenches

63

VDD

‘H’

‘0’

‘1’

‘L’

More on STD_LOGIC Meanings (3)

VDD

Page 64: George Mason University VHDL Basics Lecture 3 Testbenches

64

More on STD_LOGIC Meanings (4)

•Do not care.•Can be assigned to outputs for the case of invalid inputs (may produce significant improvement in resource utilization after synthesis).•Must be used with great caution. For example in VHDL, the direct comparison ‘1’ = ‘-’ gives FALSE.

The "std_match" functions defined in the numeric_std package must be used to make this value work as expected:Example: if (std_match(address, "-11---") then ... elsif (std_match(address, "-01---") then ... else ... end if;

‘-’

Page 65: George Mason University VHDL Basics Lecture 3 Testbenches

65

Resolving logic levels

U X 0 1 Z W L H -

U U U U U U U U U U

X U X X X X X X X X

0 U X 0 X 0 0 0 0 X

1 U X X 1 1 1 1 1 X

Z U X 0 1 Z W L H X

W U X 0 1 W W W W X

L U X 0 1 L W L W X

H U X 0 1 H W W H X- U X X X X X X X X

Page 66: George Mason University VHDL Basics Lecture 3 Testbenches

66

STD_LOGIC Rules

• In ECE 545 use std_logic or std_logic_vector for all entity input or output ports• Do not use integer, unsigned, signed, bit for

ports• You can use them inside of architectures if

desired• You can use them in generics

• Instead use std_logic_vector and a conversion function inside of your architecture

[Consistent with OpenCores Coding Guidelines]

Page 67: George Mason University VHDL Basics Lecture 3 Testbenches

67ECE 448 – FPGA and ASIC Design with VHDL

Modeling Wires and Buses

Page 68: George Mason University VHDL Basics Lecture 3 Testbenches

68

Signals

SIGNAL a : STD_LOGIC;

SIGNAL b : STD_LOGIC_VECTOR(7 DOWNTO 0);

wire

a

bus

b

1

8

Page 69: George Mason University VHDL Basics Lecture 3 Testbenches

69

Standard Logic Vectors

SIGNAL a: STD_LOGIC;SIGNAL b: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL c: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL d: STD_LOGIC_VECTOR(15 DOWNTO 0);SIGNAL e: STD_LOGIC_VECTOR(8 DOWNTO 0); ……….a <= ‘1’;b <= ”0000”; -- Binary base assumed by defaultc <= B”0000”; -- Binary base explicitly specifiedd <= X”AF67”; -- Hexadecimal basee <= O”723”; -- Octal base

Page 70: George Mason University VHDL Basics Lecture 3 Testbenches

70

Vectors and Concatenation

SIGNAL a: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL b: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL c, d, e: STD_LOGIC_VECTOR(7 DOWNTO 0);

a <= ”0000”; b <= ”1111”; c <= a & b; -- c = ”00001111”

d <= ‘0’ & ”0001111”; -- d <= ”00001111”

e <= ‘0’ & ‘0’ & ‘0’ & ‘0’ & ‘1’ & ‘1’ & ‘1’ & ‘1’; -- e <= ”00001111”

Page 71: George Mason University VHDL Basics Lecture 3 Testbenches

71ECE 448 – FPGA and ASIC Design with VHDL

Types of VHDL Description

(Modeling Styles)

Page 72: George Mason University VHDL Basics Lecture 3 Testbenches

72

Types of VHDL Description: Convention used in this class

Components andinterconnects

structural

VHDL Descriptions

dataflow

Concurrent statements

behavioral

• Registers• State machines• Decoders

Sequential statements

Subset most suitable for synthesis

• Testbenches

Page 73: George Mason University VHDL Basics Lecture 3 Testbenches

73

Types of VHDL Description: Alternative convention

Components & interconnects

Structural

VHDL Descriptions

dataflow

Concurrent statements

algorithmic

Sequential statements

Behavioral

Page 74: George Mason University VHDL Basics Lecture 3 Testbenches

74

xor3 Example

Page 75: George Mason University VHDL Basics Lecture 3 Testbenches

75

Entity xor3_gate

LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY xor3_gate IS

PORT(

A : IN STD_LOGIC;

B : IN STD_LOGIC;

C : IN STD_LOGIC;

Result : OUT STD_LOGIC

);

end xor3_gate;

Page 76: George Mason University VHDL Basics Lecture 3 Testbenches

76

Dataflow Architecture (xor3_gate)

ARCHITECTURE dataflow OF xor3_gate ISSIGNAL U1_OUT: STD_LOGIC;BEGIN

U1_OUT <= A XOR B;Result <= U1_OUT XOR C;

END dataflow;

U1_OUT

Page 77: George Mason University VHDL Basics Lecture 3 Testbenches

77

Dataflow Description • Describes how data moves through the system and the various

processing steps. • Dataflow uses series of concurrent statements to realize logic. • Dataflow is the most useful style to describe combinational logic• Dataflow code also called “concurrent” code

• Concurrent statements are evaluated at the same time; thus, the order of these statements doesn’t matter• This is not true for sequential/behavioral statements

This order…

U1_out <= A XOR B;

Result <= U1_out XOR C;

Is the same as this order…

Result <= U1_out XOR C;

U1_out <= A XOR B;

Page 78: George Mason University VHDL Basics Lecture 3 Testbenches

78

Structural Architecture in VHDL 93

A

B

C

Resultxor3_gate

I1

I2Y I1

I2Y

U1_OUT

PORT NAME

LOCAL WIRE NAME

ARCHITECTURE structural OF xor3_gate ISSIGNAL U1_OUT: STD_LOGIC;

BEGINU1: entity work.xor2(dataflow)

PORT MAP (I1 => A, I2 => B,

Y => U1_OUT);

U2: entity work.xor2(dataflow) PORT MAP (I1 => U1_OUT,

I2 => C, Y => Result);

END structural;

Page 79: George Mason University VHDL Basics Lecture 3 Testbenches

79

xor2

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY xor2 ISPORT( I1 : IN STD_LOGIC;

I2 : IN STD_LOGIC; Y : OUT STD_LOGIC);

END xor2;

ARCHITECTURE dataflow OF xor2 ISBEGIN

Y <= I1 xor I2;END dataflow;

xor2.vhd

Page 80: George Mason University VHDL Basics Lecture 3 Testbenches

80

Structural Architecture in VHDL 87

ARCHITECTURE structural OF xor3_gate ISSIGNAL U1_OUT: STD_LOGIC;

COMPONENT xor2 PORT(

I1 : IN STD_LOGIC; I2 : IN STD_LOGIC; Y : OUT STD_LOGIC

);END COMPONENT;

BEGINU1: xor2 PORT MAP (I1 => A,

I2 => B, Y => U1_OUT);

U2: xor2 PORT MAP (I1 => U1_OUT,

I2 => C, Y => Result);

END structural;

A

B

C

Resultxor3_gate

I1

I2Y I1

I2Y

U1_OUT

PORT NAME

LOCAL WIRE NAME

Page 81: George Mason University VHDL Basics Lecture 3 Testbenches

81

Structural Description

• Structural design is the simplest to understand. This style is the closest to schematic capture and utilizes simple building blocks to compose logic functions.

• Components are interconnected in a hierarchical manner.

• Structural descriptions may connect simple gates or complex, abstract components.

• Structural style is useful when expressing a design that is naturally composed of sub-blocks.

Page 82: George Mason University VHDL Basics Lecture 3 Testbenches

82

Behavioral Architecture (xor3 gate)

ARCHITECTURE behavioral OF xor3 ISBEGINxor3_behave: PROCESS (A, B, C)BEGIN

IF ((A XOR B XOR C) = '1') THENResult <= '1';

ELSEResult <= '0';

END IF;END PROCESS xor3_behave;END behavioral;

Page 83: George Mason University VHDL Basics Lecture 3 Testbenches

83

Behavioral Description

• It accurately models what happens on the inputs and outputs of the black box (no matter what is inside and how it works).

• This style uses PROCESS statements in VHDL.

Page 84: George Mason University VHDL Basics Lecture 3 Testbenches

84ECE 448 – FPGA and ASIC Design with VHDL

Testbenches

Page 85: George Mason University VHDL Basics Lecture 3 Testbenches

85

Testbench Defined

• Testbench = VHDL entity that applies stimuli (drives the inputs) to the Design Under Test (DUT) and (optionally) verifies expected outputs.

• The results can be viewed in a waveform window or written to a file.

• Since Testbench is written in VHDL, it is not restricted to a single simulation tool (portability).

• The same Testbench can be easily adapted to test different implementations (i.e. different architectures) of the same design.

Page 86: George Mason University VHDL Basics Lecture 3 Testbenches

86

Simple Testbench

Processes

Generating

Stimuli

Design Under Test (DUT)

Observed Outputs

Page 87: George Mason University VHDL Basics Lecture 3 Testbenches

87

Advanced Testbench (1)

ProcessesGenerating

InputStimuli

Design Under Test (DUT)

ProcessComparing

Actual Outputs

vs.Expected

Outputs

Design

Correct/Incorrect

Yes/No

Page 88: George Mason University VHDL Basics Lecture 3 Testbenches

88

Advanced Testbench (2)

ProcessesGenerating

InputStimuli

Design Under Test (DUT)

ProcessComparing

Actual Outputs

vs.Expected

Outputs

Design

Correct/Incorrect

Yes/No

Testvector

file(s)

Page 89: George Mason University VHDL Basics Lecture 3 Testbenches

89

Test vectors

Set of pairs: {Input i, Expected Output i}

Input 1, Expected Output 1

Input 2, Expected Output 2

……………………………

Input N, Expected Output N

Test vectors can be:

- defined in the testbench source file

- stored in a data file

Page 90: George Mason University VHDL Basics Lecture 3 Testbenches

90

Representative

Inputs

VHDL Design

Manual Calculations

or

Reference Software Implementation

(C, Java, Matlab )

expected results

Testbench

actual results

= ?

Possible sources of expected results used for comparison

Page 91: George Mason University VHDL Basics Lecture 3 Testbenches

91

Testbench

testbench

design entity

Architecture 1 Architecture 2 Architecture N. . . .

The same testbench can be used to test multiple implementations of the same circuit

(multiple architectures)

Page 92: George Mason University VHDL Basics Lecture 3 Testbenches

92

Simple Testbench Anatomy

ENTITY my_entity_tb IS --TB entity has no ports

END my_entity_tb;

ARCHITECTURE behavioral OF tb IS

--Local signals and constants

-----------------------------------------------------BEGIN DUT: entity work.TestComp(dataflow) PORT MAP( -- Instantiations of DUTs ); testSequence: PROCESS -- Input stimuli END PROCESS;

END behavioral;

Page 93: George Mason University VHDL Basics Lecture 3 Testbenches

93

Testbench for XOR3 (1)

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY xor3_tb ISEND xor3_tb;

ARCHITECTURE behavioral OF xor3_tb IS

-- Stimulus signals - signals mapped to the input and inout ports of tested entitySIGNAL test_vector: STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL test_result : STD_LOGIC;

Page 94: George Mason University VHDL Basics Lecture 3 Testbenches

94

Testbench for XOR3 (2)

BEGINUUT : entity work.xor3(dataflow)

PORT MAP (A => test_vector(2),B => test_vector(1),C => test_vector(0),Result => test_result);

); Testing: PROCESS BEGIN test_vector <= "000";

WAIT FOR 10 ns; test_vector <= "001"; WAIT FOR 10 ns; test_vector <= "010"; WAIT FOR 10 ns;

test_vector <= "011"; WAIT FOR 10 ns; test_vector <= "100"; WAIT FOR 10 ns; test_vector <= "101"; WAIT FOR 10 ns; test_vector <= "110"; WAIT FOR 10 ns; test_vector <= "111";

WAIT FOR 10 ns; END PROCESS;END behavioral;

Page 95: George Mason University VHDL Basics Lecture 3 Testbenches

95ECE 448 – FPGA and ASIC Design with VHDL

VHDL Description Styles

Components andinterconnects

structural

VHDL Descriptions

dataflow

Concurrent statements

behavioral

• Testbenches

Sequential statements

Page 96: George Mason University VHDL Basics Lecture 3 Testbenches

96ECE 448 – FPGA and ASIC Design with VHDL

Process without Sensitivity List

and its use in Testbenches

Page 97: George Mason University VHDL Basics Lecture 3 Testbenches

97

• A process can be given a unique name using an optional LABEL

• This is followed by the keyword PROCESS

• The keyword BEGIN is used to indicate the start of the process

• All statements within the process are executed SEQUENTIALLY. Hence, order of statements is important.

• A process must end with the keywords END PROCESS.

Testing: PROCESS BEGIN

test_vector<=“00”;WAIT FOR 10 ns;

test_vector<=“01”;WAIT FOR 10 ns;

test_vector<=“10”;WAIT FOR 10 ns;

test_vector<=“11”;WAIT FOR 10 ns;

END PROCESS;

• A process is a sequence of instructions referred to as sequential statements.

What is a PROCESS?

The keyword PROCESS

Page 98: George Mason University VHDL Basics Lecture 3 Testbenches

98

Execution of statements in a PROCESS

• The execution of statements continues sequentially till the last statement in the process.

• After execution of the last statement, the control is again passed to the beginning of the process.

Testing: PROCESS BEGIN

test_vector<=“00”;WAIT FOR 10 ns;test_vector<=“01”;WAIT FOR 10 ns;test_vector<=“10”;WAIT FOR 10 ns;test_vector<=“11”;WAIT FOR 10 ns;

END PROCESS;O

rde

r o

f exe

cutio

n

Program control is passed to the first statement after BEGIN

Page 99: George Mason University VHDL Basics Lecture 3 Testbenches

99

PROCESS with a WAIT Statement

• The last statement in the PROCESS is a WAIT instead of WAIT FOR 10 ns.

• This will cause the PROCESS to suspend indefinitely when the WAIT statement is executed.

• This form of WAIT can be used in a process included in a testbench when all possible combinations of inputs have been tested or a non-periodical signal has to be generated.

Testing: PROCESSBEGIN

test_vector<=“00”;WAIT FOR 10 ns;test_vector<=“01”;WAIT FOR 10 ns;test_vector<=“10”;WAIT FOR 10 ns;test_vector<=“11”;WAIT;

END PROCESS;

Program execution stops here

Ord

er

of e

xecu

tion

Page 100: George Mason University VHDL Basics Lecture 3 Testbenches

100

WAIT FOR vs. WAIT

WAIT FOR: waveform will keep repeating itself forever

WAIT : waveform will keep its state after the last wait instruction.

0 1 2 3

0 1 2 3 …

Page 101: George Mason University VHDL Basics Lecture 3 Testbenches

101ECE 448 – FPGA and ASIC Design with VHDL

Specifying time in VHDL

Page 102: George Mason University VHDL Basics Lecture 3 Testbenches

102

Time values (physical literals) - Examples

7 ns

1 min

min

10.65 us

10.65 fs

Unit of time Space

(required)

Numeric value

unit of time

most commonly

used in simulation

Page 103: George Mason University VHDL Basics Lecture 3 Testbenches

103

Units of time

Unit Definition

Base Unit

fs femtoseconds (10-15 seconds)

Derived Units

ps picoseconds (10-12 seconds)

ns nanoseconds (10-9 seconds)

us microseconds (10-6 seconds)

ms miliseconds (10-3 seconds)

sec seconds

min minutes (60 seconds)

hr hours (3600 seconds)

Page 104: George Mason University VHDL Basics Lecture 3 Testbenches

104ECE 448 – FPGA and ASIC Design with VHDL

Simple Testbenches

Page 105: George Mason University VHDL Basics Lecture 3 Testbenches

105

Generating selected values of one input

SIGNAL test_vector : STD_LOGIC_VECTOR(2 downto 0);

BEGIN

.......testing: PROCESS

BEGIN

test_vector <= "000";

WAIT FOR 10 ns;

test_vector <= "001";

WAIT FOR 10 ns;

test_vector <= "010";

WAIT FOR 10 ns;

test_vector <= "011";

WAIT FOR 10 ns;

test_vector <= "100";

WAIT FOR 10 ns;

END PROCESS;

........

END behavioral;

Page 106: George Mason University VHDL Basics Lecture 3 Testbenches

106

Generating all values of one input

SIGNAL test_vector : STD_LOGIC_VECTOR(3 downto 0):="0000";

BEGIN

.......

testing: PROCESS

BEGIN

WAIT FOR 10 ns;

test_vector <= test_vector + 1;

end process TESTING;

........

END behavioral;

Page 107: George Mason University VHDL Basics Lecture 3 Testbenches

107

Arithmetic Operators in VHDL (1)

To use basic arithmetic operations involving std_logic_vectors you need to include thefollowing library packages:

LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;orUSE ieee.std_logic_signed.all;

Page 108: George Mason University VHDL Basics Lecture 3 Testbenches

108

Arithmetic Operators in VHDL (2)

You can use standard +, - operatorsto perform addition and subtraction:

signal A : STD_LOGIC_VECTOR(3 downto 0); signal B : STD_LOGIC_VECTOR(3 downto 0); signal C : STD_LOGIC_VECTOR(3 downto 0);

…… C <= A + B;

Page 109: George Mason University VHDL Basics Lecture 3 Testbenches

109

Different ways of performing the same operation

signal count: std_logic_vector(7 downto 0);

You can use:

count <= count + “00000001”;

or

count <= count + 1;

or

count <= count + ‘1’;

Page 110: George Mason University VHDL Basics Lecture 3 Testbenches

110

Different declarations for the same operator

Declarations in the package ieee.std_logic_unsigned:

function “+” ( L: std_logic_vector; R:std_logic_vector)

return std_logic_vector;

function “+” ( L: std_logic_vector; R: integer)

return std_logic_vector;

function “+” ( L: std_logic_vector; R:std_logic)

return std_logic_vector;

Page 111: George Mason University VHDL Basics Lecture 3 Testbenches

111

Operator overloading

• Operator overloading allows different argument types for a given operation (function)

• The VHDL tools resolve which of these functions to select based on the types of the inputs

• This selection is transparent to the user as long as the function has been defined for the given argument types.

Page 112: George Mason University VHDL Basics Lecture 3 Testbenches

112

SIGNAL test_ab : STD_LOGIC_VECTOR(1 downto 0);

SIGNAL test_sel : STD_LOGIC_VECTOR(1 downto 0);

BEGIN

.......

double_loop: PROCESSBEGIN

test_ab <="00";test_sel <="00";for I in 0 to 3 loop for J in 0 to 3 loop

wait for 10 ns;test_ab <= test_ab + 1;

end loop; test_sel <= test_sel + 1;end loop;

END PROCESS;

........

END behavioral;

Generating all possible values of two inputs

Page 113: George Mason University VHDL Basics Lecture 3 Testbenches

113

Generating periodical signals, such as clocks

CONSTANT clk1_period : TIME := 20 ns;

CONSTANT clk2_period : TIME := 200 ns;

SIGNAL clk1 : STD_LOGIC;

SIGNAL clk2 : STD_LOGIC := ‘0’;

BEGIN

.......

clk1_generator: PROCESS

clk1 <= ‘0’;

WAIT FOR clk1_period/2;

clk1 <= ‘1’;

WAIT FOR clk1_period/2;

END PROCESS;

clk2 <= not clk2 after clk2_period/2;

.......

END behavioral;

Page 114: George Mason University VHDL Basics Lecture 3 Testbenches

114

Generating one-time signals, such as resets

CONSTANT reset1_width : TIME := 100 ns;

CONSTANT reset2_width : TIME := 150 ns;

SIGNAL reset1 : STD_LOGIC;

SIGNAL reset2 : STD_LOGIC := ‘1’;

BEGIN

.......

reset1_generator: PROCESS

reset1 <= ‘1’;

WAIT FOR reset1_width;

reset1 <= ‘0’;

WAIT;

END PROCESS;

reset2_generator: PROCESS

WAIT FOR reset2_width;

reset2 <= ‘0’;

WAIT;

END PROCESS;

.......

END behavioral;

Page 115: George Mason University VHDL Basics Lecture 3 Testbenches

115

Typical error

SIGNAL test_vector : STD_LOGIC_VECTOR(2 downto 0);

SIGNAL reset : STD_LOGIC;

BEGIN

.......

generator1: PROCESS

reset <= ‘1’;

WAIT FOR 100 ns

reset <= ‘0’;

test_vector <="000";

WAIT;

END PROCESS;

generator2: PROCESS

WAIT FOR 200 ns

test_vector <="001";

WAIT FOR 600 ns

test_vector <="011";

END PROCESS;

.......

END behavioral;

Page 116: George Mason University VHDL Basics Lecture 3 Testbenches

116ECE 448 – FPGA and ASIC Design with VHDL

Asserts & Reports

Page 117: George Mason University VHDL Basics Lecture 3 Testbenches

117

Assert

Assert is a non-synthesizable statement

whose purpose is to write out messages

on the screen when problems are found

during simulation.

Depending on the severity of the problem,

The simulator is instructed to continue

simulation or halt.

Page 118: George Mason University VHDL Basics Lecture 3 Testbenches

118

Assert - syntax

ASSERT condition

[REPORT "message“]

[SEVERITY severity_level ];

The message is written when the condition

is FALSE.

Severity_level can be:

Note, Warning, Error (default), or Failure.

Page 119: George Mason University VHDL Basics Lecture 3 Testbenches

119

Assert – Examples (1)

assert initial_value <= max_value

report "initial value too large"

severity error;

assert packet_length /= 0

report "empty network packet received"

severity warning;

assert false

report "Initialization complete"

severity note;

Page 120: George Mason University VHDL Basics Lecture 3 Testbenches

120

Assert – Examples (2)

stim_proc: process

begin

wait for 20 ns

assert false

report "PASSED CHECKPOINT 1"

severity note;

wait for 10 ns;

assert false

report "PASSED CHECKPOINT 2"

severity warning;

Page 121: George Mason University VHDL Basics Lecture 3 Testbenches

121ECE 448 – FPGA and ASIC Design with VHDL

wait for 10 ns;

assert false

report "PASSED CHECKPOINT 3"

severity error;

wait for 10 ns;

assert false

report "PASSED CHECKPOINT 4"

severity failure;

wait;

end process;

Assert – Examples (3)

Page 122: George Mason University VHDL Basics Lecture 3 Testbenches

122

Format of messages in Aldec Active-HDL

Page 123: George Mason University VHDL Basics Lecture 3 Testbenches

123

Format of messages in Xilinx ISim

Page 124: George Mason University VHDL Basics Lecture 3 Testbenches

124

Report - syntax

REPORT "message"

[SEVERITY severity_level ];

The message is always written.

Severity_level can be:

Note (default), Warning, Error, or Failure.

Page 125: George Mason University VHDL Basics Lecture 3 Testbenches

125

Report - Examples

report "Initialization complete";

report "Current time = " & time'image(now);

report "Incorrect branch" severity error;

Page 126: George Mason University VHDL Basics Lecture 3 Testbenches

126

library IEEE;use IEEE.STD_LOGIC_1164.all;

entity example_1_tb isend example_1_tb;

architecture behavioral of example_1_tb issignal clk : std_logic := '0';

begin clk <= not clk after 100 ns;process

beginwait for 1000 ns;report "Initialization complete";report "Current time = " & time'image(now); wait for 1000 ns;report "SIMULATION COMPLETED" severity failure;

end process;end behavioral;

Report - Examples

Page 127: George Mason University VHDL Basics Lecture 3 Testbenches

127

Advanced Testbench (1)

ProcessesGenerating

InputStimuli

Design Under Test (DUT)

ProcessComparing

Actual Outputs

vs.Expected

Outputs

Design

Correct/Incorrect

Yes/No

Page 128: George Mason University VHDL Basics Lecture 3 Testbenches

128ECE 448 – FPGA and ASIC Design with VHDL

Records

Page 129: George Mason University VHDL Basics Lecture 3 Testbenches

129

Records

TYPE test_vector IS RECORD operation : STD_LOGIC_VECTOR(1 DOWNTO 0); a : STD_LOGIC; b: STD_LOGIC; y : STD_LOGIC;END RECORD;

CONSTANT num_vectors : INTEGER := 16;

TYPE test_vectors IS ARRAY (0 TO num_vectors-1) OF test_vector;

CONSTANT and_op : STD_LOGIC_VECTOR(1 DOWNTO 0) := "00";CONSTANT or_op : STD_LOGIC_VECTOR(1 DOWNTO 0) := "01";CONSTANT xor_op : STD_LOGIC_VECTOR(1 DOWNTO 0) := "10";CONSTANT xnor_op : STD_LOGIC_VECTOR(1 DOWNTO 0) := "11";

Page 130: George Mason University VHDL Basics Lecture 3 Testbenches

130

RecordsCONSTANT test_vector_table: test_vectors :=( (operation => AND_OP, a=>'0', b=>'0', y=>'0'), (operation => AND_OP, a=>'0', b=>'1', y=>'0'), (operation => AND_OP, a=>'1', b=>'0', y=>'0'), (operation => AND_OP, a=>'1', b=>'1', y=>'1'), (operation => OR_OP, a=>'0', b=>'0', y=>'0'), (operation => OR_OP, a=>'0', b=>'1', y=>'1'), (operation => OR_OP, a=>'1', b=>'0', y=>'1'), (operation => OR_OP, a=>'1', b=>'1', y=>'1'), (operation => XOR_OP, a=>'0', b=>'0', y=>'0'), (operation => XOR_OP, a=>'0', b=>'1', y=>'1'), (operation => XOR_OP, a=>'1', b=>'0', y=>'1'), (operation => XOR_OP, a=>'1', b=>'1', y=>'0'), (operation => XNOR_OP, a=>'0', b=>'0', y=>'1'), (operation => XNOR_OP, a=>'0', b=>'1', y=>'0'), (operation => XNOR_OP, a=>'1', b=>'0', y=>'0'), (operation => XNOR_OP, a=>'1', b=>'1', y=>'1'));

Page 131: George Mason University VHDL Basics Lecture 3 Testbenches

131ECE 448 – FPGA and ASIC Design with VHDL

Variables

Page 132: George Mason University VHDL Basics Lecture 3 Testbenches

132

Variables - features

• Can only be declared within processes and subprograms (functions & procedures)

• Initial value can be explicitly specified in the declaration

• When assigned take an assigned value immediately

• Variable assignments represent the desired behavior, not the structure of the circuit

• Can be used freely in testbenches• Should be avoided, or at least used with caution

in a synthesizable code

Page 133: George Mason University VHDL Basics Lecture 3 Testbenches

133

Variables - Example

testing: PROCESS

VARIABLE error_cnt: INTEGER := 0;

BEGINFOR i IN 0 to num_vectors-1 LOOP

test_operation <= test_vector_table(i).operation;test_a <= test_vector_table(i).a;test_b <= test_vector_table(i).b;WAIT FOR 10 ns;IF test_y /= test_vector_table(i).y THEN

error_cnt := error_cnt + 1;END IF;

END LOOP;END PROCESS testing;

Page 134: George Mason University VHDL Basics Lecture 3 Testbenches

134ECE 448 – FPGA and ASIC Design with VHDL

Using Arrays of Test Vectors

In Testbenches

Page 135: George Mason University VHDL Basics Lecture 3 Testbenches

135

Testbench (1)LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY sevenSegmentTB ISEND sevenSegmentTB;

ARCHITECTURE testbench OF sevenSegmentTB IS

COMPONENTsevenSegment PORT ( bcdInputs : IN STD_LOGIC_VECTOR (3 DOWNTO 0); seven_seg_outputs : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); );end COMPONENT;

CONSTANT PropDelay: time := 40 ns;CONSTANT SimLoopDelay: time := 10 ns;

Page 136: George Mason University VHDL Basics Lecture 3 Testbenches

136

Testbench (2)TYPE vector IS RECORD bcdStimulus: STD_LOGIC_VECTOR(3 DOWNTO 0); sevSegOut: STD_LOGIC_VECTOR(6 DOWNTO 0);END RECORD;

CONSTANT NumVectors: INTEGER:= 10;

TYPE vectorArray is ARRAY (0 TO NumVectors - 1) OF vector;

CONSTANT vectorTable: vectorArray := ( (bcdStimulus => "0000", sevSegOut => "0000001"), (bcdStimulus => "0001", sevSegOut => "1001111"), (bcdStimulus => "0010", sevSegOut => "0010010"), (bcdStimulus => "0011", sevSegOut => "0000110"), (bcdStimulus => "0100", sevSegOut => "1001100"), (bcdStimulus => "0101", sevSegOut => "0100100"), (bcdStimulus => "0110", sevSegOut => "0100000"), (bcdStimulus => "0111", sevSegOut => "0001111"), (bcdStimulus => "1000", sevSegOut => "0000000"), (bcdStimulus => "1001", sevSegOut => "0000100"));

Page 137: George Mason University VHDL Basics Lecture 3 Testbenches

137

Testbench (3)

SIGNAL StimInputs: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CaptureOutputs: STD_LOGIC_VECTOR(6 DOWNTO 0);

BEGIN

u1: sevenSegment PORT MAP (bcdInputs => StimInputs,seven_seg_outputs => CaptureOutputs);

Page 138: George Mason University VHDL Basics Lecture 3 Testbenches

138

Testbench (4)

LoopStim: PROCESS

BEGIN

FOR i in 0 TO NumVectors-1 LOOP

StimInputs <= vectorTable(i).bcdStimulus;

WAIT FOR PropDelay;

ASSERT CaptureOutputs == vectorTable(i).sevSegOut

REPORT “Incorrect Output”

SEVERITY error;

WAIT FOR SimLoopDelay;

END LOOP;

Verify outputs!

Page 139: George Mason University VHDL Basics Lecture 3 Testbenches

139

Testbench (5)

WAIT;

END PROCESS;

END testbench;

Page 140: George Mason University VHDL Basics Lecture 3 Testbenches

140

Advanced Testbench (2)

ProcessesGenerating

InputStimuli

Design Under Test (DUT)

ProcessComparing

Actual Outputs

vs.Expected

Outputs

Design

Correct/Incorrect

Yes/No

Testvector

file(s)

Page 141: George Mason University VHDL Basics Lecture 3 Testbenches

141ECE 448 – FPGA and ASIC Design with VHDL

File I/O

Page 142: George Mason University VHDL Basics Lecture 3 Testbenches

142

File I/O Example

• Example of file input/output using a counter

• Text file is vectorfile.txt• Has both input data and EXPECTED output

data• Will compare VHDL output data with

EXPECTED output data!

Page 143: George Mason University VHDL Basics Lecture 3 Testbenches

143

Design Under Test (1)

LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;

ENTITY loadCnt IS PORT ( data: IN STD_LOGIC_VECTOR (7 DOWNTO 0); load: IN STD_LOGIC; clk: IN STD_LOGIC; rst: IN STD_LOGIC; q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );END loadCnt;

Page 144: George Mason University VHDL Basics Lecture 3 Testbenches

144

Design Under Test (2)ARCHITECTURE rtl OF loadCnt IS

SIGNAL cnt: STD_LOGIC_VECTOR (7 DOWNTO 0);

BEGIN counter: PROCESS (clk, rst) BEGIN IF (rst = '1') THEN cnt <= (OTHERS => '0'); ELSIF (clk'event AND clk = '1') THEN IF (load = '1') THEN cnt <= data; ELSE cnt <= cnt + 1; END IF; END IF; END PROCESS; q <= cnt;END rtl;

Page 145: George Mason University VHDL Basics Lecture 3 Testbenches

145

Test vector file (1)

#Format is Rst, Load, Data, Q#load the counter to all 1s0 1 11111111 11111111#reset the counter1 0 10101010 00000000#now perform load/increment for each bit0 1 11111110 111111100 0 11111110 11111111#0 1 11111101 111111010 0 11111101 11111110#0 1 11111011 111110110 0 11111011 11111100#0 1 11110111 111101110 0 11110111 11111000

Page 146: George Mason University VHDL Basics Lecture 3 Testbenches

146

Test vector file (2)

#0 1 11101111 111011110 0 11101111 11110000#0 1 11011111 110111110 0 11011111 11100000#0 1 10111111 101111110 0 10111111 11000000#0 1 01111111 011111110 0 01111111 10000000##check roll-over case0 1 11111111 111111110 0 11111111 00000000## End vectors

Page 147: George Mason University VHDL Basics Lecture 3 Testbenches

147

Methodology to test vectors from file

clk

read vector from text fileinto variables

(vRst, vLoad, vData, vQ)

Apply input data to counter(i.e. rst <= vRst,

load <= vLoad, reset <=vReset,

data <= vData)

Verify output is as expected:compare Qout (the output of the VHDLcounter) with Qexpected (the expected value of Q from the test file)

Page 148: George Mason University VHDL Basics Lecture 3 Testbenches

148

Testbench (1)LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_textio.all;

LIBRARY std;USE std.textio.all;

ENTITY loadCntTB ISEND loadCntTB;ARCHITECTURE testbench OF loadCntTB IS

COMPONENT loadCnt PORT ( data: IN STD_LOGIC_VECTOR (7 DOWNTO 0); load: IN STD_LOGIC; clk: IN STD_LOGIC; rst: IN STD_LOGIC; q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );END COMPONENT;

Page 149: George Mason University VHDL Basics Lecture 3 Testbenches

149

Testbench (2)FILE vectorFile: TEXT OPEN READ_MODE is "vectorfile.txt";

SIGNAL Data: STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL Load: STD_LOGIC;SIGNAL Rst: STD_LOGIC;SIGNAL Qout: STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL Qexpected: STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL TestClk: STD_LOGIC := '0';CONSTANT ClkPeriod: TIME := 100 ns;BEGIN

-- Free running test clock TestClk <= NOT TestClk AFTER ClkPeriod/2;

-- Instance of design being tested u1: loadCnt PORT MAP (Data => Data, load => Load, clk => TestClk, rst => Rst, q => Qout );

Page 150: George Mason University VHDL Basics Lecture 3 Testbenches

150

Testbench (4)

-- File reading and stimulus application

readVec: PROCESS VARIABLE VectorLine: LINE; VARIABLE VectorValid: BOOLEAN; VARIABLE vRst: STD_LOGIC; VARIABLE vLoad: STD_LOGIC; VARIABLE vData: STD_LOGIC_VECTOR(7 DOWNTO 0); VARIABLE vQ: STD_LOGIC_VECTOR(7 DOWNTO 0); VARIABLE space: CHARACTER;

Page 151: George Mason University VHDL Basics Lecture 3 Testbenches

151

Testbench (5) BEGIN WHILE NOT ENDFILE (vectorFile) LOOP readline(vectorFile, VectorLine); -- put file data into line

read(VectorLine, vRst, good => VectorValid); NEXT WHEN NOT VectorValid; read(VectorLine, space); read(VectorLine, vLoad); read(VectorLine, space); read(VectorLine, vData); read(VectorLine, space); read(VectorLine, vQ);

WAIT FOR ClkPeriod/4; Rst <= vRst; Load <= vLoad;

Data <= vData; Qexpected <= vQ;

WAIT FOR (ClkPeriod/4) * 3; END LOOP;

Page 152: George Mason University VHDL Basics Lecture 3 Testbenches

152

Testbench (6) ASSERT FALSE

REPORT "Simulation complete" SEVERITY NOTE; WAIT;END PROCESS;

-- Process to verify outputs verify: PROCESS (TestClk) variable ErrorMsg: LINE; BEGIN IF (TestClk'event AND TestClk = '0') THEN IF Qout /= Qexpected THEN write(ErrorMsg, STRING'("Vector failed ")); write(ErrorMsg, now); writeline(output, ErrorMsg); END IF; END IF; END PROCESS; END testbench;

Page 153: George Mason University VHDL Basics Lecture 3 Testbenches

153

Simulation Waveform

read vector from text fileinto variables

(vRst, vLoad, vData, vQ)

Apply input data to counter(i.e. rst <= vRst,load <= vLoad, reset <=vReset, data <= vData)

Verify output is as expected:compare Q (the output of the VHDLcounter) with Qexpected (the expected value of Q from the test file)

Page 154: George Mason University VHDL Basics Lecture 3 Testbenches

154

Hex format

In order to read/write data in the hexadecimal

notation, replace

read with hread, and

write with hwrite

Page 155: George Mason University VHDL Basics Lecture 3 Testbenches

155

Note on test file

• This example showed a test file that had both the control commands (i.e. load, reset), and the actual data itself

• Often the test file just has the input and output vectors (and no load, reset, etc.)

Page 156: George Mason University VHDL Basics Lecture 3 Testbenches

156

?