Architecture Krish Presntation

Embed Size (px)

Citation preview

  • 8/14/2019 Architecture Krish Presntation

    1/26

    Architectural-Level Synthesis of

    Digital Microfluidics-Based

    Biochips

    Fei Su & Krishnendu Chakrabarty

    Electrical and Computer Engineering

    Duke University

  • 8/14/2019 Architecture Krish Presntation

    2/26

    2

    Motivation

    Application to clinical diagnostics, e.g., healthcare

    for premature infant

    Bio-smoke alarm to counter bioterrorism Massive parallel DNA analysis; automated drug

    discovery

    Conventional Biochemical

    Analyzer

    Shrink

    Microfluidic

    Lab-on-Chip

    Microfluidics-Based

    Biochip

  • 8/14/2019 Architecture Krish Presntation

    3/26

    3

    Microfluidics

    Continuous-flow biochips: Permanently etched

    microchannels, micropumps and microvalves

    Digital microfluidic biochips: Manipulation ofliquids as discrete droplets (digital microfluidics)

    (University of Michigan)

    1998

    (Duke University)

    2002

  • 8/14/2019 Architecture Krish Presntation

    4/264

    Integration of microfluidics: one of the systemIntegration of microfluidics: one of the system--

    level design challenges (level design challenges ( 50nm/beyond 2009)50nm/beyond 2009)2003 International Technology Roadmap for

    Semiconductors (ITRS)

    Heterogeneous SOCs

    -Mixed-signal

    -Mixed-technology

    Digital

    blocks

    Analog & RF

    blocks

    MEMS

    components

    Fluidic

    components

    CAD support needed for

    biochip design

  • 8/14/2019 Architecture Krish Presntation

    5/265

    Outline

    Motivation

    Background

    Related prior work

    Architectural-level synthesis for digitalmicrofluidic biochips Sequencing graph model

    Mathematical programming model

    Heuristics for scheduling problem

    Simulation experiments

    Conclusions

  • 8/14/2019 Architecture Krish Presntation

    6/266

    Background

    Novel microfluidic platform invented at Duke University

    Droplet actuation is achieved through an effect called

    electrowetting Electrical modulation of the solid-liquid interfacial tension

    No PotentialA droplet on a hydrophobic

    surface originally has a

    large contact angle.

    Applied PotentialThe droplets surface energy

    increases, which results in a

    reduced contact angle. The

    droplet now wets the surface.

  • 8/14/2019 Architecture Krish Presntation

    7/267

    Background (Cont.)

    Actuation principle of digital microfluidics

    Droplet Transport

    A droplet can be transported by

    removing a potential on the

    current electrode, and applying a

    potential to an adjacent electrode.

  • 8/14/2019 Architecture Krish Presntation

    8/268

    Background (Cont.)

    Digital microfluidics-based biochips

    MIXERSMIXERSTRANSPORTTRANSPORT DISPENSINGDISPENSING REACTORSREACTORS DETECTIONDETECTION

    Digital Microfluidic

    Biochip

    Basic microfluidic functions(transport, splitting, merging,

    and mixing) have already been

    demonstrated on a 2-D array

    Digital microfluidics-based

    biochip is a high reconfigurable

    system

    INTEGRATE

  • 8/14/2019 Architecture Krish Presntation

    9/26

    9

    Background (Cont.)

    The in-vitro measurement of glucose in human

    physiological fluids

    O4HneQuinoneimiTOPSAAP-4O2H

    OHAcidGluconicOOHGlucose

    2

    Peroxidase

    22

    22

    OxidaseGlucose

    22

    + ++

    + ++

  • 8/14/2019 Architecture Krish Presntation

    10/26

    10

    Background (Cont.) Digital microfluidics-based biochip used in glucose

    measurementDispensing/Transportation:

    Sample droplet (glucose) and reagent

    droplet (glucose oxidase, peroxidase,

    4-AAP and TOPS), are dispensed into

    the microfluidic system from reservoirs.

    Optical Detection:

    Assay result (quinoneimine) is detected

    by a green LED and a photodiode.

    Mixing:

    Sample droplet and reagent droplet are

    mixed in a mixer (i.e. 2x2 array mixer).

    Length of the control electrode

    L = 1.5mm

    Height between two platesH = 475nm

    Thickness of insulator layer

    (parylene C) = 800nm

    Thickness of hydrophobic film(Teflon AF) = 60nm

  • 8/14/2019 Architecture Krish Presntation

    11/26

    11

    Background (Cont.) Detection of lactate, glutamate and pyruvate has also been

    demonstrated.

    Biochip used for multiplexed in-vitro diagnostics on human

    physiological fluids

    Fabricated microfluidic array usedin multiplexed biomedical assays.

  • 8/14/2019 Architecture Krish Presntation

    12/26

    12

    Synthesis Methodology

    Full-custom design Top-down system-level design

    Scheduling of operations

    Binding to functional

    resources Physical design

  • 8/14/2019 Architecture Krish Presntation

    13/26

  • 8/14/2019 Architecture Krish Presntation

    14/26

    14

    Architectural-Level Synthesis

    Sequencing graph model Multiplexed in-vitro diagnostics

    Sample

    Plasma: S1

    Serum: S2

    Saliva: S4

    Urine : S3

    Enzymatic Assay

    Glucose Measurement

    Reagent

    Lactate Measurement

    Pyruvate Measurement

    Glutamate Measurement

    R1

    R2

    R3

    R4

  • 8/14/2019 Architecture Krish Presntation

    15/26

    15

    Sequencing Graph Model (Cont.)

    Node representing the inputoperationSj

    (Dispensing sample Sj,j=1,, m)

    Ij+m

    Rj

    (Dispensing reagent Rj,j=1,, n)

    Ij

    Assumption 1: The timerequired to generate

    and dispense droplets

    from the reservoir isdetermined mainly by

    the system hardware

    parameters

  • 8/14/2019 Architecture Krish Presntation

    16/26

    16

    Sequencing Graph Model (Cont.)

    Node representing different types ofmixingoperations

    M1

    S1

    (Mixing of sample S1 and

    reagentRi, i=1, , n)

    Ri

    M2 Mm

    S2 Ri Sm Ri

    (Mixing of sample S2 and

    reagentRi, i=1, , n)

    (Mixing of sample Sm and

    reagentRi, i=1, , n)

    ...

    Assumption 2: The time required forcomplete mixing mainly depends

    on the viscosity of the sample

  • 8/14/2019 Architecture Krish Presntation

    17/26

    17

    Sequencing Graph Model (Cont.)

    Node representing the detection operations

    D1

    Optical detection of

    Assay 1, e.g.,

    glucose assay

    Si+R1

    D2 Dn

    Optical detection of

    Assay 2, e.g.,

    lactate assay

    Optical detection of

    Assay n, e.g.,

    glutamate assay

    ...

    Si+R2 Si+Rn

    Assumption 3: The type

    of enzymatic assay

    determines the

    optical detection time.

  • 8/14/2019 Architecture Krish Presntation

    18/26

    18

    Sequencing Graph Model (Cont.)

    Assumption 4: In contrast to the above operations,droplet movement on a digital microfluidic array is

    very fast. We can ignore the droplet movement

    time for scheduling assay operations.

    Size view Top view

  • 8/14/2019 Architecture Krish Presntation

    19/26

    19

    Sequencing Graph Model (Cont.)

    Sequencing graph model of a

    multiplexed bioassays

    I1

    S1

    Inputoperations:

    2mn Nodes

    I1 Im Im Im+nIm+1 Im+1 Im+n

    M1 M1 Mm Mm

    D1 Dn D1 Dn

    NOP

    NOP

    Mixing

    operations:

    mn Nodes

    Detection

    operations:

    mn Nodes

    12mn

    2mn+1 3mn

    4mn3mn+1

    S1 Sm Sm R1 Rn R1 Rn

    M1

    D1

    15

    25

    30

    Storage unit is

    required during

    this time period

    Time Step

  • 8/14/2019 Architecture Krish Presntation

    20/26

    20

    Mathematical Programming Model

    First define a binary variable

    =ijX

    1 if operation vi starts at

    time slotj.

    0 otherwise

    Starting time of operation vi :

    =

    =

    T

    jiji XjSt

    1

    Completion time of operation:

    C= max {Sti + d(vi) : vi D1, ,Dn}

    Objective function:

    minimize C

    Dependency constraints

    Stj Sti + d(vi) if there is a dependency

    between viand v

    j Resource constraints

    Reservoirs/dispensing ports

    Nrreservoirs/dispensing ports assigned to

    each type of fluid (Nr = 1)

    : 1j T,11:

    Iviij

    i

    X +

    nmi IviijX

    :

    1

    Reconfigurable mixers and

    storage units

    Nmixer(j) + 0.25Nmemory(j) Na 1 j T

    Optical detectors

    Nddetectors are assigned to each

    bioassay (Nd = 1)

    ,11: )(

    =

    Dvi

    j

    vdjlij

    i i

    X = 1: )(1

    ni iDvi

    j

    vdjlijX 1j T

    Objective Constraints

  • 8/14/2019 Architecture Krish Presntation

    21/26

    21

    Mathematical Programming Model (Cont.)

    Evaluated for a problem of the modest size: Plasma and serum are sampled and assayed for glucose,

    lactate and pyruvate measurements; i.e., m = 2, n = 3

    AssumeNr = Nd = 1, andNa = 4

    NOP

    d(Ii)=1

    1

    I1 I1 I1 I2 I2 I2 I3 I4 I5 I3 I4 I5

    M1 M1 M1 M2 M2 M2

    D1 D2 D3 D1 D2 D3

    S1

    2 3 4 5 6 7 8 9 10 11 12

    13 14 15 16 17 18

    19 20 21 22 23 24

    d(M1)=5

    d(M2)=3

    d(D1)=5

    d(D2)=4

    d(D3)=6

    S1 S1 S2 S2 S2 R1 R2 R3 R1 R2 R31

    3

    4

    5

    6

    7

    8

    9

    10

    11

    12

    13

    14

    15

    16

    17

    1

    2

    6

    5

    7

    104

    8

    11

    12

    1415

    16

    17

    18

    19

    20

    2122

    23

    24

    forS1

    Reconfigurable

    Mixers

    Reservoirs Optical Detectors

    forD1 forD2 forD3forS2 forR1 forR2 forR3

    Time

    step

    2

    3 9 13

    Optimal schedule obtained by using integer linear programming

    Completion time is 17 time-slots; i.e., 34 seconds.

  • 8/14/2019 Architecture Krish Presntation

    22/26

    22

    Heuristics for the Scheduling Problem

    Heuristic algorithms

    Modified List Schedulingalgorithm (M-LS)

    Extend well-known List Schedulingalgorithm Modified to handle the reconfigurable resources

    (i.e., mixer and storage units)

    Heuristic based on a Genetic algorithm (GA)

    Representation of chromosome (random keys)

    Ad-hocschedule construction procedure Evolution strategy

    Simulation Experiments

  • 8/14/2019 Architecture Krish Presntation

    23/26

    23

    Simulation Experiments Lower bound (LB)

    LB = mmax{d(D1), d(Dn)}+min{d(M1), d(Mm)}+d(Ii)+1 Upper bound (UB)

    UB = mmax{d(D1),d(Dn)}+kmax{d(M1),d(Mm)}+max(m, n)d(Ii)+ 1

    Detecto

    largest d

    Mixer with

    smallestdReservoirs

    Min{d(M1),, d(Mm)}

    1

    (b)

    Input operations:

    Max duration =

    max(m, n)

    Phase I

    Phase II

    Phase III

    NMix1+0.25(2mn-2NMix1)NaNMix12Na-mn

    Worst case: 2mn storage units needed

    Step 1: NMix1 mixing operations scheduled

    Mixing operations:Max duration= kmax{d(M1),, d(Mm)}

    Detection operations:Max duration

    = mmax{d(D1),, d(Dn)}

    Reservoirs

    mMax{d(D1),

    , d(Dn)}

    (a)

    NMix2+0.25NMix1+0.25(2mn-2NMix1-2NMix2)Na

    NMix22Na-mn+0.5NMix1

    Step 2: NMix2 mixing operations scheduled

    Step k: NMixkmixing operations scheduled

    r with

    Simulation Experiments (Cont )

  • 8/14/2019 Architecture Krish Presntation

    24/26

    24

    Simulation Experiments (Cont.)

    Five examples (four samples) S1: Plasma, S2: Serum, S3:

    Urine, S4: Saliva, Assay1: Glucose assay, Assay2: Lactate assay,Assay3: Pyruvate assay, Assay4: Glutamate assay

    S1, S2, S3 and S4 are assayed for

    Assay1, Assay2, Assay3 andAssay4.

    Example 5

    (Nr=Nd=1,Na=9) m=4, n=4

    S1, S2, and S3 are assayed forAssay1, Assay2, Assay3 andAssay4.

    Example 4(Nr=Nd=1,Na=7) m=3, n=4

    S1, S2, and S3 are assayed forAssay1, Assay2, and Assay3.

    Example 3

    (Nr=Nd=1,Na=5) m=3, n=3

    S1, and S2 are assayed for

    Assay1, Assay2, and Assay3.

    Example 2

    (Nr=Nd=1,Na=4) m=2, n=3

    S1 and S2 are assayed forAssay1 and Assay2.

    Example 1(Nr=Nd=1,Na=3) m=2, n=2

    DescriptionExample

    Simulation Experiments (Cont )

  • 8/14/2019 Architecture Krish Presntation

    25/26

    25

    Simulation Experiments (Cont.)

    Simulation results

    34355929N/AExample 5

    26274323N/AExample 4

    25264723N/AExample 31719251717Example 2

    1517231515Example 1

    GAM-LSUBLBOptExample

    0

    0.2

    0.4

    0.6

    0.8

    1

    1.2

    1.4

    1 2 3 4 5

    Example

    RatioofHeuristic/LowerBou

    GA/LB

    M-LS/LB

  • 8/14/2019 Architecture Krish Presntation

    26/26

    26

    Conclusions

    A system design methodology to apply classicalarchitectural-level synthesis techniques to digitalmicrofluidics-based biochips

    An optimal strategy based on integer linearprogramming for scheduling assay operationsunder resource constraints

    Two heuristic techniques that scale well for largeproblem instances M-LS: computationally more efficient

    GA: yields lower completion times for bioassays

    A clinical diagnostic procedure used to evaluate

    the proposed methodology