85
EL เทคนิคลำปาง 1

ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

Page 1: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

EL เทคนคลำปาง

1

Administrator
Typewriter
การใชงานโปรแกรม PROTEUS 7.x- 8.x
Administrator
Typewriter
แผนกวชาชางอเลกทรอนกส วทยาลยเทคนคลำปาง
Administrator
Rectangle
Administrator
Typewriter
ใชสำหรบวชาการออกแบบวงจรอเลกทรอนกสดวยคอมพวเตอร
Administrator
Rectangle
Administrator
Typewriter
สำหรบผเรมตน
Page 2: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

สารบญ

5

บทท 1 แนะนาโปรแกรม

13

บทท 2 วงจรแอนะลอก 1

24

2วงจรแอนะลอก 3 บทท

1ตอลจวงจรด 4 บทท

2ตอลจวงจรด 5 บทท

วงจรไมโครคอนโทรลเลอร 6 บทท

EL เทคนคลำปาง

2

Page 3: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

บทนา

ความสามารถของโปรแกรม โปรแกรมโปรตอสเปนโปรแกรมคอมพวเตอรชวยงานออกแบบ (Computer Aided Design : CAD) ทใชจาลองการทางานของวงจรอเลกทรอนกส ดานแอนะลอก ดจตอลและไมโครคอนโทรลเลอร เพอออกแบบวงจรและทดสอบการทางาน นอกจากนโปรแกรมโปรตอสยงใชออกแบบลายแผนวงจร พมพไดอกดวย จงเหมาะทจะนาไปใชในการเรยนการสอนทางดานอเลกทรอนกสเพอใหผเรยนไดทดลองการทางานของวงจรกอนนาไปทาเปนตนแบบ ชวยทาใหประหยดเวลาและงบประมาณในการจดหาวสดมาทดลองเหมอนแตกอน ตวอยางวงจรทจะนามาทดลองแสดงในรปท 1.1

(ก) วงจรแหลงจายไฟตรง

รปท 1.1 ตวอยางวงจรทใชทดลองบนโปรแกรมโปรตอส

EL เทคนคลำปาง

3

Administrator
Typewriter
Administrator
Typewriter
Administrator
Typewriter
โปรแกรม Proteus หรอ Proteus VSM (Virtual System Modeling) เปนโปรแกรมทพฒนาขน โดยบรษท แลบเซนเตอรอเลกทรอนกส จำกด (Labcenter Electronics Ltd.) ทประเทศองกฤษ โปรแกรม Proteus มชอเตมวา Labcenter Electronics Proteus ซงภายในโปรแกรมจะประกอบดวยสวนประกอบหลก 2 สวน คอ ISIS และ ARES โปรแกรม Proteus จะมอยหลายเวอรชนใหเลอกใชงาน ซงเวอรชนในปจจบน คอ เวอรชน 8 และถาตองการศกษาหาขอมลเกยวกบเวอรชนน กสามารถเยยมชมไดทเวบไซต http://www.labcenter.co.uk
Administrator
Typewriter
Administrator
Typewriter
Administrator
Typewriter
Administrator
Typewriter
Administrator
Typewriter
Administrator
Typewriter
Administrator
Typewriter
Administrator
Typewriter
Administrator
Typewriter
Administrator
Typewriter
Page 4: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

(ข) วงจรควบคมความเรวพดลม

(ค) วงจรไมโครคอนโทรลเลอร

รปท 1.1 (ตอ)

EL เทคนคลำปาง

4

Page 5: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

คณลกษณะของเครองคอมพวเตอร โปรแกรมโปรตอสใชไดกบเครองคอมพวเตอร ทมคณลกษณะดงน

1. มหนวยประมวลผลกลางไมตากวา 2.6 GHz 2. มหนวยความจาหลกไมนอยกวา 256 MB

3. ฮารดดสมความจไมนอยกวา 80 GB 4. มเครองอานแผนซดและตวขบฟลอปปดส 3.5 นว 5. จอภาพสขนาด 15 นว 6. มระบบปฏบตการวนโดว 98 / Me / XP

การตดตงโปรแกรมโปรตอส การตดตงโปรแกรมโปรตอสมขนตอนดงน

1. ใสแผนซดทมโปรแกรมโปรตอสลงในเครองอานแผนซด 2. เปดดไฟลทอยในแผนซด จะมชอไฟลตามรปท 1.2

รปท 1.2 ชอไฟลของโปรแกรมโปรตอส 3. เลอนตวชเมาสไปทไฟล Proteus_8 Professional Setup แลวกดปมเมาสดบเบลคลก จะเขาส

โปรแกรมการตดตง Proteus 8 Professional ตามรปท 1.3

EL เทคนคลำปาง

5

Page 6: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 1.3 เขาสการตดตงโปรแกรม Proteus 8 Professional 4. เลอนตวชเมาสไปท Modify แลวกดปม Next 2 ครง รอสกคร เครองจะทาการตดตงโปรแกรม ใหกดเลอก Finish เปนการเสรจสนการตดตงโปรแกรม

5. ตรวจสอบดวามโปรแกรม Proteus หรอไม โดยเขาไปท Start → Program →

Proteus 8 Professional

การใชโปรแกรม

โปรแกรมโปรตอสมโปรแกรมยอย 2 โปรแกรม

คอ ISIS ใชเขยนวงจรและจาลองการทางาน

อกโปรแกรมหนง

คอ ARES ใชออกแบบลายแผนวงจรพมพ

ในการใชโปรแกรมจาเปนตองทราบคาสงทมอยบนแถบคาสง (Menu bar) และแถบเครองมอ (Toolbar) ตอจากนนจะตองทราบขนตอนในการเขยนวงจร

ซงตองเรมจากการเลอก

การวาง

การเคลอนยาย

การโยงสาย

การเปลยนคาอปกรณ

การเลอกใชเครองมอวดและการจาลองการทางาน

หมายเหต การตดตงอาจมการเปลยนแปลงไปในแตละ VERSION

EL เทคนคลำปาง

6

Administrator
Typewriter
set up
Administrator
Typewriter
install licence
Administrator
Rectangle
Page 7: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

การใชโปรแกรม ISIS มขนตอนดงน 1. เลอนตวชเมาสไปท Start จะปรากฏหนาจอตามรปท 1.4 แลวเลอนตวชเมาสไปท

Program และเลอก Proteus 8 Professional ตามรปท 1.5 จะไดหนาตางของโปรแกรม ISIS ตามรปท 1.6

รปท 1.4 เลอนตวชเมาสไปท Start

EL เทคนคลำปาง

7

Administrator
Rectangle
Page 8: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 1.5 การเขาสโปรแกรม ISIS

รปท 1.6 หนาตางของโปรแกรม ISIS

EL เทคนคลำปาง

8

Page 9: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

2. เลอนตวชเมาสไปทรายการคาสงแตละตวแลวคลกเมาสดานซาย จะมรายการคาสงยอยตามรปท 1.7

รปท 1.7 รายการคาสงยอยของแถบคาสง 3. เลอนตวชเมาสไปทไอคอนของแถบเครองมอ รอสกคร จะมคาอธบายคาสงในแตละไอคอน คาสงทแถบเครองมอแบงเปน 4 กลมดงน

3.1 File / Print เปนคาสงเกยวกบแฟมขอมลและการพมพ มคาสงยอยตามรปท 1.8

รปท 1.8 คาสงยอยเกยวกบแฟมขอมลและการพมพ

EL เทคนคลำปาง

9

Page 10: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

3.2 View Commands เปนคาสงเกยวกบการแสดงผล มคาสงยอยตามรปท 1.9

รปท 1.9 คาสงยอยเกยวกบการแสดงผล

3.3 Editing Commands เปนคาสงเกยวกบการแกไข มคาสงยอยตามรปท 1.10

รปท 1.10 คาสงยอยเกยวกบการแกไข

EL เทคนคลำปาง

10

Page 11: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

3.4 Design Tools เปนเครองมอเกยวกบการออกแบบ มคาสงยอยตามรปท 1.11

รปท 1.11 คาสงยอยเกยวกบการออกแบบ 4. ทดลองเขยนวงจรเครองชวยฟง ตามรปท 1.12 ในวงจรนประกอบดวยทรานซสเตอร ตวตานทาน คาปาซเตอร และแบตเตอร การเขยนวงจรใหปฏบตตามขนตอนตอไปน

เลอนตวชเมาสไปทคาสง File → New Design หรอเลอกกดไอคอน 4.1

รปท 1.12 วงจรเครองชวยฟง

EL เทคนคลำปาง

11

Page 12: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

4.2 เลอกอปกรณทใชในวงจร 4.2.1 ตองการเลอกทรานซสเตอร ใหเลอนตวชเมาสไปท Device และชทตว P จะมขอความ Pick Devices ตามรปท 1.13 ใหกดปมเมาสดานซายเพอเขาสหนาตาง Pick Devices จะได ตามรปท 1.14

รปท 1.13 เขาสการเลอกอปกรณ

รปท 1.14 หนาตาง Pick Devices

EL เทคนคลำปาง

12

Page 13: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

ท Libraries ใหเลอนตวชเมาสไปท BIPOLAR แลวกดปมเมาสดานซาย 1 ครง จะมรายการเบอรทรานซสเตอรท Objects เลอกทรานซสเตอรเบอร BC549 จะแสดงผลตามรปท 1.15 แลวดบเบลคลกทเบอรทรานซสเตอรทตองการเลอก จะมเบอรทรานซสเตอรปรากฏในชอง Devices ตามรปท 1.16

รปท 1.15 การเลอกทรานซสเตอรเบอร BC549

รปท 1.16 ทรานซสเตอรทถกเลอกจะอยในชอง Devices

EL เทคนคลำปาง

13

Page 14: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

การเลอกทรานซสเตอรเบอร BC548 และเบอร BC558 กทาไดเชนเดยวกน มขอสงเกตวาขณะทกดปมดบเบลคลกทเบอรทรานซสเตอรจะมสญลกษณปรากฏขนทางดานขวามอ 4.2.2 การเลอกตวตานทานใหเลอนตวชเมาสไปท Libraries Device แลวเลอก Res ท Objects ตามรปท 1.17 ใหดบเบลคลกท RES จะมคาวา RES ปรากฏขนทชอง Devices ตามรปท 1.18

รปท 1.17 การเลอกตวตานทาน

รปท 1.18 แสดงตวตานทาน (RES) ในชอง Devices

EL เทคนคลำปาง

14

Page 15: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

4.2.3 การเลอกคาปาซเตอรแบบมขว ใหเลอนตวชเมาสไปท Objects แลวกดเลอก CAP_ELEC และดบเบลคลกชอ CAP_ELEC จะไปปรากฏทชอง Devices ตามรปท 1.19

รปท 1.19 การเลอกตวคาปาซเตอรแบบมขว 4.2.4 การเลอกแบตเตอรใหกดเลอก BATTERY ซงอยใน Objects 4.2.5 การเลอกสวตชใหกดเลอก Libraries ท Active แลวกดเลอก SWITCH ตามรปท 1.20

รปท 1.20 การเลอกสวตช

EL เทคนคลำปาง

15

Page 16: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

ขอสงเกต : รายการอปกรณทเปน COND MIC และ EAR PHONE ไมตองเลอก จะเปนจดปอนสญญาณเขาและตรวจสญญาณออกตามลาดบ

4.2.6 เมอเลอกอปกรณไดครบตามวงจรแลวใหปดหนาตาง Pick Devices 4.3 การวางอปกรณลงบนพนทใชงาน ใหเรมจากการวางทรานซสเตอร Q1 – Q4 โดยเลอนตวชเมาสไปททรานซสเตอร BC459 แลวกดปมเมาสดานซาย 1 ครง เมอวางทรานซสเตอร Q1 การวางทรานซสเตอร Q2 – Q4 กทาเชนเดยวกน จะไดตามรปท 1.21

รปท 1.21 การวางทรานซสเตอรลงบนพนทใชงาน มขอสงเกตวาทรานซสเตอร Q4 จากวงจรในรปท 1.12 ขาอมตเตอรอยดานบนแตทหนาจออยดานลาง จะตองมการเปลยนตาแหนง โดยเลอนตวชเมาสไปท Q4 แลวกดปมเมาสดานขวา 1 ครง สญลกษณ Q4 จะเปลยนเปนสแดง ใหเลอนตวชเมาสไปทลกศรสแดงดานมมลางซายมอ ใหเลอกลกศรชขน

แลวคลกเมาสดานซาย 1 ครง ขาอมตเตอรจะไปอยดานบน การวางตวตานทาน คาปาซเตอร สวตช และแบตเตอรกทาไดเชนเดยวกนและเมอตองการหมนอปกรณใหใชไอคอนลกศรทมมลางซายมอ เมอวางอปกรณครบแลวจะไดตามรปท 1.22

EL เทคนคลำปาง

16

Page 17: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

รปท 1.22 การวางสญลกษณของวงจรเครองชวยฟง 4.4 การเคลอนยายสญลกษณของอปกรณ เพอใหเหมอนกบวงจรทกาหนดให ทาไดดงน 4.4.1 เลอนตวชเมาสไปทสญลกษณของอปกรณทตองการเคลอนยาย แลวคลกเมาสดานขวา 1 ครง สญลกษณจะเปลยนเปนสแดงแสดงวาสญลกษณตวนนถกเลอก 4.4.2 การเคลอนยายสญลกษณ ใหกดปมเมาสดานซาย 1 ครง แลวเลอนตวชไปทสญลกษณทไดเลอกไวแลว ใหกดปมเมาสดานซายคางไว แลวเลอนตวชเมาสไปไวทตาแหนงทตองการ 4.4.3 ยกเลกการเคลอนยายสญลกษณ เลอนตวชเมาสไปไวทวางแลวกดปมเมาสดานขวา 1 ครง สญลกษณทเคลอนยายจะเปลยนเปนสดา 4.5 การเรยกใชสญลกษณ การตอลงกราวด ใหเลอนตวชเมาสไปทไอคอนดานซายมอ ชทไอคอน (Inter Sheet Terminal) แลวกดเมาสดานซาย 1 ครงเลอก GROUND เลอนตวชเมาสไปทตาแหนงตอลงกราวด กดปมเมาสดานซาย 1 ครง จะมสญลกษณตอลงกราวดปรากฏขน 4.6 การยอขยายสญลกษณของอปกรณ เพอใหมองเหนสญลกษณทงหมด หรอดรายละเอยดของสญลกษณแตละตว ใหเลอกไอคอน เมอตองการลดขนาดหรอเลอกไอคอน เมอตองการขยายใหโตขน

EL เทคนคลำปาง

17

Page 18: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

4.7 การเลอกดสวนของวงจรทมองไมเหน ใหเลอนตวชเมาสไปทกรอบดานบนและเลอนตวชไปยงจดทตองการดใหกดปมเมาสดานซาย 1 ครง บนพนทใชงาน จะแสดงสวนของวงจรทตองการดรายละเอยด 4.8 เมอวางสญลกษณไดเหมอนตนแบบแลว ควรจดเกบแฟมใหชอวา Hearing Aid1 กดเลอก Save ตองจาไวดวยวาจดเกบไวทไดรฟหรอไดเรกทอรใด 4.9 การโยงสายเชอมตอสญลกษณ เพอใหการตอสายทาไดงายควรขยายสญลกษณใหโตขน และควรเรมโยงสายจากดานซายไปขวามอ เรมทขา R1 ตอกบ C1 ใหเลอนตวชไปทขา R1 จะมเครองหมาย X กดปมเมาสดานซายคางไวแลวเลอนตวชไปทขา C1 ปลอยมอทกดปมเมาส สาหรบการโยงสายของอปกรณตวอนกทาไดเชนเดยวกน เมอโยงสายครบทกเสนแลวใหจดเกบไวในชอวา Hearing Aid2 4.10 การเปลยนคาอปกรณใหปฏบตดงน

4.10.1 ควรเรมกาหนดคาจากดานซายไปขวามอ 4.10.2 เมอตองการเปลยนคา R1 เปน 2.2k ใหเลอนตวชไปท R1 แลวกดปมเมาสดานขวา 1 ครง สญลกษณ R1 จะเปนสแดง ตอจากนนใหกดปมเมาสดานซาย 2 ครง เพอเขาสกรอบโตตอบ Edit Component ตามรปท 1.23 เลอนตวชเมาสไปทชองเตมคา Resistance พมพ 2.2k แทน 10k แลวกด OK 4.10.3 เลอนตวชเมาสไปทวางแลวกดปมเมาสดานขวา 4.10.4 การเปลยนคาอปกรณทเหลอ กาหนดไดเชนเดยวกน แลวจดเกบแฟมใหชอวา Hearing Aid3

รปท 1.23 แสดงกรอบโตตอบ Edit Component

EL เทคนคลำปาง

18

Page 19: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

4.11 การเรยกใชเครองมอวด ใหเลอนตวชไปทไอคอนรปเครองมอวดดานซายมอ จะมรายการเครองมอวดแสดงในรปท 1.24

รปท 1.24 แสดงรายการเครองมอวด 4.12 จากตวอยางวงจรเครองชวยฟง เมอตองการจาลองการทางานจะตองปอนสญญาณรปไซนเขาทดานอนพตโดยใชเครองกาเนดสญญาณและใชออสซลโลสโคปตรวจดรปคลนทดานเอาตพต โดยตอเขาทขาคอลเลกเตอรของ Q4 การเรยกใชเครองกาเนดสญญาณและออสซลโลสโคปใหเลอนตวชเมาสไปท SIGNAL GENERATORS แลวกดปมเมาสดานซาย 1 ครง จะมรปเครองกาเนดสญญาณดานบนของรายการ INSTRUMENT เลอนตวชไปทจดใกลกบ C1 กดปมเมาสดานซาย 1 ครง จะมรปเครองกาเนดสญญาณทพนทใชงาน เลอนตวชเมาสไปท OSCILLOSCOPE แลววางออสซลโลสโคปไวดานบนของ Q4 โดยสายเครองกาเนดสญญาณขวบวกเขาทขา C1 ทตอกบ R1 และขวลบตอลงกราวด โยงสายออสซลโล- สโคปชอง A กบขวบวกของเครองกาเนดสญญาณ สวนชอง B ตอกบขาคอลเลกเตอรของ Q4 ไดตามรปท 1.25 แลวจดเกบไฟลชอ Hearing Aid4

EL เทคนคลำปาง

19

Page 20: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 1.25 การตอเครองกาเนดสญญาณและออสซลโลสโคปเขาทวงจร 4.13 การประมวลผลการทางานของวงจร ใหเลอนตวชเมาสไปทเครองหมาย ซงอยดานลางซายมอจะมรปจาลองของเครองกาเนดสญญาณและรปออสซลโลสโคปปรากฏขน ใหเคลอนยายเครองกาเนดสญญาณ ไวทมมดานซาย โดยเลอนตวชเมาสไปทแถบชอเครองกาเนดสญญาณ (VSM Signal Generator) แลวกดปมเมาสดานซายคางไว ใหเลอนตวชไปทมมดานซายเพอยายเครองกาเนดสญญาณ สวนการยายออสซลโลสโคปทาไดเชนเดยวกน เมอวางตาแหนงเครองมอวดเสรจแลวจะไดตามรปท 1.26

EL เทคนคลำปาง

20

Page 21: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 1 แนะนาโปรแกรมโปรตอส

รปท 1.26 การวางตาแหนงเครองมอวด 4.14 การปรบปมทออสซลโลสโคป ตงปมดรปสญญาณไวท Dual (ด 2 เสนภาพ) ปมนอยทางดานขวาของออสซลโลสโคป ใหเลอนตวชเมาสไปทปมนนแลวกดปมเมาสดานซาย 1 ครง จะมเสนภาพ 2 เสนปรากฏทจอภาพ ตอจากนนจงปรบ CH1 และ CH2 ไวท AC และปรบปม Y Pos 1 โดยกดปมเมาสดานซายคางไว ใชตวชหมนปมใหเสนสญญาณอยดานลาง สวนปม Y Pos 2 ปรบใหเสนสญญาณอยดานบน 4.15 การตงคาทเครองกาเนดสญญาณ ในการจาลองการทางานของวงจรเครองชวยฟง จะใชสญญาณรปไซน โดยกดปม waveform ใหหลอดไฟตดสวางหนาสญญาณรปไซน แลวตงคาปม Center ไวตรงกลาง ปม Range เลอกความถไวท 1 KHz ปม Level ตงไวท 1 และปม Amplitude ไวท 1 mV ถาสญญาณทปอนเขาดานอนพตมสญญาณแรงไป ใหใชตวตานทานปรบคาไดตอเขาทอนพตเพอปรบระดบความแรงของสญญาณใหเหมาะสม 4.16 การดรปสญญาณทออสซลโลสโคป ใหปรบปม Y – Gain1 และปม Y – Gain2 เพอปรบความสงของสญญาณ (Amplitude) สวนปม Timebase ใชปรบความถ

EL เทคนคลำปาง

21

Page 22: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

4.17 การตรวจสอบการทางานของวงจรเครองชวยฟง ใหสงเกตดรปคลนของสญญาณดานอนพต (เสนลาง) เปรยบเทยบกบสญญาณดานเอาตพต(เสนบน) ถาวงจรทางานไดถกตองจะไดรปคลนตามรปท 1.27

รปท 1.27 รปคลนสญญาณของวงจรเครองชวยฟง

สรป โปรแกรมโปรตอสเปนโปรแกรมทใชจาลองการทางานของวงจรอเลกทรอนกส ทางดานแอนะลอก ดจตอล และไมโครคอนโทรลเลอร นอกจากนยงใชในการออกแบบลายแผนวงจรพมพ การเรมตนใชโปรแกรมตองมการตดตงโปรแกรมและตองเขาใจขนตอนในการใชโปรแกรม ซงมขนตอนในการจาลองการทางานของวงจรดงน การเรยกใชอปกรณ การวาง การเคลอนยาย การโยงสายเชอมตอ การเปลยนคาอปกรณ การเรยกใชเครองมอวดและการประมวลผลการทางานของวงจร

EL เทคนคลำปาง

22

Page 23: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 2 วงจรแอนะลอก 1

บทนา วงจรแอนะลอกเปนวงจรอเลกทรอนกสททางานกบสญญาณทมหลายระดบ มลกษณะตอเนอง (สญญาณแอนะลอก) วงจรแอนะลอกประกอบดวยอปกรณประเภทเฉอยงาน เชน ตวตานทาน คาปาซเตอร หรอขดลวดเหนยวนา กบอปกรณประเภทไวงาน เชน ไดโอด ทรานซสเตอร หรอไอซ ในบทเรยนนจะกลาวถงการทดลอง วงจรไดโอด วงจรไบโพลารทรานซสเตอร และวงจรเฟต โดยทาการทดลองบนโปรแกรมโปรตอส

วงจรไดโอด ไดโอดเปนอปกรณสารกงตวนาทยอมใหกระแสไฟฟาไหลผานไดทศทางเดยว จงนามาใชเปนวงจรเรยงแรงดนไฟฟากระแสสลบใหเปนไฟฟากระแสตรง มการตอเปนวงจรไดดงน

1. วงจรเรยงแรงดนแบบครงคลน (Half Wave Rectifier) เปนวงจรเรยงแรงดนไฟฟากระแส สลบใหเปนไฟฟากระแสตรงแบบไมราบเรยบ มวงจรตามรปท 2.1

(ก) วงจร (ข) รปคลนทอนพต/เอาตพต

รปท 2.1 วงจรเรยงแรงดนแบบครงคลน

EL เทคนคลำปาง

23

Page 24: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

ภาคปฏบต ใหวาดวงจรตามรปท 2.1 ลงบนโปรแกรมโปรตอสใชไดโอดเบอร 1N4001 ตวตานทาน 1 kΩ

แลวประมวลผลการทางานของวงจรโดยปอนคาตามตารางท 2.1 ใชออสซลโลสโคปตรวจดรปคลนและวาดรปคลน ลงในตารางท 2.1 outV

ตารางท 2.1 คาทใชทดลองวงจรในรปท 2.1

inV (V) (peak) outV รปคลน outV

3 6 9 12

จากตารางท 2.1 สรปความสมพนธของ กบ ไดดงน inV outV

outV =

2. วงจรเรยงแรงดนแบบเตมคลน (Full Wave Rectifier) จากวงจรเรยงแรงดนในหวขอท 1 จะเหนไดวา ไดโอดยอมใหกระแสไฟฟาไหลผานไดเฉพาะชวงทรปคลนสญญาณมคาเปนบวก ทาใหไดไฟฟากระแสตรงทไมราบเรยบ จงมการตอไดโอดเพมเปน 2 ตว หรอ 4 ตว มวงจรตามรปท 2.2

(ก) ใชไดโอด 2 ตว

รปท 2.2 วงจรเรยงแรงดนแบบเตมคลน

EL เทคนคลำปาง

24

Page 25: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 2 วงจรแอนะลอก 1

(ข) ใชไดโอด 4 ตว

รปท 2.2 (ตอ)

ภาคปฏบต ใหวาดวงจรตามรปท 2.2(ก) ไดโอด D1 และ D2 ใชเบอร 1N4001 ตวตานทาน RL ใชคา 1 kΩ

แลวประมวลผลการทางาน โดยปอนแรงดนไฟฟากระแสสลบตามคาในตารางท 2.2 ใชออสซลโลสโคปตรวจดรปคลนและวดคาแรงดน ตารางท 2.2 คาแรงดนทปอนเขาวงจรในรปท 2.2(ก)

inV (peak) outV รปคลน outV

6 V 12 V 18 V 24 V

จากคาทวดไดในตารางท 2.2 เขยนเปนสตรสรปความสมพนธของ กบ V inV out

outV =

EL เทคนคลำปาง

25

Page 26: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

ใหวาดวงจรตามรปท 2.2(ข) ไดโอด D1 – D4 ใชเบอร 1N4001 หรอ ไดโอดบรดจ ตวตานทานใชคา 1 kΩ แลวประมวลผลการทางานโดยปอนแรงดนไฟฟากระแสสลบตามคาในตารางท 2.3 ใหใชออสซลโลสโคปตรวจดรปคลนและวดคาแรงดนทเอาตพต ตารางท 2.3 คาแรงดนทปอนเขาวงจรในรปท 2.2(ข)

inV (peak) outV รปคลน outV

6 V 12 V 18 V 24 V

จากคาทวดไดในตารางท 2.2(ข) จงเขยนเปนสตรสรปความสมพนธของ กบ inV outV

outV =

วงจรแหลงจายไฟฟากระแสตรง วงจรแหลงจายไฟฟากระแสตรงเปนหวใจของระบบอเลกทรอนกส ทกระบบตองมแหลงจาย ไฟตรงทปอนกระแสไฟฟาใหกบวงจรทางาน แหลงจายไฟกระแสตรงประกอบดวย วงจรเรยงแรงดน วงจรกรองกระแสและแรงดน และวงจรคงคาแรงดนแสดงเปนแผนภาพบลอกไดตามรปท 2.3

ไฟฟากระแสสลบ

วงจรเรยงแรงดนวงจรกรองกระแสและแรงดน

วงจรคงคาแรงดน โหลด

รปท 2.3 แผนภาพบลอกแหลงจายไฟฟากระแสตรง

วงจรกรองกระแสและแรงดน จากวงจรเรยงแรงดนตามทกลาวมาแลว จะเหนไดวา รปคลนทไดไมราบเรยบ เหมอนกบแรงดนไฟฟาทไดจากแบตเตอร จงตองมวงจรกรองแรงดน โดยใชตวคาปาซเตอรตอขนานกบโหลดตามรปท 2.4

EL เทคนคลำปาง

26

Page 27: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 2 วงจรแอนะลอก 1

รปท 2.4 การตอคาปาซเตอรกรองแรงดน

ภาคปฏบต ใหวาดวงจรตามรปท 2.4 กาหนดให เบอร 1N4001 หรอบรดจไดโอด

สวนคาปาซเตอรมคาตามตารางท 2.4 เปลยนคา C แลวใชออสซลโลสโคปตรวจดรปคลนท

เอาตพตและวาดรปไวในตารางท 2.4

V12=inV 41 DD −

Ω k1R L =

ตารางท 2.4 คาคาปาซเตอรในวงจรแหลงจายไฟฟากระแสตรง

C (μF) รปคลนท outV

10 100 1000 2200

จากรปคลนท จะเหนไดวาคาปาซเตอรมคาเปนอยางไร จงจะไดแรงดนไฟตรงทราบเรยบ

เหมอนกบแรงดนไฟฟาทไดจากแบตเตอร outV

วงจรคงคาแรงดน แรงดนไฟฟาทไดจากวงจรกรองกระแสและแรงดนจะมคาคงทเมอยงไมตอกบโหลด แตเมอตอโหลดแรงดนจะลดลง หรอเมอแรงดนอนพตเปลยนไปจะทาใหแรงดนทเอาตพตเปลยนไปดวย ทาใหโหลดทางานไมเตมประสทธภาพ จงจาเปนตองมวงจรคงคาแรงดน เพอใหไดแรงดนทเอาตพตคงท ถงแมวา

EL เทคนคลำปาง

27

Page 28: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 2.5 วงจรแหลงจายไฟตรง 5 โวลต

ภาคปฏบต ใหวาดวงจรตามรปท 2.5 ลงในโปรแกรมโปรตอส แลวจาลองการทางานโดยปอนแรงดนทอนพตและวดแรงดนทเอาตพต ตามตารางท 2.5 ตารางท 2.5 คาแรงดนทอนพตทปอนเขาวงจรตามรปท 2.5

แรงดนอนพต (V) แรงดนเอาตพต (V) 6 7 8 9 10

จากแรงดนเอาตพตทบนทกลงในตารางท 2.5 สรปไดวา ...........................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................

EL เทคนคลำปาง

28

Page 29: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 2 วงจรแอนะลอก 1

การดดแปลงวงจรคงคาแรงดนทใชไอซ LM317 ใหสามารถคงคาแรงดนทปรบคาไดมวงจรตามรปท 2.6 ปรบคาได 0V – 30 V โดยตอตวตานทานแบบปรบคาได มคา 5 kΩ เขาทขา Common ของไอซ

รปท 2.6 วงจรแหลงจายไฟตรงทปรบคาได

ภาคปฏบต

ใหวาดวงจรตามรปท 2.6 โดยใชโปรแกรมโปรตอส แลวจาลองการทางานของวงจร โดยปอนแรงดนไฟฟากระแสสลบ 30 V เขาทอนพต แลวปรบคาตวตานทาน 5 kΩ และวดคาแรงดนทเอาตพต

วงจรไบโพลารทรานซสเตอร การนาไบโพลารทรานซสเตอรไปตอใชงาน ตองเรมตนจากการวดแรงดนไฟตรง (bias) ใหทรานซสเตอรอยางเหมาะสมจงจะทาใหทรานซสเตอรทางานได ในบทเรยนนจะไดเรยนรการทางานของวงจรทใชไบโพลารทรานซสเตอรเปนสวตช เปนวงจรขยายแบบเบสรวม อมตเตอรรวม คอลเลกเตอรรวม วงจรขยายขนตน และวงจรขยายกาลง

วงจรทรานซสเตอรสวตช จากวงจรในรปท 2.7 เปนวงจรทใชทรานซสเตอรเปนสวตช มทรานซสเตอร Q1 และ Q2 ทขาเบสของทรานซสเตอร Q1 มตวตานทาน R1 ตอกบขาเบสและตอลงกราวด สวนขาเบส Q2 ตอกบตวตานทาน R2 และตอกบไฟบวก 6 V ใหสงเกตผลจากการทดลองวาทรานซสเตอรตวใดทาหนาทตอวงจร (on) หรอตดวงจร (off)

EL เทคนคลำปาง

29

Page 30: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 2.7 วงจรทรานซสเตอรสวตช

ภาคปฏบต

ใหตอวงจรตามรปท 2.7 แลวจาลองการทางานของวงจรและสงเกตผลทหลอดไฟ D1 และ D2 และวดคาแรงดนททรานซสเตอร Q2 ตามตารางท 2.6 โดยใชโวลตมเตอรวดแรงดนไฟตรง ตารางท 2.6 วดแรงดนททรานซสเตอร Q2

วดแรงดนท คาทวดได (V) CB BE CE

สรป ความสมพนธของแรงดนทขาทรานซสเตอร Q2

CEV =

การตอวงจรทรานซสเตอรสวตช จะตองปรบคากระแสทไหลเขาขาเบสใหเหมาะสมจงจะทาใหมกระแสไหลจากขาคอลเลกเตอรไปยงขาอมตเตอรได ใหทดลองวงจรตามรปท 2.8

EL เทคนคลำปาง

30

Page 31: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 2 วงจรแอนะลอก 1

รปท 2.8 วงจรสวตชทมการปรบกระแสทขาเบส

ภาคปฏบต ใหตอวงจรตามรปท 2.8 แลวจาลองการทางานของวงจร ทดลองปรบ RV1 แลวสงเกตผลท D1 และสรปผลไดวา ........................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... จากวงจรทรานซสเตอรสวตชในรปท 2.6 เมอเพมตวคาปาซเตอรเขาไปตามรปท 2.9 จะทาใหไดเปนวงจรตงเวลาปดหลอดไฟฟาโดยอตโนมต

EL เทคนคลำปาง

31

Page 32: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 2.9 วงจรปดหลอดไฟโดยอตโนมต

ภาคปฏบต ใหตอวงจรตามรปท 2.9 แลวจาลองการทางาน สงเกตผลทหลอดไฟ D1 แลวทดลองเปลยนคา C1 เปน 100 μF และ 10,000 μF สรปผลไดดงน ........................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... จากวงจรในรปท 2.9 ถาสลบท C1 กบ R1 และยายสวตช S1 ไปไวทดานลาง เมอจาลองการทางานของวงจรจะไดผลดงน ........................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... การตอวงจรทรานซสเตอรใหทาหนาทขยายสญญาณไฟกระแสสลบ สามารถตอเปนวงจรได 3 แบบ คอ วงจรแบบอมตเตอรรวม วงจรคอลเลกเตอรรวมและวงจรเบสรวม

EL เทคนคลำปาง

32

Page 33: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 2 วงจรแอนะลอก 1

วงจรอมตเตอรรวม จากวงจรในรปท 2.10 เปนวงจรอมตเตอรรวมมสญญาณอนพตปอนเขาทขาเบส และสงสญญาณออกทขาคอลเลกเตอร สวนขาอมตเตอรเปนขาทตอรวมกบอนพตและเอาตพต โดยตอกบ ตวตานทาน R6

รปท 2.10 วงจรอมตเตอรรวม

ภาคปฏบต

1. ใหตอวงจรตามรปท 2.10 แลวจาลองการทางานของวงจร

โดยใชโวลตมเตอรวดแรงดน

ไฟตรงทขา BC BE และ CE แลวหาความสมพนธของแรงดนทวดได สรปเปนสตรได

CEV =

2. ใหปอนสญญาณรปไซน 1 kHz เขาทอนพตแลวใชออสซลโลสโคปตรวจดรปคลนท

เอาตพตไดอตราการขยายแรงดนเทากบ

ivov=

EL เทคนคลำปาง

33

Page 34: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

วงจรเฟต

ไบโพลารทรานซสเตอรเปนอปกรณททางานโดยใชการควบคมกระแสโดยใชกระแสทขาเบสไปควบคมกระแสทไหลผานขาคอลเลกเตอร

สวนเฟตเปนทรานซสเตอรททางานโดยการควบคมแรงดนใชการปอนแรงดนเขาทขาเกตเพอควบคมกระแสทไหลผานอปกรณ

เมอเปรยบเทยบกบไบโพลารทรานซส- เตอรกบเฟตจะพบวาเฟตมความตานทานดานอนพตสงมาก

เฟตจาแนกไดเปน 2 ชนด

คอ

เจเฟต (Junction Filed Effect Transistor : JFET) และ

มอสเฟต (Metal – Oxide Semiconductor FET : MOSFET) มสญลกษณตามรปท 2.17 – รปท 2.19

เดรน(D)

ซอรส(S)

เกต(G)

เดรน(D)

ซอรส(S)

เกต(G)

(ก) เอนแชนเนล (ข) พแชนเนล

รปท 2.17 สญลกษณเจเฟต

EL เทคนคลำปาง

34

Page 35: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 2 วงจรแอนะลอก 1

ซอรส(S)

เกต(G)

เดรน(D)

ซอรส(S)

เกต(G)

เดรน(D)

(ก) เอนแชนเนล (ข) พแชนเนล

รปท 2.18 สญลกษณด - มอสเฟต

ซอรส(S)

เกต(G)

เดรน(D)

ซอรส(S)

เดรน(D)

(ก) เอนแชนเนล (ข) พแชนเนล

รปท 2.19 สญลกษณอ - มอสเฟต

การนาเฟตไปตอเปนวงจรขยายสญญาณ จดเปนวงจรได 3 แบบ คอ

วงจรขยายแบบซอรสรวม วงจรขยายแบบซอรสรวม มวงจรตามรปท 2.20 โดยปอนสญญาณเขาทขาเกตโดยผาน C1 โดยม RG ตอกบขาเกตและตอลงกราวด เพอทาใหขาเกตมคาแรงดนประมาณ 0 V (เพราะ IGSS มคานอย) RG ตองมคาเปนเมกกะโอหม เพอไมใหเกดการโหลดทแหลงจายสญญาณไฟฟากระแสสลบ การวดแรงดนไบแอส

EL เทคนคลำปาง

35

Page 36: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

(Q point) ทาใหกระแสทขาเดรนสายไปมาดวย

เมอกระแสทขาเดรนเพมขน

แรงดนทตกครอม RD

กจะเพ มขนดวยทาใหแรงดนทขาเดรนกบกราวดลดลง

รปท 2.20 วงจรขยายแบบซอรสรวม

ภาคปฏบต

ใหตอวงจรตามรปท 2.20 เพอจาลองการทางานของวงจร

โดยทาตามขนตอนดงน

1.

ตอวงจรโดยใชโปรแกรมโปรตอส

2.

จาลองการทางานของวงจร

โดยไมตอสญญาณอนพต

แลวตอโวลตมเตอรวดแรงดน

ไฟตรงทขา GD GS และ DS 3.

ตอสญญาณรปไซนจากเครองกาเนดสญญาณเขาทอนพตโดยต งความถ ไวท 1 KHz และแอมปลจด 100 mVrms

4.

ตอออสซลโลสโคป

วดรปคล นสญญาณทอนพตและเอาตพต

5.

จาลองการทางานของวงจรแลววาดรปคล นทอนพตและเอาตพต

..........................................................................................................................................................................

.................................................................................................................................................................................................................................................................................................................................

งเกตปผลการสสรพตเอาตงเกตผลทวสญญาณแลดสาเนองกญญาณจากเครดของสจบแอมปลปร6.

EL เทคนคลำปาง

36

Page 37: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 3 วงจรแอนะลอก 2

บทนา วงจรแอนะลอก 1 ในบทท 2 เปนการจาลองการทางานของวงจรไดโอด ทรานซสเตอรไบโพลาร และทรานซสเตอรเฟต ในบทท 3 จะเปนการจาลองการทางานของวงจรทจดอยในรปของวงจรรวม มออปแอมปและไอซทเกยวกบฐานเวลา (ไอซ 555) ออปแอมปจะนาไปตอเปนวงจรบฟเฟอร วงจรขยายอนเวอรตง วงจรขยายนอนอนเวอรตง วงจรอนทรเกต วงจรดฟเฟอเรนเชยล วงจรขยายผลตาง วงจรกรองความถไวงาน สวนไอซ 555 จะกลาวถง ภาพรวม วงจรทดสอบไอซ วงจรมลตไวเบรเตอรแบบโมโนสเตเบลและอะสเตเบล การประยกตใชงานไอซ 555

ออปแอมป ออปแอมปเปนวงจรขยายผลตางทมอตราการขยายสงมาก มอนพตอมพแดนซสงมากและมเอาตพตอมพแดนซตา ออปแอมปมอนพต 2 ขา คอ ขาอนเวอรตง (-) และขานอนอนเวอรตง (+) ดานเอาตพตม 1 ขา ปกตจะใชกบแหลงจายไฟคทมคาแรงดน ± 5 V ถง ± 15 V มลกษณะภายนอกและสญลกษณตามรปท 3.1 (ก) ลกษณะภายนอก (ข) สญลกษณ

รปท 3.1 ออปแอมป

EL เทคนคลำปาง

37

Page 38: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

การนาออปแอมปไปตอใชงาน จะนาไปใชเปนวงจรขยายสญญาณ วงจรกรองความถ และวงจรเปรยบเทยบ มรายละเอยดดงน

วงจรบฟเฟอร วงจรบฟเฟอรเปนวงจรทมอตราขยายเทากบ 1 มวงจรตามรปท 3.2

รปท 3.2 วงจรบฟเฟอร

วงจรขยายอนเวอรตง

วงจรขยายอนเวอรตงเปนวงจรขยายทมสญญาณปอนเขาทขาอนเวอรตงโดยผานตวตานทาน R1 10 kΩ มวงจรตามรปท 3.3

รปท 3.3 วงจรขยายอนเวอรตง

EL เทคนคลำปาง

38

Page 39: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 3 วงจรแอนะลอก 2

วงจรขยายนอนอนเวอรตง วงจรขยายนอนอนเวอรตงเปนวงจรออปแอมปทตอสญญาณอนพตเขาทขานอนอนเวอรตง มวงจรตามรปท 3.4

รปท 3.4 วงจรขยายนอนอนเวอรตง

ภาคปฏบต ใหจาลองการทางานของวงจร ในรปท 3.2 – รปท 3.4 โดยทาตามขนตอนตอไปน

1. ตอวงจรตามรปท 3.2 2. ตอเครองกาเนดสญญาณเขาทอนพตและตอออสซลโลสโคปเขาทเอาตพต 3. ปอนสญญาณรปไซน ความถ 1 KHz เขาทอนพต 4. สงเกตรปดคลนทเอาตพต แลววาดรปไวดานลาง

อนพต

เอาตพต

5. ใหจาลองการทางานของวงจรในรปท 3.3 และรปท 3.4 โดยทาตามขนตอนเชนเดยวกบ

ขอ 1 ถงขอ 4 แลววาดรปไวดานลาง

EL เทคนคลำปาง

39

Page 40: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

อนพต

เอาตพต

อนพต

เอาตพต

รปคลนของวงจรในรปท 3.3 รปคลนของวงจรในรปท 3.4

สรปผลการสงเกต

.........................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................

วงจรอนทรเกรต (Integrator) วงจรอนทรเกรตเปนวงจรทเปลยนสญญาณรปสเหลยม ใหเปนสญญาณรปสามเหลยม มวงจรตามรปท 3.5

รปท 3.5 วงจรอนทรเกรต

EL เทคนคลำปาง

40

Page 41: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 3 วงจรแอนะลอก 2

วงจรดฟเฟอเรนเชยล (Differentiator) วงจรดฟเฟอเรนเชยล เปนวงจรทเปลยนสญญาณรปสามเหลยมใหเปนสญญาณรปไซน มวงจรตามรปท 3.6

รปท 3.6 วงจรดฟเฟอเรนเชยล

วงจรขยายผลตาง (Difference Amplifier) วงจรขยายผลตาง ใชเปรยบเทยบแรงดนทปอนเขาขาอนพตแลวแสดงผลทเอาตพต มวงจรตามรปท 3.7

รปท 3.7 วงจรขยายผลตาง

EL เทคนคลำปาง

41

Page 42: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

ภาคปฏบต ใหตอวงจรตามรปท 3.5 – รปท 3.7 แลวจาลองการทางานและวาดรปคลนสญญาณทเอาตพต

อนพต

เอาตพต

อนพต

เอาตพต

รปคลนของวงจรในรปท 3.5 รปคลนของวงจรในรปท 3.6

สรปผลการสงเกต

...............................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................

วงจรกรองความถไวงาน (Active Filters)

วงจรกรองความถเปนวงจรกรองสญญาณอนพตใหไดความถตามตองการ แลวสงออกทเอาตพตและสามารถปรบแอมปลจดของสญญาณทผานออกมาได วงจรกรองความถไวงานแบงเปน วงจรกรองความถตา วงจรกรองความถสง และวงจรกรองแถบความถ มรายละเอยดดงน

วงจรกรองความถตาไวงาน (Active Lowpass Filters) วงจรกรองความถตาไวงาน เปนวงจรทยอมใหความถไมเกน 1 KHz ผานออกทเอาตพต ความถทผานไปไดจะมแอมปลจดสงกวาสญญาณทปอนเขาทอนพต มวงจรตามรปท 3.8

EL เทคนคลำปาง

42

Page 43: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 3 วงจรแอนะลอก 2

รปท 3.8 วงจรกรองความถตาแบบไวงาน

ภาคปฏบต

ใหผเรยนปฏบตตามขนตอนตอไปน 1. ตอวงจรตามรปท 3.8 2. ตอเครองกาเนดสญญาณและออสซลโลสโคปเขาทอนพตและเอาตพตตามลาดบ 3. จาลองการทางานของวงจร โดยปอนสญญาณอนพตเปนสญญาณรปไซน ความถ 1 KHz

แลวสงเกตดรปคลนทออสซลโลสโคปและวาดรปไวในรปท 3.9

รปท 3.9 รปคลนไซนความถ 1 KHz ผานวงจรกรองความถตา

EL เทคนคลำปาง

43

Page 44: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

4. เปลยนความถทปอนเขาเปน 100 Hz แลวสงเกตดผลทออสซลโลสโคปและวาดรปคลน ลงในรปท 3.10

รปท 3.10 รปคลนไซนความถ 100 Hz ทผานวงจรกรองความถตา

5. เปลยนคา C1 เปน 1 μF แลวจาลองการทางานตามขอ 3 และขอ 4 และวาดรปลงใน รปท 3.11

(ก) รปคลนไซน 1 KHz

(ข) รปคลนไซน 100 Hz

รปท 3.11 รปคลนทผานวงจรกรองความถตา เมอ C1 = 1 μF

EL เทคนคลำปาง

44

Page 45: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 3 วงจรแอนะลอก 2

สรปผลการสงเกต ...............................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................

วงจรกรองความถสงไวงาน (Active Highpass Filters) วงจรกรองความถสงไวงานเปนวงจรทยอมใหความถทสงกวา 1 KHz ผานไดด มวงจรตาม รปท 3.12

รปท 3.12 วงจรกรองความถสงไวงาน ภาคปฏบต ใหผเรยนปฏบตตามขนตอนตอไปน

1. ตอวงจรตามรปท 3.12 2. ตอเครองกาเนดสญญาณและออสซลโลสโคปเขาทอนพตและเอาตพตตามลาดบ 3. จาลองการทางานของวงจร โดยปอนสญญาณรปไซน ความถ 1 KHz เขาทอนพต 4. สงเกตดผลทออสซลโลสโคป แลววาดรปคลนลงในรปท 3.13

EL เทคนคลำปาง

45

Page 46: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 3.13 รปคลนไซนความถ 1 KHz ทผานวงจรกรองความถสง 5. เปลยนความถทปอนเขาวงจรกรองความถสง เปน 100 Hz และ 10 KHz แลวสงเกตดผลท

ออสซลโลสโคปและวาดรปคลนลงในรปท 3.14

(ก) ความถ 100 Hz

(ข) ความถ 10 KHz

รปท 3.14 รปคลนไซน 100 Hz และ 10 KHz ทผานวงจรกรองความถสง

6. เปลยนคา C1 เปน 4.7 μF แลวจาลองการทางานตามขอท 3 – 5 และวาดรปคลนลงใน

รปท 3.15

EL เทคนคลำปาง

46

Page 47: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 3 วงจรแอนะลอก 2

(ก) ความถ 1 KHz

(ข) ความถ 10 KHz

(ค) ความถ 100 Hz

รปท 3.15 รปคลนไซนทผานวงจรกรองความถสงเมอ C = 4.7 μF

สรปผลการสงเกต .................................................................................................................................................................................................................................................................................................................................

EL เทคนคลำปาง

47

Page 48: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

ไอซ 555 ภาพรวมของไอซ 555 ไอซ 555 เปนไอซแอนะลอกทม 8 ขา ใชตอเปนวงจรมลตไวเบรเตอรแบบโมโนสเตเบลหรออะสเตเบล มรปรางภายนอก ตาแหนงขา และสญลกษณตามรปท 3.19

(ก) ลกษณะภายนอก (ข) ตาแหนงขา (ค) สญลกษณ

รปท 3.19 ตาแหนงขาไอซ 555

บรษทผผลตไอซ 555 มหลายบรษทและไดกาหนดเบอรตามรายละเอยดในตารางท 3.1 ตารางท 3.1 เบอรไอซ 555 ของแตละบรษท

บรษทผผลต เบอร ECG Phillips Exar Fairchild Harris Intersil Lithic System Maxim Motorola National NTE Sylvania Raytheon RCA

ECG 955M XR-555 NE-555 HA-555 SE555/NE555 LC555 ICM7555 MC1455/MC1555 LM14555/LM555C NTE9555M RM555/RC555 CA555/CA555C

EL เทคนคลำปาง

48

Page 49: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 3 วงจรแอนะลอก 2

รปท 3.21 วงจรทดสอบไอซ 555

ภาคปฏบต

ใหปฏบตตามขนตอนตอไปน 1. ใหตอวงจรตามรปท 3.21 2. เปลยนคาอปกรณใหตรงตามวงจรในรปท 3.21 3. จาลองการทางานของวงจรและสงเกตดผลการทางาน บนทกผลการสงเกต

...............................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................

EL เทคนคลำปาง

49

Page 50: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 3.22 โมโนสเตเบลมลตไวเบรเตอร

3.22 ปทวงจรตามรมอภายนอกทต C และ R าบคนกตขพเอาตทลซางของพความกว 2) ขาTrigger (ขานทญญาณมากระตสอมเมลก 1 ลซดพาเนกใชนวงจรทเปไวเบรเตอรลตลมโมโนสเตเบ

ไวเบรเตอรลตลมโมโนสเตเบ

ไวเบรเตอรลตลมวงจรอะสเตเบ 3.24 ปทร

3.24 ปทวงจรตามรมอภายนอกามาตทนRC าบคนกขลซของพาความถคองอเนความตทมลซดพาเนนวงจรกเปไวเบรเตอรลตลมอะสเตเบ

ไวเบรเตอรลตลมอะสเตเบEL เทคนคลำปาง

50

Page 51: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

การประยกตใชงานไอซ 555

ไอซ 555 เปนไอซทเกยวกบฐานเวลา

สามารถนาไปประยกตใชกบวงจรทเกยวกบการกาเนดพลซได

ในหวขอนจะยกตวอยางการประยกตใชงานไอซ 555 โดยนาไปตอเปนวงจรหนวงเวลาปด/เปดหลอดเรองแสง

การแปลงแรงดนไฟตรงใหเปนไฟฟากระแสสลบและวงจรควบคมความเรวมอเตอรไฟตรง

วงจรหนวงเวลาการปดหลอดเรองแสง

ตองการออกแบบวงจรปดหลอดเรองแสงโดยอตโนมต

เมอกดสวตชใหหลอดเรองแสงตดสวางแลวประมาณ 3 นาท

หลอดเรองแสงจะดบ

เขยนเปนแผนภาพบลอกไดตามรปท 3.26

220VACวงจรแปลงACเปนDC วงจรหนวงเวลา วงจรเชอมตอ

วงจรหลอดเรองแสง

รปท 3.26 แผนภาพบลอกวงจรหนวงเวลาในการปดหลอดเรองแสง

จากแผนภาพบลอกในรปท 3.26 วงจรหนวงเวลาการปดหลอดเรองแสงประกอบดวย

วงจรแปลงไฟฟากระแสสลบ 220 V ใหเปนไฟฟากระแสตรง 12 V โดยไมใชหมอแปลง

วงจรกาเนดพลซเดยวเปนวงจรกาเนดพลซออกมา 1 ลก

เมอมการกดสวตชโดยใหมชวงการหนวง 3 นาท

และวงจรเชอมตอไฟฟากระแสสลบทจะจายใหกบหลอดเรองแสง

เมอเขยนเปนวงจรไดตามรปท 3.27

EL เทคนคลำปาง

51

Page 52: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 3 วงจรแอนะลอก 2

รปท 3.27 วงจรหนวงเวลาการปดหลอดเรองแสง

ภาคปฏบต

ใหปฏบตตามขนตอนตอไปน 1. ตอวงจรตามรปท 3.27 2. เปลยนคาอปกรณใหตรงกบวงจรในรปท 3.27 3. จาลองการทางานของวงจร โดยการกดสวตช ใหจบเวลาทไดโอดเปลงแสงตดสวางแลวดบ

ไดเวลาใกลเคยง 3 นาทหรอไม ถาไมไดใหเปลยนคา R หรอ C ทตอกบขา 6 4. บนทกผลการสงเกต บนทกผลการสงเกต

..................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... ....................................................................................................................................................................................................................................................................................................................................................

EL เทคนคลำปาง

52

Page 53: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 4 วงจรดจตอล 1

บทนา โปรแกรมโปรตอส สามารถนาไปใชจาลองการทางานของวงจรดจตอลไดทงในระดบเกตพนฐาน วงจร และระบบดจตอล ในบทเรยนนจะเปนการใชโปรแกรมโปรตอสทดสอบการทางานของเกต การจาลองการทางานของวงจรเชงจดหมม วงจรเขารหส วงจรถอดรหส วงจรมลตเพลกเซอร วงจร ดมลตเพลกเซอร วงจรเปรยบเทยบ วงจรบวกเลขฐานสอง และวงจรกาเนดสญญาณ ตรวจสอบขอผดพลาด และในหวขอสดทายเปนการทดสอบวงจรประยกตใชงานม วงจรเขารหส/ถอดรหส ระบบเตอนผบกรกบาน และวงจรเปรยบเทยบควบคมเครองถายเอกสาร

การทดสอบเกตพนฐาน เกต เปนสวตชสารกงตวนาทเปนอปกรณพนฐานทางดจตอล เกตแตละตวจะมคณลกษณะเฉพาะ ในการออกแบบวงจรดจตอล จาเปนตองทราบคณลกษณะเฉพาะของเกตพนฐานซงมสญลกษณตามรปท 4.1

รปท 4.1 สญลกษณและเบอรของเกตพนฐาน

EL เทคนคลำปาง

53

Page 54: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

ภาคปฏบต ใหหาคณลกษณะของเกตพนฐาน โดยปฏบตตามขนตอนดงน

1. ตอวงจรตามรปท 4.2 2. จาลองการทางานของเกต โดยการเปลยนคาอนพตของเกตแตละตว แลวสงเกตผลทเอาตพต 3. เขยนผลการสงเกตลงในแบบบนทกผลการสงเกต

รปท 4.2 วงจรการทดสอบเกต

บนทกผลการสงเกต นอตเกต (7404) แนนดเกต (7400)

อนพต เอาตพต (X)

อนพต เอาตพต (A) (B) (A) (X) 0 1

0 0

0 1

1 1

0 1

EL เทคนคลำปาง

54

Page 55: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 4 วงจรดจตอล1

แอนดเกต (7408) นอรเกต (7402)

อนพต เอาตพต (X)

อนพต เอาตพต (B) (A) (B) (A) (X)

0 0 1 1

0 1 0 1

0 0 1 1

0 1 0 1

ออรเกต เอกคลซฟออรเกต (7486)

อนพต เอาตพต (X)

อนพต เอาตพต (B) (A) (B) (A) (X)

0 0 1 1

0 1 0 1

0 0 1 1

0 1 0 1

วงจรลอจกเชงจดหม วงจรลอจกเชงจดหมเปนวงจรลอจกทนาเกตพนฐานมาตอรวมกน ใหทางานตามฟงกชนทตองการ เมอปอนลอจกเขาทอนพตจะไดผลทเอาตพตทนท วงจรลอจกเชงจดหมมดงน

วงจรเขารหส (Encoder) วงจรเขารหส เปนวงจรทใชเปลยนเลขฐานแปด เลขฐานสบ หรอเลขฐานสบหกใหเปนเลขฐานสอง วงจรเขารหสจดทาเปนวงจรในรปของไอซ เชน ไอซเบอร 74147 เปนวงจรเขารหส 0 – 9 มวงจรตามรปท 4.3

EL เทคนคลำปาง

55

Page 56: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 4.3 วงจรเขารหส 0 – 9

วงจรถอดรหส (Decoder)

วงจรถอดรหสเปนวงจรทใชเปลยนเลขฐานสองใหเปนเลขฐานสบหรอเลขฐานแปด หรอเลขฐานสบหก มวงจรตามรปท 4.4 เปนวงจรถอดรหสเลขฐานสองใหเปนเลขฐานสบแสดงผลดวยตวแสดงผล 7 สวน

รปท 4.4 วงจรถอดรหสเลขฐานสองเปนเลขฐานสบ

EL เทคนคลำปาง

56

Page 57: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 4 วงจรดจตอล1

ภาคปฏบต

ใหปฏบตตามขนตอนตอไปน 1. ตอวงจรตามรปท 4.3 แลวจดเกบไฟลไวชอ ENCODER 2. จาลองการทางานของวงจร โดยการกดสวตชทอนพตแลวสงเกตผลทเอาตพต 3. ตอวงจรตามรปท 4.4 แลวจดเกบไฟลไวชอ DECODER 4. จาลองการทางานของวงจร โดยเปลยนลอจกดานอนพตแลวสงเกตผลทเอาตพต บนทกผลการสงเกต

..................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... .......................................................................................................................................................................... ..........................................................................................................................................................................

วงจรมลตเพลกเซอร (Multiplexer) วงจรมลตเพลกเซอรเปนวงจรทใชในการคดเลอกขอมลดานอนพตเพอสงออกทเอาตพต ดานอนพตจะมขาขอมลทปอนเขาและมขาเลอกขอมล ไอซทใชเปนมลตเพลกเซอร เชน เบอร 74157 มวงจร ตามรปท 4.5

74157 กเซอรเพลลตวงจรม 4.5 ปทร

EL เทคนคลำปาง

57

Page 58: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

วงจรดมลตเพลกเซอร (Demultiplexer) วงจรดมลตเพลกเซอร เปนวงจรทใชในการกระจายขอมล ดานอนพตจะมขาขอมล 1 ขา และขาเลอกขอมลทสงออกเอาตพต สวนดานเอาตพตจะมหลายขา เชน ไอซเบอร 74154 มวงจรตามรปท 4.6

รปท 4.6 วงจรดมลตเพลกเซอร 74154

ภาคปฏบต

ใหปฏบตตามขนตอนตอไปน

1. ตอวงจรตามรปท 4.5

2. จาลองการทางานของวงจร

โดยปอนลอจกทขาเลอกขอมลหลาย

คา

แลวสงเกตวาขอมล

อนพต ขาใดถกสงออก

3. ตอวงจรตามรปท 4.6

4. จาลองการทางานของวงจร

โดยปอนลอจกทขาเลอกขอมลหลาย

คา

แลวสงเกตดวาขอมล

ทอนพตถกสงออกทขาใด

..........................................................................................................................................................................

................................................................................................................................................................................................... ..............................................................................................................................

งเกตกผลการสนทบ

EL เทคนคลำปาง

58

Page 59: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 4 วงจรดจตอล1

รปท 4.12 วงจรเขารหสและถอดรหส

ภาคปฏบต

ใหปฏบตตามขนตอนตอไปน

1.

ตอวงจรตามรปท 4.12 2.

จาลองการทางาน

โดยการกดสวตชทอนพตและดผลทเอาตพต

3.

สงเกตการณทางานและบนทกผล

บนทกผลการสงเกต

...........................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................

ระบบเตอนผบกรกบาน

ระบบเตอนผบกรกบาน

เปนระบบเตอนใหเจาของบานทราบวา

มประตหรอหนาตางบานใดบางทลมปด

หรอแจงใหทราบวามประต

หนาตางบานใดถกงดใหเปดออก

มวงจรตามรปท 4.13 ประกอบ

ดวยไอซเบอร 74151 เปนไอซมลตเพลกเซอร

ไอซ 74138 เปนไอซดมลตเพลกเซอร

ดานอนพตมสวตช

8 ตว

ทางดานเอาตพตมไดโอดเปลงแสง 8 ตว

และมวงจรนบ 0 – 7

4.12 ปทวงจรตามรมวนส 7 วแสดงผลตโดยแสดงทนเลขฐานสบเปในการแปลงเลขฐานสองใหสวงจรถอดรหองใชงตจบนเลขฐานสองแสดงออกเปาใจตเขษยมนลออกมาใหอมงของการสอตเมสอง

ปของเลขฐานาไปประมวลผลในรวจะนาไปแลบเลขฐานสองเขรตอลไดจอระบบดเมนเลขฐานสองเปใหการแปลงเลขฐานสบนเชตอลจบระบบดกษยางมนอสารระหวอสดตในการตสใชารหวงจรเข

สสและวงจรถอดรหารหวงจรเขงานใชกตวงจรประย

EL เทคนคลำปาง

59

Page 60: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

การทดลองวงจรอเลกทรอนกสบนโปรแกรมโปรตอส

รปท 4.13 ระบบเตอนผบกรกบาน

ภาคปฏบต ใหปฏบตตามขนตอนตอไปน

1. ตอวงจรตามรปท 4.13 2. จาลองการทางานของวงจร โดยการกดสวตชตอวงจรแลวสงเกตผลทเอาตพต 3. บนทกผลการสงเกต บนทกผลการสงเกต

..................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... ..........................................................................................................................................................................

EL เทคนคลำปาง

60

Page 61: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 5 วงจรดจตอล 2

-

0 – 99 บวงจรน 5.22 ปทร

มหลอดไฟฟาและวงจรควบควนส 7 งแสง

ไดโอดเปลสวงจรถอดรห0-99 บวงจรนวยแสงบดบแทนการตรวจจอยด

ดปลกดตตชสวนออกโดยใชาและเดนเขคนเดอมบเมวงจรตรวจจ

วยประกอบดออก/าเขานวนผบจระบบการนองในหชมอยมผอไมเมางงานไฟฟองพลนเปลลดความสาใหทตโนมตบโดยอมจะดกควบคถดทาในจหลอดไฟฟคนมองไมาในหถบลงานออกจะนดประตออกท

การเดนอมนและเมบขาจะนนเขคนเดอมเมวงเวลาละชาใดในแตานวนเทาไปชมงานจเขผามองการทราบวตอเพณฑธภพในพตถองแสดงโบราณวหทรรศการองแสดงนหออก/าเขานวนผบจระบบการน

ามหลอดไฟฟและการควบคออก/าเขานวนผบจระบบการน

ตอลจางระบบดวอยต

EL เทคนคลำปาง

61

Page 62: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 5 วงจรดจตอล2

รปท 5.28 ระบบการนบจานวนผเขา/ออก

ภาคปฏบต

ใหปฏบตตามขนตอนตอไปน

1. ตอวงจรตามรปท 5.28

2. เปลยนคาตวตานทานใหไดคาตามรปท 5.28

3. จาลองการทางานของวงจร

กดสวตชดานทางเขา (แทนการตรวจจบดวยแสง) หลายครงแลว

สงเกตผลการนบ

4. กดสวตชดานทางออก (แทนการตรวจจบดวยแสง) หลายครงแลวสงเกตผลการนบลดลง

หรอไม

5. เมอตวแสดงผล 7 สวน แสดงคาเปน 00 หลอดไฟฟาดบหรอไม

6. บนทกผลการสงเกต

..........................................................................................................................................................................

.........................................................................................................................................................งเกตกผลการสนทบ

EL เทคนคลำปาง

62

Page 63: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

บทนา ไมโครคอนโทรลเลอรเปนหนวยประมวลผลขนาดเลกทสามารถเขยนโปรแกรม เพอสงใหไมโครคอนโทรลเลอรทางานตามทตองการได ในการศกษาการทางานของไมโครคอนโทรลเลอรแตกอนตองทาการทดลองจากของจรงโดยการฝงโปรแกรมลงในไมโครคอนโทรลเลอรแลวทดสอบการทางานของโปรแกรมโดยตออปกรณอนพตและเอาตพตเขากบไมโครคอนโทรลเลอร การทดลองดวยวธดงกลาวตองสนเปลองอปกรณจานวนมากและทาใหไมโครคอนโทรลเลอรมอายการใชงานนอยลงเพราะถาโปรแกรมทฝงลงไมโครคอนโทรลเลอรไมทางานตองแกไขโปรแกรมและทาการฝงโปรแกรมลงไปใหม ในปจจบนนมโปรแกรมทใชจาลองการทางานของไมโครคอนโทรลเลอรกอนทจะทดลองจรงทาใหมขอผดพลาดลดนอยลง ตวอยางโปรแกรมทใชจาลองการทางานของไมโครคอนโทรลเลอร เชน โปรแกรมโปรตอส ในบทเรยนนจะไดกลาวถง การใชโปรแกรมโปรตอสจาลองการทางานของไมโครคอนโทรลเลอร

การทดลองวงจรไมโครคอนโทรลเลอร การทดลองวงจรไมโครคอนโทรลเลอรดวยโปรแกรมโปรตอส แสดงในรปท 6.1 เรมจากการเขยนวงจร การแปลงชดคาสงทเปนไฟล .ASM ใหเปนไฟล .HEX โดยใชโปรแกรม MPLAB ตอจากนนจงนาชดคาสงทเปนไฟล.HEX มาฝงลงในไมโครคอนโทรลเลอรทตอเปนวงจร ขนตอนสดทายเปนการทดสอบการทางานของวงจร มรายละเอยดดงน

รปท 6.1 ขนตอนการทดลองวงจรไมโครคอนโทรลเลอร

EL เทคนคลำปาง

63

Page 64: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

การเขยนวงจรไมโครคอนโทรลเลอร การเขยนวงจรไมโครคอนโทรลเลอร เรมจากการเตรยมวงจรทจะทดลองการทางานขอวงจร ตอจากนนจงเขาสโปรแกรมโปรตอส เลอกอปกรณทใชในวงจร ตอสายเชอมโยงอปกรณ การเปลยนคาอปกรณและการจดเกบวงจร เพอใหเกดความเขาใจใหศกษาการเขยนวงจรตามรปท 6.2

รปท 6.2 วงจรควบคมไดโอดเปลงแสง 7 สวน (ทมา : http://www.talkingelectronics.com/te_interactive)

ขนตอนการปฏบต

1. การเรมตนใชงานโปรแกรม Proteus การเรยกโปรแกรม Proteus มาใชงาน ทาไดตามขนตอนดงน 1.1 คลกทปม Start 1.2 คลกเลอกท All Program 1.3 คลกเลอกท Proteus 8 Professional 1.4 คลกเลอกท ISIS จะปรากฏหนาตาง UNTITLED-ISIS Professional

EL เทคนคลำปาง

64

Page 65: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

2. การเขยนวงจร 2.1 การเรยกตวอปกรณทตองการมาใชงาน สามารถเรยกตวอปกรณตาง ๆ ทตองการจาก

หนาตาง Pick Devices ทาไดตามขนตอนดงน 2.1.1 คลกทปมตว P จะปรากฏหนาตาง Pick Devices ขนมาตามรปท 6.5 2.1.2 คลกเลอกทหมวดรายการอปกรณทตองการ จะปรากฏรายการตวอปกรณตาง ๆ ใหเลอกทดานลาง

2.1.3 ดบเบลคลกทชออปกรณตวทตองการ จะปรากฏชอตวอปกรณทเลอกตรงชองดานซายมอใต DEVICES

รปท 6.5 การเรยกใช PIC16F84 จากหนาตาง Pick Devices

2.2 การจดวางตวอปกรณ เมอเรยกตวอปกรณทตองการมาครบแลว ใหจดวางอปกรณตามขนตอนดงน 2.2.1 คลกทชออปกรณทจะจดวางตรงชองรายการอปกรณ จะมรปอปกรณในกรอบ สเหลยมดานบนมมซายมอ

2.1.3

2.1.2

2.1.1

EL เทคนคลำปาง

65

Page 66: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

2.2.2 เมอตองการปรบเปลยนมมมองของตวอปกรณตามทตองการ ใหคลกทกลมลกศรสฟาทดานลางมมซายมอ 2.2.3 คลกทพนทวางตรงกลางหนาจอเพอวางตวอปกรณ 2.2.4 เมอตองการปรบขนาดของรปภาพใหคลกกลมภาพวว (View) 2.2.5 เมอตองการจดวางอปกรณตวอน ใหเรมทขนตอนท 2.2.1 ใหม

2.2.4.

รปท 6.6 ตวอยางขนตอนการจดวาง PIC16F84

2.3 การลบและการเปลยนตาแหนงตวอปกรณ 2.3.1 การลบตวอปกรณ ทาไดโดยคลกขวาทตวอปกรณตวนนใหเปนสแดง แลวคลกขวาทตวอปกรณตวนนอกครงหนง ตวอปกรณจะหายไป 2.3.2 การเปลยนตาแหนงการวางตวอปกรณ ทาไดโดยคลกขวาทตวอปกรณตวนนใหเปนสแดง แลวคลกซายแชไวลากไปวางในตาแหนงทตองการได 2.3.3 การเปลยนมมมองตวอปกรณ ทาไดโดยคลกขวาทตวอปกรณตวนนใหเปนสแดง แลวเลอกคลกทกลมลกศรสฟาใหไดตาแหนงตามตองการ

2.2.3

2.2.2

2.2.11

EL เทคนคลำปาง

66

Page 67: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

2.4 การเชอมตอเปนวงจร เมอจดวางตวอปกรณตามตาแหนงทตองการแลว ใหตอเชอมขาของตวอปกรณตามขนตอนในรปท 6.7 ดงน 2.4.1 คลกทตาแหนงขาตนทางทตองการเชอมตอ 2.4.2 เลอนเมาสไปคลกทตาแหนงปลายขาดานทตองการเชอมตอ

4.2.1

4.2.2

รปท 6.7 การเชอมตอขาอปกรณ PIC16F84 กบไดโอดเปลงแสง

2.5 การแกไขสายเชอมตอขาอปกรณ การลบสายทตอผดพลาดหรอไมตองการ ทาไดโดยคลกขวาทสายนน ใหเปน สแดง แลวคลกขวาทสายนนอกครง สายนนจะหายไป 2.6 การเซตคาพารามเตอรใหตวอปกรณ ทาไดตามขนตอนดงตอไปน 2.6.1 คลกขวาทตวอปกรณทตองการใหเปนสแดง 2.6.2 คลกซายทตวอปกรณตวนนอกครง จะปรากฏหนาตาง Edit Component สาหรบเซตคาพารามเตอรตาง ๆ ใหตวอปกรณ ดงแสดงในรปท 6.8 2.6.3 คลกทปม OK ของหนาตาง Edit Component หลงจากทเซตคาพารามเตอรใหตวอปกรณเสรจเรยบรอยแลว ใหเลอก OK

EL เทคนคลำปาง

67

Page 68: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

2.6.2

2.6.111

รปท 6.8 ขนท 1-2 การเซตคาพารามเตอรให PIC16F84

2.6.3

รปท 6.9 ขนตอนท 3 ของการเซตคาพารามเตอรใหตวอปกรณ PIC16F84

EL เทคนคลำปาง

68

Page 69: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

3. จดเกบแฟมขอมล 00ภาคปฏบต

ใหเขยนวงจรวงจรควบคมไดโอดเปลงแสงและไดโอดเปลงแสง 7 สวน ตามรปท 6.8 บนโปรแกรม Proteus แลวจดเกบไฟลใหชอวา EX1

การแปลงไฟล .ASM เปนไฟล .HEX การจาลองการทางานของวงจรไมโครคอนโทรลเลอร เมอเขยนวงจรเสรจเรยบรอยแลวตองมการนาชดคาสงทอยในรปของไฟล .HEX มาฝงลงในไมโครคอนโทรลเลอร การแปลงไฟล .ASM เปนไฟล .HEX ใชโปรแกรม MPLAB มขนตอนในการใชโปรแกรมดงน ขนตอนการปฏบต

การเรมตนการใชงานโปรแกรม MPLAB 1. โดยการเรยกโปรแกรม MPLAB มาใชงานใหทาตามขนตอนตอไปน

1.1 คลกทปม start ตามรปท 6.10 1.2 คลกเลอกท All Program 1.3 คลกเลอกท Microchip MPLAB 1.4 คลกเลอกท MPLAB จะปรากฏหนาตาง MPLAB IDE ดงแสดงในรปท 6.11

1.4

รปท 6.10 ขนตอนการเปดใชงานโปรแกรม MPLAB

1.3

1.2

1.1

EL เทคนคลำปาง

69

Page 70: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

รปท 6.11 หนาตางหลกโปรแกรม MPLAB

2. การสรางซอรสโคดโปรแกรมไฟลนามสกล .ASM 2.1 คลกทเมน File ตามรปท 6.12 2.2 คลกเลอกท New จะปรากฏหนาตาง Untitled1 ดงแสดงในรปท 6.12

2.1

2.2

รปท 6.12 ขนตอนการสรางซอรสโคดโปรแกรมไฟลนามสกล .ASM

2.3 พมพซอรสโคดโปรแกรมทออกแบบ ลงทหนาตาง Untitled1 ตามรปท 6.13

EL เทคนคลำปาง

70

Page 71: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

2.3

รปท 6.13 หนาตาง Untitled1 ทพมพซอรสโคดโปรแกรม

3. การบนทกไฟลนามสกล .ASM เมอตองการจดเกบไฟลไวท C:\ progra~1 \ mplab \ example ใหทาตามขนตอนดงน

3.1 คลกทเมน File ตามรปท 6.14 3.2 คลกเลอกท Save As จะปรากฏหนาตาง Save File As ตามรปท 6.14

3.1

รปท 6.14 การเลอกคาสงบนทกไฟลนามสกล .ASM 3.3 พมพชอไฟลลงทายดวย .ASM ทชองใต File Name ในทนจะใหชอวา EX1.ASM ตามรปท 6.15

3.2

EL เทคนคลำปาง

71

Page 72: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

3.4 เปลยน Drives เปน Drives C: 3.5 คลกท EXAMPLE รปเอกสารสเหลองเปด 3.6 คลกปม OK เปนอนเสรจสนการบนทกไฟลนามสกล .ASM

3.3 3.6

3.5

3.4

รปท 6.15 การบนทกไฟลนามสกล .ASM ลงท Drives C 4. การแปลงไฟลนามสกล .ASM เปน .HEX 4.1 คลกทเมน Project ตามรปท 6.16 4.2 คลกท New Project จะปรากฏหนาตาง New Project ตามรปท 6.16

4.2

4.1

รปท 6.16 การเลอกคาสงแปลงไฟลนามสกล .ASM เปน .HEX

EL เทคนคลำปาง

72

Page 73: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

4.3 พมพชอเดยวกนกบไฟนามสกล .ASM แตเปลยนนามสกลเปน .PJT ทชองใต File Name ชอทไดคอ EX1.PJT ตามรปท 6.17 4.4 เปลยน Driver เปน C: 4.5 คลกท EXAMPLE รปแฟมเอกสารสเหลองเปด 4.6 คลกปม OK จะปรากฏหนาตาง Edit Project ไดตามรปท 6.18

4.6

4.3

4.5 4.4

รปท 6.17 ขนตอนท 4.3-4.6 การแปลงไฟลนามสกล .ASM เปน .HEX

4.7 ดบเบลคลกท ex1.hex จะปรากฏหนาตาง Node Properties ตามรปท 6.19

EL เทคนคลำปาง

73

Page 74: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

รปท 6.18 ขนตอนท 4.7 และ 4.10 ของการแปลงไฟลนามสกล .ASM เปน .HEX

4.8 คลกทชองสเหลยมหนา HEX ใหมเครองหมาย √ เกดขน 4.9 คลกทปม OK เสรจแลวจะยอนกลบมาทหนาตาง Edit Project 4.10 คลกทปม Add Node ของหนาตาง Edit Project จะปรากฏหนาตาง Add Node ตามรปท 6.20

4.7 4.10

EL เทคนคลำปาง

74

Page 75: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

4.8

4.9

รปท 6.19 ขนตอนท 4.8,4.9 ของการแปลงไฟลนามสกล .ASM เปน .HEX 4.11 คลกทชอไฟลนามสกล .ASM ทตงไว จะปรากฏชอนนทชองใต File Name 4.12 คลกทปม OK จะยอนกลบมาทหนาตาง Edit Project และจะมขอความ ex1[.asm] ใตขอความ ex1[.hex] เพมขนมา ตามรปท 6.21

4.12

4.11

รปท 6.20 ขนตอนท 4.11,4.12 ของการแปลงไฟลนามสกล .ASM เปน .HEX

EL เทคนคลำปาง

75

Page 76: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

4.13 คลกปม OK เปนอนเสรจสนการแปลงไฟลนามสกล .ASM เปน .HEX ไดตามรปท 6.21

4.13

รปท 6.21 ขนตอนท 4.13 ของการแปลงไฟลนามสกล .ASM เปน .HEX 5 การตรวจสอบความถกตองของซอรสโคดโปรแกรม 5.1 คลกทเมน Project ตามรปท 6.22 5.2 คลกเลอกท Build All โปรแกรมจะตรวจสอบซอรสโคดของโปรแกรมทสรางไว เมอการตรวจสอบถกตองจะเปนสเขยว แตถามขอผดพลาดจะเปนสแดง ตามรปท 6.23

EL เทคนคลำปาง

76

Page 77: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

รปท 6.22 ขนตอนการตรวจสอบความถกตองของซอรสโคดโปรแกรม

(ก) ผลการตรวจสอบไดผลถกตอง (ข) ผลการตรวจสอบมขอผดพลาด

รปท 6.23 การตรวจสอบความถกตองของซอรสโคดโปรแกรม

6. การแกไขขอผดพลาดของโปรแกรม เมอทาการตรวจสอบโปรแกรม ดวยการ Build All แลวเกดขอผดพลาดของโปรแกรม จะปรากฏ

หนาตาง Build Results แสดงผลการตรวจสอบตรงจดทเกดขอผดพลาดจะแสดงผลในรปท 6.24 สามารถดาเนนการแกไขตามขนตอนไดดงน

5.1

5.2

EL เทคนคลำปาง

77

Page 78: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

6.1 ดบเบลคลกทบรรทดทแสดงผลการ Error จะกลบไปทหนาตาง ตามรปท 6.25

6.1

รปท 6.24 หนาตาง Build Results แสดงผลการตรวจสอบขอผดพลาด 6.2 เคอรเซอรจะกระพรบตรงทแอสเดรสทมขอผดพลาด ใหทาการตรวจสอบดและแกไข แลวทาการตรวจสอบโปรแกรมโดย Build All ใหมอกครงหนง ถาโปรแกรมยงมขอผดพลาดอย ใหดาเนนการแกไขตามขนตอนท 6.1 ใหม จนกวาจะผานการตรวจสอบ

6.2

รปท 6.25 การกระพรบของเคอรเซอรตรงบรรทดทเกดความผดพลาด

EL เทคนคลำปาง

78

Page 79: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

ภาคปฏบต

ใหสรางไฟลโปรแกรม EX1.ASM และแปลงเปน EX1.HEX โปรแกรม EX1.ASM List P = 16F84 ORG 0 SetUp BSF 03,5 CLRF 06 MOVLW 01 MOVWF 05 BCF 03,5 CLRF 06 Main BTFSS 05,0 GOTO Main1 MOVLW 0FF MOVWF 06 GOTO MAIN Main CLRF 06 GOTO Main

END

22ขนตอนการปฏบต

1. สรางซอรสโคดโปรแกรม EX1 .ASM และบนทกไวท C:\ program~1 \ mplab \ example 2. แปลงโปรแกรม EX1.ASM เปน EX1.HEX 3. ตรวจสอบความถกตองของโปรแกรมดวยการ Build All และดาเนนการแกไขใหถกตอง

EL เทคนคลำปาง

79

Page 80: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

การฝงไฟล .HEX ลงไมโครคอนโทรลเลอร เมอเขยนวงจรไมโครคอนโทรลเลอรดวยโปรแกรม Proteus เสรจแลวจะตองมการนาโปรแกรมทแปลงเปนไฟลนามสกล .HEX มาฝงลงในไมโครคอนโทรลเลอรเพอทดสอบการทางานของวงจร ตามขนตอนดงน

1. เขาสโปรแกรม Proteus แลวเปดแฟม EX1 ซงเปนวงจรทไดเขยนไวแลว 2. เลอนตวชเมาสไปทสญลกษณของไมโครคอนโทรลเลอร 16F84 แลวดบเบลคลกจะเขาส

หนาตาง Edit Component ตามรปท 6.26

รปท 6.26 หนาตาง Edit Component

3. เปดแฟม EX1.HEX ซงเกบไวท C:\ program~1 \ mplab \ example โดยเลอนตวชเมาสไปท Program File ตามรปท 6.27 เพอโหลดขอมลมาไวทไมโครคอนโทรลเลอร

EL เทคนคลำปาง

80

Page 81: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

3

รปท 6.27 เลอนตวชเมาสเพอโหลดขอมลไฟล EX1.HEX

4. จาลองการทางานของวงจรและสงเกตผลการทางาน

ตวอยางวงจร วงจรนบแสดงผลดวยไดโอดเปลงแสง 7 สวน วงจรไมโครคอนโทรลเลอรทสามารถแสดงผลเปนตวเลขโดยตอกบไดโอดเปลงแสง 7 สวน จากวงจรในรปท 6.28 ใชไดโอดเปลงแสง 7 สวน ชนดคอมมอนแคโทด ตอเขากบขา RB0-RB6 ของ PIC16F84 ซงจะกาหนดใหเปน ขาเอาตพต เพอแสดงผลเปนตวเลข 7 สวน และตวอกษรในภาษาองกฤษ

EL เทคนคลำปาง

81

Page 82: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

รปท 6.28 วงจรควบคมไดโอดเปลงแสง 7 สวน

จากรปท 6.28 ใช PIC16F84 ควบคมการแสดงผลของไดโอดเปลงแสง 7 สวน จดตอตวแสดงผล 7 สวน ไดแก a,b,c,d,e,f และg ตอกบเอาตพตขา RB0-RB6 โดยเขยนโปรแกรมสงขอมลออกใหแสดงผลเปนตวเลขหรอตวอกษรตามทตองการ ตามตวอยางการแสดงผลในรปท 6.29

ก) ขอมลสงออกเปนเลข 0 (ข) ขอมลสงออกเปนเลข 2 (

รปท 6.29 การแสดงผลเปนเลข02

EL เทคนคลำปาง

82

Page 83: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

ภาคปฏบต ใหเขยนวงจรตามรปท 6.28 และฝงโปรแกรม EXPT4.HEX (อยทแผนCD) แลวทดสอบการทางานของวงจร

วงจรควบคมสเตปเปอรมอเตอร ไมโครคอนโทรลเลอรสามารถนาไปตอเปนวงจรควบคมทศทางการหมนของสเตปเปอรมอเตอรได โดยเขยนโปรแกรมฝงลงในไมโครคอนโทรลเลอร จากรปท 6.30 เปนวงจรควบคมทศทางการหมนของสเตปเปอรมอเตอรโดยใช PIC 16F84

รปท 6.30 วงจรควบคมทศทางการหมนของสเตปเปอรมอเตอร

ภาคปฏบต

ใหเขยนวงจรตามรปท 6.30 และฝงโปรแกรม PICSTEPR.HEX (อยทแผนCD) แลวทดสอบการทางานของวงจร

วงจรกาเนดสญญาณเสยง ไมโครคอนโทรลเลอรสามารถกาเนดสญญาณเสยงตามความถตองการได โดยการเขยนโปรแกรมฝงลงในไมโครคอนโทรลเลอร จากวงจรในรปท 6.31 เปนวงจรทกาเนดความถเสยงเปน 2 แบบ โดยใชไมโครคอนโทรลเลอร PIC 16F84

EL เทคนคลำปาง

83

Page 84: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

รปท 6.31 วงจรกาเนดสญญาณความถเสยง

ภาคปฏบต

ใหเขยนวงจรตามรปท 6.31 และฝงโปรแกรม Pic Doorbell(อยทแผนCD) ลงในไมโคร คอนโทรลเลอร PIC 16F84 แลวทดสอบการทางานของวงจร

วงจรแปลงสญญาณแอนะลอกเปนดจตอล

ไมโครคอนโทรลเลอรสามารถนาไปใชเปนวงจรแปลงสญญาณแอนะลอก ใหแสดงผลเปนตวเลขได โดยการแปลงสญญาณแอนะลอกใหเปนสญญาณดจตอลแลวนาสญญาณทไดไปแสดงผลทไดโอด เปลงแสง 7 สวน มวงจรตามรปท 6.32 ใชตวตานทานปรบคาได(Pot) เปนตวเปลยนคาทางอนพตแลวปอนเขาไมโครคอนโทรลเลอร PIC 16F84 แลวประมวลผลสงออกทไดโอดเปลงแสง 7 สวน

EL เทคนคลำปาง

84

Page 85: ใบงานlampangtc.ac.th/mnfile/branch5/file/MANUAL-PROTEUS-8.pdf · สารบัญ. 5. บทท่ี 1 แนะนําโปรแกรม. 13. บทที่ 2

บทท 6 วงจรไมโครคอนโทรลเลอร

รปท 6.32 วงจรแปลงสญญาณแอนะลอกเปนดจตอล

ภาคปฏบต ใหเขยนวงจรตามรปท 6.32 และฝงโปรแกรม ADC.HEX (อยทแผนCD) ลงในไมโคร คอนโทรลเลอร PIC 16F84 แลวทดสอบการทางานของวงจร

สรป การจาลองการทางานของวงจรไมโครคอนโทรลเลอร สามารถทาโดยใชโปรแกรม Proteus และโปรแกรม MPLAB เรมจากการเขยนวงจรไมโครคอนโทรลเลอร ดวยโปรแกรม Proteus แลวจดเกบเปนแฟมขอมลไว ตอจากนนจงใชโปรแกรม MPLAB แปลงชดคาสงทตองการสงใหไมโครคอนโทรลเลอรทางานโดยแปลงไฟลทมนามสกล.ASM ใหเปนไฟลทมนามสกล .HEX แลวนาไฟล.HEX ไปฝงลงในไมโครคอนโทรลเลอรทอยในวงจรทจดเกบไวในโปรแกรม Proteus ขนสดทายเปนการทดสอบการทางานของวงจรเพอตรวจดวาวงจรทางานไดตามตองการหรอไม ถามขอผดพลาดสามารถแกไขวงจรหรอชดคาสงกอนทไปทาลงบนไมโครคอนโทรลเลอรทเปนของจรง

EL เทคนคลำปาง

85