176
1D-814586 IMPLEMENTATION OF RN INTEL 8686 MICROPROCESSOR-BASED 1/2 REALIZATION LIBRARY FOR THE CONTROL SYSTEM DESIGN LANGUAGE(U) NAYRL POSTGRADUATE SCHOOL MONTEREY CA UNCLJSSIFIED A J CETEL JUN 84 F/G 9/2 NL mhmhmhhmmhu

1D-814586 IMPLEMENTATION OF RN INTEL 8686 … · 1D-814586 IMPLEMENTATION OF RN INTEL 8686 MICROPROCESSOR-BASED 1/2 ... and the Intel 8080 library was desired and achieved by use

  • Upload
    lamhanh

  • View
    235

  • Download
    0

Embed Size (px)

Citation preview

1D-814586 IMPLEMENTATION OF RN INTEL 8686 MICROPROCESSOR-BASED 1/2REALIZATION LIBRARY FOR THE CONTROL SYSTEM DESIGNLANGUAGE(U) NAYRL POSTGRADUATE SCHOOL MONTEREY CA

UNCLJSSIFIED A J CETEL JUN 84 F/G 9/2 NLmhmhmhhmmhu

M i __, ......

.3TIO"AL VJlAU O STAWOiDS 1*43 A

: : .--.,--. ... ..,:-.. , .-..... ............. ........-,.. , ...- .-,- ,- ,- .;.-. ..-.-. : ..-l. "ii.

(00

cc NAVAL POSTGRADUATE SCHOOLMonterey, California

THESIS

IMPLEMENTATION OF AN INTEL 8086MICROPROCESSOR-BASED REALIZATION LIBRARY

FOR THE CONTROL SYSTEM DESIGN LANGUAGE

by

Alan Jeffrey Cetel

June 1984

Thesis Advisor: H. H. Loomis, Jr.j

Approved for public release; distribution unlimited

64; 12 06 (05

-.-- --. -.- ..-.. i--~. ' . 7%

SECURITY CLASSIICATIO* OF TWIS5 PAGE (Pfw. note Entered)_______________

REPORT DOCUMENTATION PAGE BEFRECMLEIN ORMIREPORT NUMBER 12I. GOVT ACCESSION NO. 3. RECIPIENT'S CATALOG MUMBER

4. TITLE (end ubtitle) 5. TYPE OF REPORT & PERIOD COVERED0

Implementation of an Intel 8086 Mse' hssMicroprocessor-based Realization Library Jue18for the Control System Design Language S. PERFORMING ORO. REPORT NUMBER .

7. AUTMORre) I. CONTRACT OR GRANT NUMBER4'a) --

Alan Jeffrey Cetel

9. PERFORMING ORGANIZATION NAME ANO ADDRESS 10. PROGRAM ELEMENT. PROJECT. TASK

Naval Postgraduate School AREA A WORK UNIT NUMBERS

Monterey, California 93943

If- CONTROLLING OFFICE NAIAE ANO ADDRESS 12. REPORT DATE

Naval Postgraduate School June 1984Monterey, California 93943 _1. NUMBER OF PAGES

___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ 17014. MONITORING AGENCY NAME A ADDRESS(I dlttoefro m Controlling Offlice) 1S. SECURITY CLASS. (of this report)

UNCLASSIFIED

ISDECLASSIFICATION/ DOWNGRADINGSCNEDU LE

IS. DISTRIBUTION STATEMENT (of tADO Renot)

Approved for public release; distribution unlimited___

17. DISTRIBUTION STATEMENT (of the abstract .mtteed in Steck 20, it different how Report)

1S. SUPPLEMENHTARY MOTES

III. Key WORDS (CotA..n -f'wee side It neessay an I*dify by bleck numbr)

Computer Aided Design; Microprocessor; 8086; Control SystemsDesign; Assembly Language Library

20. APOTRACT (Coeflaue -m revee side it asesem end Ideify' by Mae nueot)A library for use by the c _mputer aided design system, known as

the Control System Design Environment, made up of hardware andsoftware primitives of the Intel 8086 microprocessor family, waswritten to exctend the capabilities of the design system to morethan two microprocessor families. Compatibility between thislibrary and the Intel 8086 library was desired and achieved by

* use of designs originally realized with the 8080 library.

* DO ,'O W 43 EDInTo or I Nov as Is OSROLETRS/N 0102. LF-014-'6601 19CURITY CLASSIFICATION OF THIS PAGE Wohn Dee.SIrec 2r

d.

Approved for public release; distribution unlimited

,-.Implementation of an Intel 8086 Microprocessor-based

Realization Library for the Control Sy3tem Design Language

by

Alan Jeffrey CetelLieutenant Commander, United States NavyB.S., United States Naval Academy, 1975

Submitted in partial fulfillment of therequirements for the degree of

MASTER OF SCIENCE IN ELECTRICAL ENGINEERING

from the

NAVAL POSTGRADUATE SCHOOLJune 1984

Author:

Approved by: _-__

Thesis Advisor

Second Reader

airmad,-Departmdnt of•" :'

Electrical and Computer Engineering

l ean of Science and Engineering

2

V % . % V ' % * ** *%* *'.% .'. .. .'. ... .. .. . . °. . . . . . . . . . . . . . . . . . . . . . . . . .S " -

I...

ABSTRACT

A library for use by the computer aided design system,

known as the Control System Design Environment, made up of

hardware and software primitives of the Intel 8086

microprocessor family, was written to extend the

capabilities of the design system to more than two

microprocessor families. Compatibility between this library

and the Intel 8080 library was desired and achieved by use

of designs originally realized with the 8080 library.

I.. ;"

Accession F'or ..3NTIS GRA &HM! '! :

- ~~DTIC TAB ''-Unannounced 13--

u-. Just if icat ion

[- i ~Distribution/-:[.':'. Availability Codes -"

-;5 Dist Special " :

. [ .. 7.-

[,-.. _3

TABLE OF CONTENTS

I. INTRODUCTION------------------------------------------------

II. BACKGROUND -------------------- a--------------------------- 12

A. CONTROL SYSTEM DESIGN LANGUAGE------------------ 12

1. Design Environment--------------------------- 14

a. Example Problem Description ------------- 16

B. CURRENT IMPLEMENTATION--------------------------- 18

1. Primitive File------------------------------- 19

2. Timing File---------------------------------- 21

*III. REALIZATION LIBRARY---------------------------------- 23

A. LIBRARY FORMAT----------------------------------- 23

1. Primitive Title Line------------------------- 25

2. Comment Line--------------------------------- 29

3. Calculation Line----------------------------- 29

*4. Attribute Line------------------------------- 30

S. Call Line------------------------------------ 30

6. I clu e Li e - ---- --- ---- --- ---- --- - 3

6. Incud Line------------------------------------ 30

7. Beinx Line------------------------------- 32

8. enText Line------------------------------33

90. EnText Line---------------------------------- 33

IV. 8086 LIBRARY IMPLEMENTATION-------------------------- 36

A. MEMORY ORGANIZATION------------------------------ 36

1. Segment Registers---------------------------- 42

2. Input/Output Memory-------------------------- 46

4

3. Interrupt Handling ------------------------ 47

B. OPERATING SYSTEM CONSIDERATIONS --------------- 47

C. HARDWARE DESCRIPTION-------------------------- 48

1. 8086 Microprocessor and Support Chips ------ 48

2. Memory and Memory Support Chips ----------- 51

3. 8087 Numeric Data Processor --------------- 53

4. Other Hardware Support -------------------- 53

D. SOFTWARE DESCRIPTION -------------------------- 53

1. Monitor Section --------------------------- 56

2. Calculation Section ----------------------- 56

a. Arithmetic ---------------------------- 58

(1) Variations in SimpleArithmetic Routines --------------- 58

b. Floating Point ------------------------ 59

(1) Use of the 8087 NumericData Processor -------------------- 60

(2) Emulation of the 8087Numeric Data Processor ------------ 66

3. Logical Functions ------------------------- 68

4. Other Software Functions ------------------ 68

V. TESTING ------------------------------------------- 69

A. PRIMITIVE TESTING VEHICLE --------------------- 69

B. TESTING OF CONTROL LOGIC---------------------- 70

VI. RECOMMENDATIONS AND CONCLUSIONS ------------------- 71

A. RECOMMENDATIONS ------------------------------- 71

1. Universal High-Level Language RealizationLibrary ----------------------------------- 71

2. Hardware Wiring Diagrams ------------------ 72

5 -.

..-. .. .... * *****~*** %~**~********,**** .. o°

B. CONCLUSIONS------------------------------------- 72

APPENDIX A - DEFINITIONS OF PRIMITIVES-------------------- 75

APPENDIX B - LIST OF GLOBAL VARIABLES--------------------- 84

APPENDIX C - 8086 CPU REALIZATION LIBRARY ----------------- 87

LIST OF REFERENCES---------------------------------------- 169

INITIAL DISTRIBUTION LIST--------------------------------- 170

6

Y.,k

LIST OF FIGURES

1. Flowchart of Design System ------------------------- 13

2. CSDL Design Example -------------------------------- 17

3. Example Primitive List ----------------------------- 20

4. Example Hardware Primitive Title Line -------------- 26

5. Example Software Primitive Title Line -------------- 27

6. Example Attribute Line Entry ----------------------- 31

7. Example If Line Entry ------------------------------ 34

8. Standard 8086 Memory Organization ------------------ 37

9. Memory Organization in the 8086 Library ------------ 39

10. Insertion of JUMP Instructions--------------------- 40

11. Overlapping of ROM and RAM Areas ------------------- 43

12. Definition of Base Addresses by Segment Registers-- 44

13. Constructing a 20-Bit Physical Address ------------- 45

14. 8086 in Maximum Mode --------------------------------- 50

15. Decoding Memory Banks ------------------------------ 54

16. 8087 Numeric Data Processor on Local Bus ----------- 55

17. Example Monitor Section ---------------------------- 57

18. Floating Point Variable Format --------------------- 61

19. Speed Comparison Between 8087 NDP and 8086 ---------- 63

20. Projective versus Affine Closure ------------------- 65

21. Use of ESC Codes in a Co-processing Environment-- 67,

22. Universal High Level Language Software LibraryImplementation ------------------------------------- 73

7

r'<r;-:

S S. . . . .. . * . . . . .

ACKNOWLEDGMENTS

I would like to thank my advisor, Professor Herschel

Loomis, Jr., and second reader, Lieutenant Colonel Alan

Ross, for their guidance during this project.

ion.

8

I. INTRODUCTION

Computer Aided Design has seen an upsurge of use in

the past decade, providing assistance in the design and

eventual realization of everything from Very Large Scale

Integration circuits to complete systems. The use of

computers to simplify man's tasks is the chief motivating

factor to develop more powerful computing devices. Recent

trends in the development of Computer Aided Design (CAD)

tools are toward a more 'user friendly' environment. Some

CAD systems are so sophisticated that the user need not know

the underlying principles of design in order to take a given

concept, from its inception through actual realization in

terms of either hardware and/or software. Starting with the

simplest of descriptions, today's system or component

designers may very well spend all his/her time designing at

a computer keyboard or digitizing table.

What motivates the trend toward more efficient design

systems are threefold: 1) greater speed in producing a

viable design, 2) better use of scarce design system

resources, and 3) decreased cost to produce the desired

item. With the introduction of the microprocessor, it

became apparent that its uses were limitless. Any

conceivable electronic application spurred its use. From

general purpose computing to specialized repetitive

: j. -Z-: ,$ ":. . -:. ,. .., -t- ... U .-,-; o -. -.. , - :-- w - .' - :" . # ; -' .. ' ,' . . . ., . ..,- .-r ., -9, .

':a- ::

.. % -%

functions the microprocessor found its way into industrial,

commercial and military applications. As more uses were

found, the ability to design with these devices lagged

behind the rising requests for these new designs. Since the

designs are labor intensive and design engineering expertise Op,.

is at a premium, the concept of computer aided design was

born. This technique helps to pare down the time it takes

to design a new system or component. Engineers were, of

course, not the only item in short supply. Computers needed

to aid in these designs were also a scarce commodity. This

led to the improvement of the design tools to see a design

to completion with the least amount of resources used (e.g.

time, computer use etc) [Ref. 1]. Once these major

impediments had been overcome, the ability for the computer

to help optimize the design was at hand. Factors to be

considered were to decrease the number of chips or silicon

acreage, decrease the power requirements, and decrease the

overall cost of the system.

The use of microprocessors for real time control is

just one of the applications that is seen in today's

electronic environment. As in the past, design of these

systems are a time consuming and complex process. In order

for digital computing systems to provide a design ft

environment, an examination of just how a design engineer

might approach the problem is justified. The designer must

10

-*l - -

rely on a certain amount of background knowledge of the

problem that is presented prior to his attempting its

design. The implementation of this knowledge may be by the

use of a database of design rules. These rules would apply

to both the hardware and software factors required to

realize a given problem. An extension of this idea would be

the creation of a sequential listing of all possible

combinations of circuit devices or software tasks that may

be necessary to construct a device and the tasking of the

digital computer to maintain a running list of attributes to

insure that all design criteria are being met in the

generation of a design realization.

This thesis will provide a library of hardware and

software primitives implemented using the Intel Corporation

iAPX 86/10 (8086) 16-bit microprocessor and its family of

support chips. Chapter II will discuss the origins of the

design environment in which this library will be used and

its current implementation. A description of the structure

of the realization library is presented in Chapter III.

Chapter IV introduces the 8086 microprocessor and

discusses its memory organization, the basic hardware design

of a system implemented in this library, and a complete

discussion of the software configuration including the use

of assemblers, control, and arithmetic processes. Chapter

V outlines the testing of this library. Finally, Chapter VI

offers some recommendations for system improvement,

observations and conclusions.

11I

..-.

.*.:, ..',,.

II. BACKGROUND

The system model proposed by Matelan [Ref. 2] for the

design of microprocessor based controllers contains a

concept of computer aided design whereby the specifications

are not initially linked to the type of technology that

might be used to implement a system. This binding of the

design to a particular hardware and software technology is

performed only after several intermediate processes are

executed. These intermediate functions build symbol and

timing tables, and prepare a table of the individual

primitive names required to realize the design. It is only

after this, that an implementation technology is chosen.

The technology is contained in a volume of hardware and

software primitives called the realization library.

A. CONTROL SYSTEM DESIGN LANGUAGE

Matelan's proposal suggests the use of a new high level

language which he developed, called the Control System

Design Language (CSDL), to support the definition of a

design. An environment to interpret the design language and

provide the necessary design system supervision and analysis

is shown in Figure 1 [Ref. 3].

12

,'.'..'.'. "," .'...>':' • ............"............"...-................"..............-......-...v-.-. " '.-" .'.. ... ... ... '

0% -4

r4-

04-4 - to FC

41 414J . $4 Id 4* LO*~ * U*

T- C.-F:17 7 Pv. V1 N --- , L;---

ow'

1. Design Environment

A CSDL description is written by the designer and

is used as input to the design system. The translator

decomposes the data from the description into two files: a

list of primitives and a timing file. Examples and an

explanation of these two files are presented later in this

chapter.

The Optimizer module is first to receive these files.

This module is tasked to control the overall execution of

the system, serve as the input routine, and make a

comparison of multiple realizations created by the system

and choose the one that meets the criteria as specified by

the designer. Following the optimizer is the Functional 4 "

Mapper. It is the task of this module to ensure that the

primitives required by the design are realized in the current

realization library. Once the Functional Mapper

successfully creates a listing of software titles, it

becomes the task of the Timing Analyzer to ensure that all -.-

necessary timing constraints are satisfied. With a

satisfactory timing analysis complete, the design is

considered successful and the final function performed is

the actual creation of the software and hardware listings.

This process is accomplished by the Formatter. This module

extracts from the current realization library all text that

14 ;"

,5,....

.5' - F S L

*' . ,.

is contained in each primitive in the primitive list and

writes this text to the respective software and hardware

output files.

CSDL defines functions and their timing constraints

using the concept of contingency/task pairs. A contingency

is defined as a function of an input variable or variables.

The task associated with this contingency is executed only

after the contingency is satisfied. Any given design then, S

must be stated in terms of its contingency/task pairs.

Matelan's Control System Design Language is used as

the designer's interface to the overall design process. This

language supports the input of a design by problem specifi-

cation as follows: 1) an identification section, 2) an

environment section, 3) a listing of contingency/task

pairs, and 4) a procedures section.

The identification section is used as a header and

record of when and by whom the design was created. The

environment section describes the variables associated with

the input and output ports of the device, their electrical

characteristics, as well as the variables included in all

computations internal to the software implementation. It is

analogous to the variable declaration section of high level

languages such as FORTRAN or PL/I. The contingency list

describes the conditions that must be satisfied prior to the

execution of its associated task. This list must also

define the timing constraints that must be satisfied when

15

* ~ .*. *''.-,.'.-. *.

~ * * ,.* **~ ..'-**.. .. * .. . *. *%**....-....- *

executing the contingency/task pair. Timing analysis is

performed to insure that the time needed to execute a

contingency and task falls within the required maximum time

allowed by the designer prior to the execution of the next

contingency/task pair. Finally, the procedures section

contains the routines that make up each contingency/task.

a. Example Problem Description

An example CSDL listing is shown in Figure 2.

The identification section is self-explanatory, containing

the designers name, the date of file creation and the

project name. The environment section contains all the

input and output variable names and their bit length

(precision). In this example the input variable X and

output variable Y are both 16-bit values while the variable

DL is a single bit. All of these variables are also

identified as being TTL compatible. This section also

contains the variable M and it's 16-bits that is used

internally to the design. These variable descriptions are

contained in the Arithmetic portion of the environment

section. The function EXAMPLE that is contained in the

contingency list is executed every 10 milliseconds, and when

found to be true, the task RELIZE is performed. The final

section of this high level description of the problem is the

procedures section. It is in this section that the

contingency/task pairs are explicitly defined. The

contingency EXAMPLE is performed by sensing an external

16

o.....................

i'..'.........*'*.....

'~. .".".-.... ... ... ...- •.. . ..-. . ..- .'.. .¢..- . " ."'----..,- .-- '

IDENTI FICAT ION

DESIGNER: "A. J. CETEL"1DATE: "114 MARCH 1984" SPROJECT: "DESIGN EXAMPLE"

ENVIRONMENT

INPUTf: X,16,TTL;DL,1,TTL;INAME,l,TTL;OUTPUTf: Y,16,TTL; IARITHMETIC: M,16;

PROCEDURES

FUNCTION EXAMPLE:EXAMPLE := 0:SENSE (INANE);IF INAME 1 THEN EXAMPLE:= 1; END IF;

END EXAMPLE;

TASK RELIZE;SENSE (X);Y CX * 10 M ;ISSUE CY);

END RELIZE;

CONTINGENCY LIST I~WHEN EXAMPLE 1 ONS DO RELIZE;

END

Figure 2. CSDL Design Example

17

variable INAME. If the value of this variable is equal to

one, then the value of EXAMPLE is also set to one. With the

value of EXAMPLE equal to one, the contingency is satisfied

and the task RELIZE is performed. The task RELIZE is a

simple arithmetic expression that senses the value of X,

then computes the value of Y using variables, as described

in the arithmetic portion of the environment section, as

well as any constants required, and latches or otherwise

makes the value of the variable Y available at a TTL

compatible output port. Had the variable INAE been another

value, then EXAMPLE would not be set to one, the contingency

therefore would not be satisfied and the task RELIZE would

not be performed.

B. CURRENT IMPLEMENTATION

Implementation of Matelan's design system was produced

as part of a dissertation by Ross [Ref. 4]. This

implementation however, does not support the input

translator to the system. A CSDL compiler (translator),

designed to be the input module, implemented in Pascal, is

under development by Carson [Ref. 5].

The description of the input specification in the

previous section is presented for continuity purposes only.

In order to relize a design as the system is currently

implemented, a number of intermediate files are required.

18

1-.:.N

1. Primitive File,

A list of primitives, implemented as the file

PRIMITIVE.DAT, contains a representation of all the required

primitives to realize a given system. It contains each

primitive to be extracted from a realization volume and the

information required to generate the hardware and software

listings. Variable names, data constants, and data size

descriptions are all contained in the primitive listing.

The order of primitives is directly related to the order

required to implement the device. An example of this file

is given in Figure 3. Each line in the primitive file is a

sequential list of the lines to be taken from a realization

library required to fulfill given design requirements.

A system primitive list is always required to initialize

pointers, include software heading or assembly language

directives, and call the processor primitives required to

eventually realize the design. This item is shown in the

first group of primitives labeled - t.generated for: system.

All of the above requirements are accomplished within the

primitive s.main(::). All arguements passed to the primitive

are included between the parenthesis and before the first

colon. The precisions of any variables passed are contained

between the two colons. No information is needed after the

second colon, however the second colon is required due to

the strict format of Ross' implementation.

19

..........................................................

T.GENERATED FOR: SYSTEMs.main (:T.GENERATED FOR: EXAMPLEs.proc (EXAMPLE::)

s.jmpf C@Tl,@1000:8:)s.assigncons (INAME,0:l,1:)s.assigncons (EXAMPLE,1:1,1:)s.loc (@1000:8:)s.exitproc (EXAMPLE,0::)s.cons (@C001,O:1,1:)s.var (EXAMPLE:1:)s.var (INAME:1:)s.var (@Tl:8:)T.GENERATED FOR: RELIZEs.proc (RELIZE::)s.assigncons (INAME,0:1,1:)s.anain (X,-10,10,5:16:)s.mul (Ml,X,C1:16,16,16:)s.add (Y,Ml,M:16,16,16:)s.anaout (Y,-10,10:16:)s.cons CC1,10:160)

Figure 3. Example Primitive List

20

-. . , - - - -. '.. - . - .

The first contingency, EXAMPLE, requires a procedure

beginning and end, shown by the software primitive s.proc

and s.exitproc. The argument passed for this primitive is

simply the contingency name. A primitive titled s.eq checks

for equivalence between the one-bit variables labeled iname

and @cOO1 and if true assigns the 8-bit variable @tl the

value of true (=l). The remaining primitives provide

constant assignments, establishment of variables, arithmetic

routines, and input and output software routines. Hardware

primitives are usually called from their software

counterparts.

It is from this file that the functional mapper selects

a primitive from the realization library that matches not

only the title, but also the number of arguments required

and the precisions of these arguments.

2. Timing File

A timing file to be used in the analysis of each

contingency/task pair must also be generated. This file, .

IADEFL.DAT, contains the timing constraints imposed by each

contingency/task pair. This file is used by the timing

analyzer, along with the previously built list of software

titles, to determine if the time constraints imposed by the

designer are met. Included in this file is the design

criteria section, added by Ross to provide a metric by which

to determine the optimal realization of a design. The

designer may choose one of three criteria to produce a

21

design realization: 1) first realization that is generated

2) most inexpensive and 3) the realization with the least

power consumption. A detailed description of this file is

not included here since is not directly concerned with the

construction of a realization library. It is mentioned to

provide the reader with a better overview of the design ...

environment in which the realization library exists.

22

22-2

-- . - 9. . . 9*9 * * 9 . . . . . .

III. REALIZATION LIBRARY .

Ross' original implementation provided a library of

hardware and software primitives using the Intel 8080

microprocessor. This library established the format

required to implement subsequent microprocessor libraries.

To date, the addition of a Zilog Z-80 library by Smith

[Ref. 6], and this thesis, using the Intel 8086, are the

only other libraries written. Chapter VI of this paper

outlines a method to eliminate the need to write individual

assembly code libraries for every microprocessor family.

A. LIBRARY FORMAT

The rigid structure of the realization library format

requires the writer to build a library using one of ten

possible formats for each line of the library. These

formats are:

1) primitive title line2) comment line3) calculation line4) attribute line5) call line6) include line7) if line8) begin text line9) endtext line

10) text line

23 .'

.q ..... .-.. °-.....°-.-

Each line of a library begins with a 'v' in column one

followed by a four digit line number in columns two through

five, and text in columns six through eighty. A line may

not extend past column eighty in the current implementation.

The first digit of the four digit line number specifies the

volume number. If more than 999 lines are needed in a

library or more than nine volumes are written, alphabetic

characters can be used.

The first line of the library, line number vxOOO (where

the x is the volume number), identifies the microprocessor

family (intel 8086 cpu), the clock period, any additional

delays in accessing memory, and a monitor constant all used . -

in the timing analysisto determine if a particular design

is realizable. Each of these attributes are separated by

colons (see the first line of the realization library,

Appendix C). Following this line is the library index,

which is a copy of every primitive title line contained in

the library. Line numbers in the index are not consecutive,

but are the actual line numbers of the primitive title

lines' location in the body of the library. However, a

counter tracks each line listed in the index so the first

primitive title line in the body of the library contains its

actual line location from the beginning of the library,

including the index listing. The index of primitives is

followed by an '.end index' line starting in column seven.

24

L7

*. . . . . . . . . .. . . . . . . . . . . .*

1. Primitive Title Line

Title lines define either hardware or software

primitive types. A decomposition of a hardware and software

title line are shown in Figures 4 and 5, respectively. The

hardware title line starts with an 'h' in column 6, a period

in column 7, and the primitive title in column 8 through 17.

If the title is less than 10 characters in length, then

blanks are inserted through column 17. Column 18 contains a

left parenthesis followed by the parameters of the

primitive. These parameters are listed in three variable

length fields separated by colons. If any of these fields

are empty, the colons must still appear. The first field

specified the dummy argument names, followed by a colon,

with the selection criteria in the second field. Argument

names can be up to six characters in length and are

separated by commas. The arguments must appear in the same

order as the actual arguments in the primitive calls.

The selection criteria, in the second field, contains

the minimum and maximum size, in terms of bit count or

precision, of each argument. Each of these values are

separated by commas. These values are used by the

functional mapper to determine if the primitive realization

meets the requirements of the primitive being invoked. This

field is also followed by a colon.

The third field contains the attributes of the

realization. For a hardware primitive, these attributes are

25

A S............. .-. ._-.

*w c

0-S4

45-4 04LI-4 0 -

0 r0

0 4 0

4-4

4-4

a44 I

44

>st 1

0 fn

-I C) 26

C: -4

0)

.4E-4

44.

ITT 1 4 ..z).

J.) 4 4

-4..

4j 0$4 4.q*1 1

$4$U)4J -4

I ) 8 0 V

lio U)

4) -4 0 4034 0) )

>1 x

cui030

-- 44

00))

LA

-4 $4 -0

27

(in order, separated by commas): 1) latency of the device

(delay in accessing), 2) amount of power required by the

electronic components contained in the primitive (in

milliwatts), and 3) the number of chips contained in the

primitive. For a software primitive, these three attributes

are (again in order, separated by commas): 1) number of

8-bit bytes of storage required, 2) the number of clock

cycles required to execute the primitive, and 3) the number

of references to external memory made during the primitive.

Both hardware and software primitives use the next four

attributes as a flag to indicate whether any calculation

('calc') or include ('incl') lines are contained in the

primitive, and the line numbers of the first and last line

of the primitive within the body of the library. If no

'calc' or 'incl' lines are contained in the primitive, a

value of zero is given to these attributes. A primitive

that contains a 'calc' or 'incl' line has a value inserted

into this location that corresponds to the offset from the

first line of the primitive to the line where a 'calc' or

'incl' does occur. If any of these attribute values depend

on formal parameters passed by the calling primitive line,

the attribute is given a negative sign in the title line.

The offset to an attribute ('attr') line which calculates

the actual value of the corresponding attribute in the

absolute value of this negative attribute in the title line.

28

' ° . **. o .•* .* * . . .. . * .. . * . . -. ... . . .*.* ..-0. .- .•.. *. . . . . . . .."-. . . . . ...'.....-. ..-.--".- - ".. .- -. ".. ..-.- ..- . t . " ', "''" 2 ."." "-

-' '..-.-.-. -.-.-... '..-

2. Comment Line

Each comment line begins in column 6 with 'com'.

Columns 9 through 80 can be any desired text, numerals, or

special characters. This line is ignored by the system and

is therefore not written to any output file.

3. Calculation Line

Calculation or 'calc' lines are used in library

primitives to manipulate system global variables. This line

begins with 'calc' in columns 6 through 9. The list of

available system globals and the definition of each is

contained in Appendix B. This global list is a combination

of Ross' original implementation and Pollock's [Ref. 7]

additions for the Intel 8080 cpu, and the additions provided

by this paper. This universal globals list is generated to

provide the design environment with the ability to select

between microprocessor families in order to selected the

appropriate realization to meet timing and design criteria

- .without the need for a separate globals list for each

library. The 'calc' lines assign values to the global

variables during the generation of the design. Calculations

are performed using mathematic expressions with global names

and dummy parameters as variables. Arithmetic operators

available are +, -, , -, and ** and any number of pairs of

left and right parenthesis to force the order of execution

of operators. The operators are interpreted in the same way

as they would be in Fortran.

291-.,"-"2

4. Attribute Line

Similar to the 'calc' line, attribute lines begin

with 'attr' in columns 6 through 9 and are used to calculate

the value of the attributes contained in the first three

parameters of the third field of the primitive title line.

For example, depending on the value of a certain global

variable, a primitive may or may not add a new hardware

component to the hardware realization. This means that a

check would be required within the primitive to determine

whether to include a component or not. The title line would

show a chip count of zero, but following a check of a global

for a certain value signifying the need for the addition of

the component, the chip count would be increased by one

using the 'attr' line (see Figure 6).

5. Call Line

This line is used to provide control within the

library to invoke other primitives. As with other line

items, this line begins with 'call' in columns 6 through 9.

Prudent use of the 'call' line results in the reduction in

the overall size of the library. A 'called' primitive's

text is added to the output listing at the place where it is

called.

6. Include Line

An include line is similar to the 'call' line with

the difference being the included primitive text is placed

at the end of the output listing after all of the other

30 - ' ."

. . . . . . . . . . . . . . .. . . . ..,

Primitive with Flag

(Attributes computed within the primitive)

power number of chips

latency -

h. invert (in,out ,0,0,0,0,1i000,1i010) ..

corn primitive to define ttl invertorif flgnme .ne. 1 skip 2attr pwr = pwr + 60 Flag used to determineattr chips = chips + 1 if component is to be

added.(Body of primitive)

Equivalent Primitive with Attributes in Title Line

power number of chipslatency \/

h.invert (in,out: :0,60,1,0,0,1000,1007)com primitive to define ttl invertor

(Body of primitive)

Figure 6. Example Attribute Line Entry

31.

I-.

•~~~7 72, . .. . . .

primitives in the Formatter input primitive list are

finished. This line begins with 'incl' in columns 6 through

9. The 'incl' line is used mainly to add hardware to the

hardware output listing during the generation of the

software output. For example, the addition of a hardware

I/O port during the addition of the software text that

supports the checking of information at that port address.

Both the 'incl' and 'call' lines must contain the

actual arguments and selection criteria fields, if needed.

Spacing requirements are the same as for the primitive title

line and must be observed. Arguments must start in column

19 preceded by a left parenthesis in column 18 and must be

-' separated by commas and followed by a colon. Another colon

must follow the selection criteria field, if present, and

the line must end with a right parenthesis.

7. If Line

Conditional branching is accomplished within the

library by use of the 'if' line. This line begins with 'if'

in columns 6 and 7 followed by a mathematic expression, a

relational operator, .ne., .gt., .ge., .1t., or .le.

(meanings as in Fortran), another mathematic expression, and

a skip instruction to by-pass any number of lines forward or

backward from the 'if' line within a primitive.. The format

for this statement is:

if <math exp> <rel op> <math op> skip <# of lines>

32......................... ~ . ..... .... .*.*.. .- . • .

If a backward skip is required a negative value is used for

the "# of lines" argument. A skip backwards includes the

'if' line executing the branch and the line to be executed

upon completion of the skip. This process is shown in

Figure 7. An unconditional branch can be invoked by using

the skip portion of an 'if' line.

8. Begin Text Line

This line precedes the actual lines of text that

appear in the output listing andin combination with the

'endtext' line brackets the text of the primitive. The line

begins with 'begin htext' for a hardware primitive, and

'begin stext' for a software primitive, in columns 6 through

16. No other characters appear on a begin text line.

9. Endtext Line

This line begins with 'endtext' in columns 6

through 12, for both hardware and software primitives, and

in combination with 'begin text' marks the end of a text

listing that is destined for an output file. Any number of

'begin text' and 'endtext' lines may appear in a primitive,

but they must be used in pairs.

10. Text Line

A text line is free-form and allows the library

writer to format lines of text between 'begin text' and

'endtext' in any way that will later be compatible as

hardware and software output listings. These lines contain

33

.*. * * *.~. . **.* ~ . . *** ** .* .*** 'o~ ~ o° -

aa

' 4

0

44.4

~ 04

4J 04

a': 04

4' - - -I1.0,4 .1 I l

34

x%

.7.7 77- 7. w--v----- V

volume and line numbers, therefore the only restriction is

to limit the text to columns 6 through 80. All text

contained in text lines will be copied to the output listing

exactly as written with two exceptions. Variables enclosed

in pound signs (#) are interpreted as a call to a system

procedure or function. The corresponding procedure will be

implemented to generate character strings for the output

listing. An example of this is the use of the #IDSEC#

function in the primitive s.heading of Appendix C. This

copies the lines of the identification table from the input

file to the output listing. The other exception is the use

of dummy arguments enclosed in brackets '<' and '>'. Each

time a dummy argument is encountered in a text line enclosed

in brackets, the current value of that argument is written

to the output listing in the location specified in the text

line.

35

p' 35

* :.

IV. 8086 LIBRARY IMPLEMENTATION

The use of the Intel 8086 16-bit microprocessor is a

logical extension of the currently available realization

libraries to CSDL. Due to the similarities of the 8080 and

Z-80 microprocessor architecture and instruction sets, a

good comparison of execution speeds of a given design is

* easily done. In some cases, for example, a control system

realization may not be possible with the slower 8080 cpu,

but may easily be implemented by the Z-80 or 8086. The

variables of cost and power consumption must also be taken

into account to make a final decision as to the ?best'

*realization to be used (recall that these factors are part

* of the design criteria section' of CSDL).

A. MEMORY ORGANIZATION

Figure 8 shows the standard memory map of the 8086.

* Memory organization used in the 8086 realization library is

opposite from the previous two libraries. Whereas the 8080

* and Z-80 libraries build the ROM (instruction) area from low

to high memory locations and RAM (data and stack) area from

high to low memory locations, the 8086 builds RAM from low

to high with ROM stepping from high to low in 6'4K byte

steps. Stack RAM is isolated from data RAM by use of the

segment registers, discussed later in this chapter.

36

loiclexesino....u..tl..albl eaiato

FFFFFH

Rest Bottra FFFFOH -Starting Address on Reset

Reserved Locations forInterrupt Pointers(Addresses O0000H-OO3FFH)

64K ByteI/O Space

00O3FFH

~)OOH

L

Figure 8. Standard 8086 Memory Organization

37

Realization library memory organization is illustrated

in Figure 9. Stack memory occupies the lowest 1K of RAM•

followed by the data RAM which is allowed to increase in

size up to the lower limit of the ROM area. This

configuration chooses to take advantage of the instruction

pointer (IP) being set to address FFFFOH upon microprocessor

reset and the default value of the code segment register

set to the highest 64K byte block of memory. Instructions

are written into ROM by stepping to the lowest address of a

64K byte block and then incrementing addresses for each

I. succeeding instruction. At the highest address location in

any 64K byte block, a JUMP instruction is inserted to jump

to the lowest address of the next lower 64K byte block of

memory (see Figure 10). By keeping instructions in high

memory, there is no overhead associated with manipulating

segment register values that would be required to embed

instructions in the middle of what would otherwise be data

or stack memory addresses. Therefore, instruction ROM area

remains in the higher memory locations. However, this

method only allows for a code section 65516 bytes in length

in this library's implementation. This is due to the

inability of the design system to check for the current ROM

pointer value during software file generation and evaluate

the 'stuffing' of a jump instruction in the last three bytes

of the current 64K byte memory block. A method to evaluate

38

I-............... .. ~..-...... ........ ....

. . . . . . . . . . . . . . . . . . .. . . . . . . . . .*. .°

VSee Figure 10. for explanation of JUMPS

______________FFFFFH

4 Starting Address at CPU RESET(FFFFOH)

4 Code Segment (ROW) growsdownward

64K Blocks

I Data Segment (RAM) growsupward

I-.. 1KStak Sace1K /O pac

Figure 9. Memory Organization in the 8086 Library

39

~bid"

FFFFFH

- . JUMP FFFFOF StartingJUMP Address

Instructions !Vincrease inaddress. Atthe top of each

-jump 64K block, a

JUMP is insertedto force code

to sart in theI lowestaddressof the preceding

64K Blocks JUMP 64K block.

-JUMP

Figre10.Inertonof U1P Istucton

0

40Z 2

- - .*"- --. -.7

a primitive's assembly code line by line is necessary to

execute this procedure, since a primitive may exceed a 64K

byte memory boundary partially through that primitive's

code. An alternative to this method would be to allow the

system to delay the binding of ROM addresses until the

entire instruction code has been generated. The appropriate - *:number of 64K blocks of memory could then be generated for

the hardware output listing. Once this is done, the address

of the lowest block of memory may be inserted into the JUMP

instruction located at FFFFOH using the system equates (e.g.

sysl4) found at the beginning of the assembly heading. This

would then allow an uninterrupted, linear address space for

use by the IP without the associated overhead of adding jump

instructions at the high address of each 64K byte memory

block. A third alternative would be to arbitrarily

partition memory into two 512K byte segments, one for code

and one for data/stack.

With program code in high memory, the stack and data

RAM sections are put into the lower addresses. A stack area

of 1K is established in the first 1K of memory. Immediately .

following the stack area is the data area. This area is

allowed to grow to any size, up to the ROM area. For very

large programs where data RAM and instruction ROM addresses

approach each other, a scheme must be incorporated to ensure

that neither of these areas will occupy a portion of the

141

same 16K byte block of memory. Figure 11 shows how this

conflict is resolved. Current implementation does not .

consider this possible error condition.

1. Segment Registers

Addressing the one megabyte of memory available to

an 8086-based system does not follow the standard

microprocessor addressing convention. A 20-bit address bus

is required to support the entire address space. Register

structure within the 8086 is only 16-bits wide. To

accomplish the construction of a 20-bit address, a second

summer is used in conjunction with one of four segment

registers. These segment registers define a base address

within a 64K byte block of memory which allow code, data,

and stack values to be separated in physical memory. The

capability exists to fully or partially overlap segments

within the physical memory space by storing the appropriate

values in the respective segment registers. As is shown in

Figure 9, the stack and data 64K byte segments overlap with

the exception of the lowest 1K of memory. An "extra"

segment can also be defined for whatever purpose the

programmer deems necessary. This extra segment is not used

in the construction of this library. Figure 12 illustrates

how segment registers define base addresses. Figure 13

shows how these registers are used for computing address.

A 16-bit address in the IP, called the logical address, is

42

**" * . .. .""2

",.Wse porti . .. -

ROM (High to LowAddresses)

Wase portion of.-. o

16K Block Last Line ofBoundries - Program

Last 16K, Block ofROM

Highest AddressAvailable to RAM

RAM (Low to HighAddresses)

S

Figure 11. Overlapping of ROM and RAM Areas4

'.---.>-T

43 *- -_.-

FFFFFH

-Code Segment

-Stack Segment

Data Segment

Segment Registers

Code

-Extra Segment

________________I OOOOOH

Figure 12. Definition of Base Addresses by Segment Registers

44

*,'.** .0

15 0

Base Address/(Segment Register) -i/

/ Shift

194 4/

16 x 0 0

(Isruto Pontr I

Locical Address

FIsrcinr Pointer)nga20Bi hyialAdrs

I I 45

7 ii- ~- °-."...

added to the value contained in one of the segment

registers, the base address. Prior to the addition, the

base address is multiplied by sixteen, which effectively .-.--

performs four left shifts and 'stuffs' zeros in the least

significant nibble. The result of this addition produces a

20-bit real address that is subsequently placed on the

address bus. On microprocessor reset, default values for

the segment registers are loaded, but may be changed at any

time by the program or upon assembly if the code, data, or

stack regions extend beyond their respective 64K byte

boundaries.

Changing the values of these registers within the

primitives of this library is not done, with the exception

of stack and data segment initialization. As program size

increases, there exists a requirement to change the values

in the segment registers as code or data cross 64K byte

boundaries. The process of determining when to load a new

base address into a segment register is transparent to the

programmer, since this task is accomplished by the

assembler.

2. Input/Output Memory

Input/Output memory is implemented in the 8086 as a

separate 64K byte block of memory located in the lowest

address space. In this implementation, I/0 memory is

restricted to the lowest 1K byte of memory. Although

46

%! %....:

.. .T.. 7

arbitrarily chosen, this amount of I/0 should accommodate

even the most I/0 oriented design. Any requirement to

increase I/0 memory can easily be accomplished by

substituting a new base address for data RAM, thus allowing

the stack to grow into the current data address space.

3. Interrupt Handling Considerations

Current implementation of CSDL does not support an

interrupt driven, real-time controller. Therefore, the 3

interrupt (INTR) and non-maskable interrupt (NMI) pins are

tied to ground. Memory space that is normally reserved for

interrupt handling in low memory of the 8086 is ignored and

has been designated as the lower quarter of stack memory.

If further research allows for interrupts in controller

system generation, all that is required to alter the current

memory map convention is to shift both the stack base

address and the data base address values to an address area

above the highest interrupt address. Without changing the

data segment, a configuration allowing all 256 interrupts

and a stack size of 768 bytes could be implemented by only

shifting the stack base address to 256D. @

B. OPERATING SYSTEM CONSIDERATIONS

The system monitor constructed from library primitives

forms the operating system of the design realization (more

on the monitor later). No outside intervention in the

operation of controllers produced by this CAD system is

147

" ° °. P.

anticipated. Therefore, all memory is available for use

by the code, data, and stack only.

C. HARDWARE DESCRIPTION

A large memory space combined with a high speed

microprocessor makes even the simplest of hardware

configurations generated from this library chip intensive.

The composition of the library is based on individual IC's

and their eventual integration onto a printed circuit or

wire-wrap board. This follows the convention of the

original 8080 library. It becomes possible then, to make a

direct comparison between the two libraries when attempting

to make a design criteria check. This differs from the -7

prototyping scheme used by Smith in the generation of the

Z-80 library.

1. 8086 Microprocessor and Support Chips

To allow for faster performance at the hardware

level, the Intel 8086-2 8MHz microprocessor is used.

Although a faster version, the 8086-1, is available which

runs at 10MHz, the choice to remain at 8MHz is based on two

requirements. First, and foremost, is memory compatibility.

The option to obtain memory devices from other manufacturers

was available, but for power requirements and overall system

integrity, the use of Intel produced memory chips was made

(discussion of memory follows later in this chapter).

Secondly, the choice to proceed with the 8MHz version is

4~8 • -C8"'"

* * ***~a**% .- *.* *** * ~ ~ ***~ /* -. * . . . .*- :. -'

cost. In the long term, however, with the cost of most

popular integrated circuitry decreasing, this point may be

of little consequence.

Two modes of operation are available with the 8086 cpu.

These are the minimum and maximum modes. The minimum mode

is used for single processor, minimum chip count applica-

tions. The maximum mode allows multiprocessing and

I attLached co-processor systems. All that is required to

configure the processor into one or the other of these modes

is to tie the MN/MX pin to + 5 volts for minimum mode, or

.tie the same pin to ground for maximum mode. Depending on

the mode chosen, the 8086 will issue all control signals

*(minimum mode) directly to memory and peripheral devices, or

issue status signals to a bus controller (maximum mode)

which, in turn, are decoded into the appropriate control

signals. The status signals issued by the maximum mode 8086

provide the information necessary for a local bus. This

local bus is used to provide the needed information and

control to attached co-processors in a multiprocessing

Lenvironment. Figure 14 illustrates the 8086 configured in

the maximum mode. The maximum mode is the mode chosen to be

implemented in this library. This is done to allow the use

of an attached numeric data processor (ND), the 8087. To

implement the 8087 ND?, current procedure requires the

system designer to change the value of the fit flag in the

sGLOBALS.DAT file from a zero (0) to a one (). This flag,

49

whci un r ecddit h prpraecnrl"1-[

~"...-Y,

Ili r"8 mo

&iEEE01'

C4a...LLLLLL aU)N

N3

OD ID

00 >

LE- -4C

ALx

C.CD

500

in turn, calls the 8086 CPU primitive that is configured for

exchanging request/grant and queue status signals from/to

the 8087.

Timing for the system is provided by an Intel 828,4

clock generator, running at 8MHz. This device requires an

external 3-times, or 24MHz, crystal for proper operation. In

case the option to use the 8087 NDP is chosen, the clock

generator must provide a 5MHz frequency using a 15MHz

crystal. This is provided for, within the structure of the

hardware primitive.

To ensure the proper power levels are available at the

data ports of memory, two Intel 8286 octal bus transceivers

are used as line drivers. Each transceiver provides 2-way

drive for the upper and lower bytes of the 16-bit data word.

Due to the multiplexing of addresses and data on the

external pins of the 8086, a requirement exists to latch the

address to some other device to allow the data to be made

available at some of these same pins. Three Intel 8282

octal latches provide this latching mechanism.

2. Memory and Memory Support Chips

Two types of memory are required to support any

system generated. First is some form of ROM -hat will

subsequently receive the instruction code from the software

listing. Second is the RAM to be used for data storage and

as stack memory as well as RAM for the I/0 memory space.

51

, , , , - !,., ..°% ,. ,°•• - .. , - ', , •".'."• . - , . " .' , , ' .,,' ' ,' ,% .•, • -°° 51." .%

.. ., , ... . .° • ° o °o "e". " °. °e ° % ° ° 0 . .o. ,.e , oo o . .. ..

I

The ROM used in this library are two Intel 27128 16K

by 8-bit ultra-violet EPROM's, one for the upper byte, one .

for the lower byte for every 16K block of memory needed for

the sy stem.

Data and stack RAM use sixteen Intel 2167-10 16K by .

1-bit static RAM, again, for every 16K block of memory

required. This particular memory device is selected to

meet the memory access speed requirements of an 8MHz CPU .

without the necessity for the insertion of wait states.

Although the instruction queue in the bus interface unit of

the 8086 contains prefetched instructions and data, thereby

eliminating most of the requirements to insert wait states,

a fast memory has been chosen to meet any immediate memory

access requirement (e.g. those imposed by jumps or branches).

No other memory options are available, even if the slower

5MHz clock is selected for use with the 8087 NDP.

Input/Output RAM use four Intel 2142 1K by 4-bit

static RAM as direct I/0 addresses that are available in

the 8086.

All ROM and RAM chips are supported by AMD 74LS244

octal three-state buffers as address line drivers integrated

into the design to ensure that no single address line has a

fan-out of more than 15.

Since 16K blocks of ROM, and data and stack RAM are

used, only address lines 1 through 14 (Al - A14), are needed

to select any one byte or word. The full memory is made up

52

"-o'.....

of 32 of these 16K blocks. Therefore, a memory decoding

scheme has been implemented to select i-of-32 16K memory

banks. Eight Intel 8205 l-of-8 binary decoders are used in

banks of four to select the even and odd byte of the

address. The decoders are all driven by address lines A15

through A19 and address zero (AO) for the odd address byte,

BHE for the even address byte, with both AO and BHE active

for an aligned 16-bit word (see Figure 15).

3. 8087 Numeric Data Processor

When used, the 8087 NDP extends the register and

instruction sets of the 8086 CPU for the purpose of

high-speed floating point operations. The hardware

implementation of the 8087 NDP is the standard local bus

arrangement as shown in Figure 16.

4. Other Hardware Support

Hardware support to meet various possible design

requirements is included in the library. Many of the

discrete components were taken from Ross' and Pollock's

original works and are included in the 8086 library for

continuity. A complete list and description of the

conponents are contained in Appendix A.

D. SOFTWARE DESCRIPTION

A listing of the software primitives and their-..o -

descriptions are contained in Appendix A. Items of particular

interest are discussed in more detail in this section.

53

~. . . .. . . . . . .- -... *--- ----- --.--- ,--*- .. % .. "

A17__ _ _ _

A18 _ _ _ - _ _ _ _ _

A19______ _

Chip Select Chip Select(upper) (lower)

8205 8205

32 32

A9 B9l

54 42

READY - - Interface IRESET - -2 Support

j IC's

p(See Figure. 14)

8086NPU

AO-A15

READY/

RT

Loca Bus

S/gnal

FigureQS 16. 807NmrcDt roesro oa u

55T

* . * *.. .*:~*~** . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

1. Monitor Section

The control or monitor section of the software

primitive list follows the convention found in the 8080

library. As shown in Figure 17, the monitor consists of a

pointer, a table and a section used to test each contingency

prior to the execution of its associated task.

A pointer is used to direct the checking of every

contingency described in the system's input file. Each

contingency is listed in the monitor table in order of

actual execution. The pointer's value is the address

associated with the current instruction being executed in _

the monitor table. After each contingency/task check and-

possible task execution, the value of the pointer is

increased to point to the next address in the monitor table.

Once the monitor table has been traversed completely, the

supervisor is executed, thereby resetting the v&lue of the

pointer to the address of the first instruction in the

monitor table. This process continues indefinitely until a

fatal error occurs or power is turned off to the controller.

2. Calculation Section

Most arithmetic primitives are straight-forward

applications of the available 8086 mneumonics. Unlike this

libraries predecessor's, where multiply and divide routines

contained many lines of code, the 8086 has nmemonics that

made these otherwise rigoruos tasks, a simple, single line

of code using the various types of the multiply (MUL) and

divide (DIV) instructions.

56

monitor section-

@spvsr: mov AX,@table ;initalize table pointermov @pntr,AX ;to beginning

@mlop: mov BX,@pntr ;monitor loopinc BXinc BXinc BXmov @pntr,BXimp BX

-data section -

org 1000 ;ram address pointer@pntr: dw 0 ;table entry address pointer

org 984171 ;rom address pointer@table: dw @pntr ;table header (define top)

imp @texl ;test for contingency exlimp @tex2 ;test for contingency ex2imp @tlstc ;test for contingency lstcimp @spvsr ;go to start of table

@texl: call @exl ;execute contingency code exlcmp exl,l ;compare contingency result to.-

,true flag (1)jnz $ + 5 ;if false do not execute stflgimp @stflg ;execute task stflg if trueimp @mlop ;return to monitor

@tex2: call @ex2 ;execute contingency code ex2cmp ex2,1 ;compare contingency result to

,true flag (1)jnz $ + 5 ;if false do not execute flag2imp @flag2 ;execute task flag? if trueimp @mlop ;return to monitorend ;software listing complete

Figure 17. Example Monitor Section

57

-- '7:I7"'-'L';'-'I'L--;'-° '-'- ".'-5"-"-- ..- ..- ............"...-.-..- ...... .....".................--...,.-.....-....--......-.-. . ..... " ....-j ..--

a. Arithmetic

All simple arithmetic operations, add, subtract,

multiply, and divide, are implemented for 8- and 16-bit

integer arguments.

(1) Variations in Simple Arithmetic Routines.

Along with the simple arithmetic operations, several error

checking primitives are available. These are currently used

by the system designer as line items in the intermediate

primitive list. Once listed in the intermediate file, that

specific primitive will be taken from the realization

library and used in the software output listing provided

that all timing requirements are met. In the future, the

designer that uses this system will be queried by the input

program whether to incorporate error checking in any or all

routines. In all cases, primitives that contain error

checking require more memory and take longer to execute than

those which have no checking. Many circumstances that arise

in the use of a fixed length word or byte as the storage.

size, provide more than enough bits to cover the range of

values of possible arguments. If the designer believes

that, for example, an 8-bit add would not cover the possible

values of the addends, and the actual result is an important

value, as opposed to selecting just the most significant

byte for example, then the 16-bit addition without error

checking could be used without a penalty of memory used or

execution time to implement the addition. An important

58

..... ... * *.. ....* **,.*

point here is that error checking and subsequent correcting,

in most cases, substitutes the largest value, either

negative or positive depending on whether overflow or

underf low occurred, as the value of the result of the Sarithmetic operation.

Multiplication operations provide several

options for the designer's use. These options include 8- by

8-bit or 16- by 16-bit multiplies with options to return an

unchecked 8- or 16-bit result, respectively. Also 8- by

8-bit or 16- by 16-bit multiplies, returning a 16- or 32-bit

result. Another option is the multiplication of the same

size values and returning an unchecked upper or lower byte

or word as the result. Appendix A contains a listing of

the software primitives as implemented in the realization

library of Appendix C.

b. Floating Point

All floating point operations use the Intel

short real format. This format is composed of 32-bits with

the sign of the mantissa in the most significant bit,

followed by an 8-bit signed exponent, and finally followed

by a 23 bit normalized mantissa, where the most significant

bit of the mantissa is implied to always contain a one. The

range available in this format is 8.43E-37 to 3.37E38 [Ref.

8]. To be used as data within a floating point primitive,

the library assumes that the 32-bits of the floating point

variable passed from the primitive list will be in the

59

.p..

proper double word format, as described above, with the most

significant word in the lower storage address and the least

significant word in the next higher address. For

compatibility with the 8080 library, this data is labeled in

byte format, from MSB to LSB, as exponent (exp), high .

mantissa (hmant), middle mantissa (mmant), and low mantissa

(lmant). This method of storing a floating point variable

is also compatible for use with the 8087 NDP. Figure 18

illustrates the composition of a floating point variable

using this format.

(1) Use of the 8087 Numberic Data Processor.

Current implementation of floating point arithmetic makes

use of the 8087 NDP only. No stand alone software for

floating point manipulations are included in the library.

However, provisions have been made to incorporate software-

only floating point operation by writing primitives to pack

and unpack floating point variables into and out of the short

real format for ease of manipulation within the arithmetic

routines. The unpack primitive separates the mantissa sign

bit, the exponent, and the mantissa into individual bytes,

words or double words and is then stored into a designated

scratchpad area in RAM. Upon completion of a floating

point operation, the values are packed into the proper format L

prior to being stored as the result. The current implemen-

tation must pack and unpack variables each time they are

606 0 "'%:1

J.4.

.54

4) 404 0

-A00 C04

V44

4 0

0 0 .-4

r04 0

4Jt r-4

1.0 0N

.0 4J N

4) ~ tU4-) (D I=-1

04 Id0

r. 0

4)4 C

?A-4 01 5 - (0-4- . .

0 40

00

4.4~ 4) 61

used, since there is no method to keep track of variables

that have been previously used during the execution of a

systems design.

The choice for using the 8087 NDP for all

floating point operations, as shown in Figure 19 [Ref. 9],

is speed. Without the 8087, a single precision floating

point addition would require about 6.2 milliseconds to

complete in an optimized environment. This takes into

account two single precision loads, one floating point

addition, and one single precision store. This is in

comparison to 53 microseconds that an 8087 NDP would require

to accomplish the same operation. This is a betier than a

100 times improvement over the 8086 software floating point

addition. In many cases, for a real-time controller design,

6.2 milliseconds will not satisfy speed requirements for

multiple floating point computations.

Prior to any 8087 floating point operation,

the NDP must be initialized by the loading of a control word.

The control word provides programming options for allowing

or disallowing the recognition of interrupts, precision

specification (data type selection), rounding control,

infinity control and exception handling options. The '

control word used in the initialization of the 8087 NDP in

the library are selected as follows:

62

**-..*% ********%~** .'.s.,."*...

7. 7 .7 7

• .

APPROXIMATE EXECUTION TIMEINTRUCTION (MICROSECONDS)

Aon

ADD SIGN-MAGNITUDE 14 1600SUBTRACT SIGN-MAGNITUDE 18 1600MULTIPLY (SINGLE PRECISION) 19 1600MULTIPLY (DOUBLE PRECISION) 27 2100DIVIDE 39 3200COMPARE 9 1300LOAD (DOUBLE PRECISION) 10 1700STORE (DOUBLE PRECISION) 21 1200SQUARE ROOT 38 19600TANGENT 90 13000EXPONENTIATION 100 17100

Figure 19. Speed Comparison Between 8087 NDP and 8086

63

4771

~~* * * * . * * ... . X . .. * .. * * *** * -*** * ~*** *.* , - +.::.

1) interrupts disabled2) short real data type (24 bits of precision)3) round to nearest value or even4) projective infinity control ""

Items 1 through 3 are self explanatory.

Item 4, projective infinity control, provides the control

necessary to close the system of numbers in the 8087.

Although affine closure provides more information than

projective, there is a greater chance for misinformation

by using the affine closure mode if, for example, the

reciprocal of plus or minus zero is computed. Figure 20

shows that the result of this computation would give two

different results for the same values in the operation.

The projective mode never returns misinformation and is

therefore the suggested mode for use when the values of an

operation are not known in advance. [Ref. 10]

Due to the serial nature of the processing

environment of CSDL and the 8086/8087 structure within the

environment, optimal use of the processing group is

sacrificed for the stand-alone speed capabilities of the 8087.

As shown in Figure 21a [Ref. i], the 8086 in a co-processing

environment with the 8087 is afforded the ability to

continue fetching and executing its instruction stream while

the 8087 is performing a more involved floating point

operation. Once the 8087 has completed its task, it

interrupts the 8086 just long enough to store the result of

its previous computation. In this library implementation,

614

6.. . . . . .7 ...-f. f- f * t t . . . . - °°

ft ft• ft .ft ft• . ft- ft o.• . f% .. f-t*

II

0-0 +oC

0

Projective Closure

Projective closure provides a completely closedset of numbers, thereby never providing omisinformation.

variables are not always known

* . ., -..

p...Fiur 2.PoetvvesAfine Closure :: :

65

. .. . .

. .-. .

as the environment of system design forces serial

calculations, the 8086 is immediately dependent on the

outcome of the 8087's current calculation. This requires

the 8086 to enter an unproductive idle state as shown in

Figure 21b. The overall calculation speed of this system is

increased, however, at the expense of adding 8087 NDP

hardware for floating point operations. A tradeoff in

overall system speed must be made when the 8087 NDP is used,

since the 8086 CPU must be slowed to a 5MHz rate with the

addition of the 8087 hardware.

(2) Emulation of the 8087 Numeric Data Processor.

If speed is unimportant in a particular realization which

contains floating point operations, and the decision not to

use the 8087 NDP is made, an alternative to creating complete

software-only primitives for floating point calculations is

the use of the 8087 emulation package that provides the

software equivalent of the 8087. With this package installed,

no difference exists between a routine that will run on the

8087 or 8086 emulation of the NDP. The decision to use the

8087 hardware is made at link time, with no further re-assembly

required to produce the proper 8086 code [Ref. 12]. All that

is required, in this case, would be to substitutethe values

for execution cycles in the software primitives of the library

to ensure an accurate timing analysis is performed prior to.o * S***-

the generation of the system design listings.

66

77-",-

Figure 21a. Normal Co-processing Environment

*T . .* ,_

ESCape codes signal co-processors that the next .I

several bytes of instruction is co-processorexecutable code.

8087 Exit 8087 completes its

process and signalsE Cwaiting 8086 --:

8086 continues 8086 continuesprocessing in processing inco-processing singleenvironment processor

environment

Figure 21b. Co-processing Environment in 8086Library

88Ext 8087 signals 8086•.-.

-.. :':" ~of its completion '":":-.

8086 immediately 8086 continuesgoes into wait state in single

processorenvironment

"- Figure 21. Use of ESC Codes in a Co-processing Environment

67

. .- .----,..

3. Logical Functions

Included in this library is a complete set of

software primitives to perform logical operations. These

include 8- and 16-bit and, or, not, xor, eq, it, le, gt, ge,

and ne. All of these primitives are compatible with those

in the 8080 and Z-80 libraries.

4. Other Software Functions

Among the remaining primitives contained in this m.library are program control and input/output control.

Program control includes those primitives required to

establish storage areas for constants, set up variable

storage areas, define line labels to be used for conditional

jumps, jump on true or false, as well as primitives to set

up for-loops, while-do loops, and establish and call

procedures. A fixed-length delay primitive allows the

designer to specify a delay, to wait for an input for

example, in increments of 5 microseconds for both the 8MHz

and 5MHz design versions.

Input/Output control provides for 8- or 16-bit

input/output bytes or words. The software primitives are

taken from the original 8080 library.

68

, "o °

V. TESTING OF SOFTWARE PRIMITIVES

& A. PRIMITIVE TESTING VEHICLE jSoftware primitives for this library were developed and

tested on the Naval Postgraduate School Mathematics

Department's North Star Computer, Inc., "Horizon" computer

system, augmented with an Octagon Computer System's "Octagon

Board 8/16" plug in processor board. This S-100 board gives

to the normally Zilog Z-80 based "Horizon" an 8086/8087

processor capability. The 8087 Numeric Data Processor is

not currently installed on th 8/16 board. Those primitives

that make use of the 8087 NDP are, therefore, not

operationally tested. Primitives that use 8087 code are

taken in part from examples given in the book, 8087 by

Richard Statz [Ref. 13]. Although these 8087 primitives may

not function properly, if implemented, they are included as

a starting point for future investigation.

In conjunction with the use of the "Horizon" computer,

the testing environment operated under CP/M-86. Ai.I

programs written for verification purposes to be used as

primitives in this library were assembled using ASM-86. It

should be noted that other assemblers may have directives

that do not equate to those directives used in this library,

L' and any assembly errors may be due to the incompatability of

these directives.

69

B. TESTING OF CONTROL LOGIC

All primitives that contain 'if' lines, and therefore

have conditional branches, have been tested for all possible

branches. For example, the addition of an 8087 NDP requires

that the clock period attribute be changed from 0.125

microseconds to 0.2 microseconds, the crystal used with the

8284 clock generator be changed from 24MHz to 15MHz, and

all the associated changes be made to accommodate the slower .,

overall system speed. In this example, the FLT global

variable is used as a flag to signify the addition of the

8087.

70

....................................................

*q~ .*... . * * * . ~ ..- *.* **%*.* *.°. %

*"°

VI. RECOMMENDATIONS AND CONCLUSIONS

, A. RECOMMENDATIONS

1. Universal High-Level Language Realization Library

A large scale reproduction of basic software 7

primitives required to create another realization library is

far too labor intensive to be performed for each new

microprocessor family. The following paragraphs explain how

this section of library creation may be avoided.

A single high-level language library created by

developing software primitives, would provide instant

compatibility between different microprocessor families.

Once a high-level language library is written, the use of a

cross compiler/assembler could be used to construct the

actual library used by the timing analyzer and formatter.

Cross compilers are currently available to convert C

language programs to any number of target microprocessor

machine code programs [Ref. 141. The hardware portion of

the new library would still be constructed component by

component. After the cross compiler produces an assembly

language/machine code file for timing analysis, the

appropriate hardware primitives are appended to the assembly

language file. Another volume of timing and size primitives,

constructed to match the microprocessor, would provide the

number of cycles and bytes required to realize a given

71

*. . . . . ... *.... . . . . . . . . . . .. . . . . .* *. ..... *...-. . - . . .n--4---* ** * ** . *

* .... . - .7 • - - ° - ° . .

design. This timing/size companion volume would simply be

a rewritten version of the new microprocessor's index of

mnemonics, usually provided in the processor programming

guide. An example of this process is shown in Figure 22.

2. Hardware Wiring Diagrams

The current method to implement hardware components

is left to the realization library author. Although pin-out

descriptions are simple, several areas in the hardware text

section is free-form. With the potential to diagram the

hardware realization to a high resolution graphics terminal,

a more structured format in the hardware section is suggested.

B. CONCLUSIONS

The integration of the Intel E086 microprocessor

realization library into the overall design capabilities of

CSDL offers comparable primitives to that of the original

works by Ross and Pollock. A universal globals file

(globals.dat), as constructed in this paper, must be

maintained to allow the design criteria section of CSDL to

migrate from microprocessor to microprocessor library to

generate the desired system by meeting the design

specifications. This option was not maintained by Smith in

his prototyping scheme for the Z-80 realization library, and

therefore, his specialized globals are not included in the

universal globals file. Libraries constructed for use by 75

this design system should continue to be produced in order

72

... -** - - . '. . - .. .* .-... .-.f.[-- ..- ' -..-...._. *. . ....

N. 10 w$ 0 r 4 (

$4. .0-- 0 pV 4E-41) no- 4M r

01

E4

1.4to

41844

41"-4

E-0

Q41

09U

$44

rii

-4 04. N $4

'- (d( r4 $rs-

.M 73

to provide a wide range of processor families from which to

choose. With the eventual integration of a "user friendly" .

input method, CSDL will provide an advanced environment in

which to design and implement real-time microprocessor-based

controllers.

'•?A .*

A...

74

d,

a_.

* .~- ~ h ~ .** %** .,~.*. . * *

APPENDIX A

DEFINITIONS OF PRIMITIVES

List of hardware and software primitive functions. Theprimitive definitions preceded by an (*) are from the 8080library that are also used in the 8086 library.

h.adc. * Defines an 8-bit analog to digitalconverter

h.adc2 * Defines a 8-bit processor-controlled analogto digital converter.

H.bufframp * Defines a buffer input amplifier.

h.capac-cer * Defines any value ceramic capacitor.

h.clckctrs * Defines a 16-bit TTL counter for a freerunning clock.

h.conn-al * Defines dip socket used as an analogconnector.

h.conn26sep * Defines a 26 pin flat cable connector.

h.dac * Defines a 8-bit digital to analogconverter.

h.diode-sw * Defines a silicon switching diode.

h.diode-znr * Defines a zener diode.

h.drvr Primitive to implement address line driversas more 16K banks of memory are added tothe hardware realization.

h.eprom Defines the pin-out of an Intel 27128 128kultra-violet erasable programmable read-only memory.

h.ffjk * Defines a TTL flip-flop.

h.follower * Defines a voltage follower.

h.herr Performs a simple check to determine ifROM space overflows into RAM space andprints a warning message if an overflowoccurs.

75

55. C.

h. invert Defines a TTL inverter.

h.ioram Defines the pin-out of an Intel 2142 4kstatic RAM for input/output memory.

h.issuecond ' Defines an 8- or 16-bit condition-typeoutput hardware.

h.issuesepcd * Defines a single bit output. 0

h.issuevent * Defines event-type output hardware.

h.memory Calculates the required read-only andread-write(ram) memory chips requiredto implement the current design. .-

It also assigns the chip select linenumbers generated by the address decoderhardware primitive that is contained in theprocessing unit primitive, h.processor.

h.nand2 * Defines a 2 input discrete nand gate.

h.nand3 *Defines a 3 input discrete nand gate.

h.nand4 * Defines a 4 input discrete nand gate.

h.nand8 * Defines an 8 input discrete nand gate.

h.ndp Defines the pin-out of the Intel 8087Numeric Data-Processor for high speedfloating-point calculations.

h.nprocessor Defines the pin-out of the Intel 8086-28MHz microprocessor for use with an Intel8087 Numeric Data Processor.

h.oneshotl * Defines a TTL edge triggered, retriggerable

one shot.

h.opamp * Defines operational amplifier hardware.

h.opisol2 * Defines a slow photo darlington opticalisolator.

h.optoisol * Defines optically isolated logic device.

h.oscxtal * Defines a modular crystal oscillator.

h.peripdrivr * Defines peripheral driver hardware.

76

* . . . .. . . .~~ % -. %;. *..• .. **..-°-.*.|

h.processor Defines the pin-out of the Intel 8086-28MHz microprocessor for use without anyattached coprocessor.

h.ram Defines the pin-out of the Intel 2167 16k - .static read/write(ram) memory chip.

h.relaydpdt * Defines a double-pole double-throw relay.

h.resmfqtrwt * Defines 1/4 watt metal film 1% resistor.

h.rpack-18b * Defines 8 resistor, 180 ohm each, resistorpackage in a 16 pin dip.

h.rs232conn * Defines rs-232c input/output connection.

h.rs232rx * Defines an rs-232c receiver.

h.rs232tx * Defines an rs-232c transmitter.

h.sensecond * Defines 8- or 16-bit condition-type inputhardware.

h.sensepcond * Primitive to define a single bit inputdevice.

h.sensevent * Primitive to define an event-type input

hardware.

h.striginvrt * Defines a TTL schmidt trigger inverter.

h.support Defines the support IC's required for usewith both variations of the 8086 processor.This includes the following chips:

1. Intel 8284 Clock Generator and driver.2. Intel 8286 Bus Transceiver.3. Intel 8288 Bus Controller.4. Intel 8282 Octal Latch. -4

5. Intel 8205 l-of-8 Decoder.

h.trimpot * Defines a variable resistor of (r) ohms witha 1/2 watt trimpot.

h.uart * Primitive to define a UART.

s.8087cw Routine to load the 8087 control word priorto the first 8087 NDP operation. Thecontrol word specifies:1. Intel short form for floating point

data representation.

77

/.°'

2. Rounding to nearest or even value.

3. Projective infinity control.

s.add Primitive to add two 8- or 16-bit integernumbers.

s.addck Primitive to add two 8- or 16- bit integernumbers with overflow and underflow checks.If an overflow error exists the largestpositive number is stored as the result.On an underflow condition, the largestnegative number is then stored as theresult.

s.anain ' Primitive to define a processor-controlledanalog input.

s.analogin * Primitive to define an analog inputcondition.

s.anaout * Primitive to define an analog outputchannel.

s.and Primitive to perform a logical 'and' of two . -

8- or 16- bit numbers.

s.assign f Primitive to assign an 8- or 16-bit valueof one variable to another variable.

s.assigncons * Primitive to assign an 8- or 16-bitconstant to a variable.

s.clock * Primitive to define a free running timeclock.

s.cons Primitive to define a data constant to beused at program assembly time.

s.div Divide routine for 8- or 16-bit unsigneddivision.

s.end Defines the end of the output assemblylanguage listing.

s.eq Primitive to determine if two 8- to 16- bitintegers are equal.

s.eventmark * Primitive to establish interrupt handlerfor event.

78- .1

s.every Primitive to define dummy function forevery-period statement.

s.exitproc Closes a procedure and resets the associatedcontingency.

*s.fadd Routine to perform floating point addition.

s.fassign Routine to assign the value of one floatingpoint variable to another floating pointvariable.

s.fcons Routine to define storage for a floatingpoint constant in short real form.

s.fdiv Routine to perform non-numeric dataprocessor floating point divide.

s.f ix Routine to convert a floating point valueto an integer value.

s.fixedwait Routine to delay a fixed period of time in-5 microsecond increments for an 8MHz clock.

s.fixedwait5 Routine to delay a fixed period of time in5 microsecond increments for a 5MHz clock.

s.float Routine to convert an integer value intoa short real format floating point value.

s.fmul Routine to perform non-numeric dataprocessor floating point multiply.

s.forcons Defines the start of a constant bounds loop.

s.forend Defines the end of a constant bounds loop.

s.fpack Routine to pack an unpacked floating pointresult of a non-numeric data processorfloating point operation. This puts theresult of a non-numeric data processorfloating point operation back into memoryin short real form. (See s.funpack).

s.fesub Routine to perform a non-numeric data Lprocessor floating point subtraction.

79

• .r - .. - .* ..s .asg-Ruiecoasg. evle-foeflaigd

s.funpack Routine to unpack a floating point argumentprior to a non-numeric data processorfloating point operation. The argument is -stored in short real form prior to -

unpacking. (See s.fpack).

s.fvarset Primitive to establish a scratch-pad inmemory to handle non-numeric data processorfloating point operations.

s.ge Determines if argumentl is greater than orequal to argument2 for 8- or 16-bitnumbers.

s.gt Determines if argumentl is greater than 5argument2 for 8- to 16-bit numbers.

s.heading Primitive to define assembly languagesoftware heading.

s.imull6 Primitive to multiply two 16-bit signedinteger numbers without overflow checkingand returns a 16-bit' result.

s.imul8 Primitive to multiply two 8-bit signedinteger numbers without overflow checkingand returns an 8-bit result.

s.imulexl6 Primitive to multiply two 16-bit signedinteger numbers and returning a 32-bitresult.

s.imulex8 Primitive to multiply two 8-bit signedinteger numbers and returning a 16-bitresult.

s.imuloml6 Primitive to multiply two 16-bit signedinteger numbers with overflow and under- .flow checks. On overflow, the maximumpositive 16-bit signed number is returnedas the result. On underflow, the maximumnegative 16-bit signed number is returnedas the result.

s.imulom8 Primitive to multiply two 8-bit signed !Linteger numbers with overflow and under-flow checks. On overflow, the maximumpositive 8-bit signed number is returnedas the result. On underflow, the maximumnegative 8-bit signed number is returnedas the result. b

80

L,'. %%-;*:% -'.* "-.'-- -. .'-.%., --p "- ' .'-, *. ..-. . -" - -- p. -. '- '.-. . "*-" ".-. "- -". - -"- -" %. * "-

s.imulul6 Primitive to multiply two 16-bit signedinteger numbers returning the upper 16bits as the result.

s.imulu8 Primitive to multiply two 8-bit signedinteger numbers returning the upper 8 bitsas the result.

s.in Routine to set the timed block flag.

s.issuecond Routine to send condition-type output.

s.issueopcnd * Primitive to define an optically isolateddigital output.

s.issuevent * Primitive to send event-type output.

s.jmpf Routine to branch on false condition.

s.jmpt Routine to branch on true condition.

s.le Determines if argumentl is less than orequal to argument2 for 8- or 16-bitnumbers.

s.loc Routine to define a label.

s.lt. Determines if argumentl is less thanargument2 for 8- or 16-bit numbers.

s.main Primitive for software initialization.Sets event, port, rom and ram pointers and -calls hardware processor primitive andsoftware heading primitive.

s.monitor Primitive to define the p2 monitor ascontroller supervisor.

s.mul Primitive to multiply two 8- or 16-bitunsigned integer numbers without errorchecking. Returns 8- or 16-bit results.

s.ne Determines if argumentl is not equal toargument2 for 8- or 16-bit numbers.

s.nfadd Routine to perform numeric data processorimplemented floating point addition.

s.nfdiv Routine to perform numeric data processorimplemented floating point divide.

81*1 .- '

'. -MMIT1717-. -

s.nfmul Routine to perform numeric data processorimplemented floating point multiplication.

s.nfsub Routine to perform numeric data processorimplemented floating point subtraction.

s.ni Primitive to clear timed block flag.

s.nopck Routine to handle overflow and underflowconditions resulting from 8087 NDParithmetic operations.

s.not Performs 8- or 16-bit logical 'not'.

s.or Performs 8- or 16-bit logical 'or'.

s.perform Routine to invoke a procedure.

s.proc Used to define a procedure entry point.

s.relayout * Primitive to define a relay output.

s.restans * Primitive to define a resistance transducer.

s.senscontac * Primitive to sense a contact closure.

s.sensecond Primitive to detect condition-type input.

s.sensevent * Primitive to detect an event-type input.

s.senshotct * Primitive to detect a hot contact.

s.sensopcond * Primitive to define an optically isolatedcondition input.

s.sensopevt * Primitive to define an optically isolatedevent input.

s.start Dummy start primitive.

s.sub Primitive to subtract two 8- to 16-bitnumbers.

s.tabaccp2 Subroutine to add routine access for

contingency/task pair. "

s.tabend Defines the end of the monitor table.

s.tabent Primitive to add an entry to the monitor

table.

82 v.'.'

-------------------:-:.

s.temp * Primitive to define temperature measurementchannel.

s.var Routine to define storage for 8- or 16-bitinteger variable.

s.whend Primitive marking the end of a while-dostatement.

s.whilecon Primitive to generate a while-do conditionsection head.

s.xor Perform 8- or 16-bit logical 'exclusive or'.

, . ...

83

% -%-"-

. . . . . . . . . . . . . . . . . .. . ... '-. . ....-. . . . . . . . . . ': -. . . .. .

APPENDIX B

LIST OF GLOBAL VARIABLES

The following global variables list is used by therealization library given in Appendix C. This list incor-porates all the global variables used in the 8080 and 8086libraries, allowing compatibility between the two and thusnot requiring any user intervention in system choice ofmicroprocessors selected during system generation. The valuein parenthesis following each variable is its initial value.

CN (0) Counter for capacitor reference designator.

CNT (0) Counter for use during calculation in thefixed wait primitive.

CRN (0) Counter for diode reference designator.

CWORD (0) Flag for loading 8087 NDP control word(8086 Library bnly).

DIV (0) Flag indicating inclusion of divisionsubroutines.

EVADDR (0) Event address.

EVPNT (0) Event pointer.

FLT (0) Flag indicating the use of floating pointprocessor.

ICN (0) Counter for integrated circuit referencedesignator.

INE (1) Element counter for multi-element invertor.

INN (0) Reference designator for multi-elementinvertor.

INPORT (0) Pointer to next available input port.

ISCE (1) Element counter for bit slice output port.

ISCN (0) Reference designator for bit sliced outputport IC.

ISCP (0) Pointer to bit sliced output port.

84

L''

' . . ,,-._.... . . : . . ,-. .-.... .. . . . . , ,. . ,. . . ., . . .. , .,. ., -' . . -.. *.. . .. 2 ,<

ISNE (1) Element counter for multi-element Schmitt-trigger invertor.

ISNN (0) Reference designator for multi-elementSchmitt-trigger invertor.

JAASE (1) Element counter for bit sliced I/Oconnector.

JAASN (0) Reference designator for bit sliced I/Oconnector.

JKE (1) Element counter for multi-element JfKflip-flop.

JKN (0) Reference designator for multi-element J/Kflip-flop.

JN (1) Counter for connector reference designator.

KN (1) Counter for relay reference designator.

LATFLG ('0) Flag for pulse event inputs requiringlatching.

MEM (0) Pointer used in ROM/RAM partition.

MPRTA (0) Pointer to data I/0 port of hardwarefloating point processor.

MPRTB (0) Pointer to control I/O port of hardwarefloating point processor.

MUL (0) Flag indicating inclusion of multiplicationsubroutines.

NBE (1) Element counter multi-element 2 inputnand gate.

NBN (0) Reference designator for multi-element 2input nand gate.

NCE (1) Element counter for multi-element 3 inputnand gate.

NCN (0) Reference designator for multi-element 3input nand gate.

NDE (1) Element counter for multi-element 4 inputnand gate.

85

°. . " . -\- . - . - X .-. . .. °. ° .* . . . ,*. '

NDN (0) Reference designator for multi-element 4input nand gate.

ODT (0) Flag for use of debugging package

(8080 Library only).

OUTPRT (-I) Pointer to next available output port.

PDE (1) Element counter for multi-elementperipheral driver.

PDN (0) Reference designator for multi-elementperipheral driver.

RABE (1) Element counter for multi-element resistor

pack.

RAMPTR (0) Pointer to next address in RAM.

RN (1) Counter for resistor reference designator.

ROMPTR (0) Pointer to next address in ROM.

RPN (1) Counter for resistor pack referencedesignator.

RSDE (1) Element counter for multi-element RS-232Cdriver.

RSIC (0) Reference designator for multi-elementRS-232C driver.

RSRIC (0) Reference designator for multi-elementRS-232C receiver.

RSRE (1) Element counter for multi-element RS-232Creceiver.

SCRTCH (0) Scratch register.

SSCHE (0) Element counter for bit sliced input port.

SSCP (0) Pointer to bit sliced input port.

ZMBLCK (0) Flag for timed block.

TOTEVT (0) Total count of event type inputs.

86

APPENDIX C

8086 CPU REALIZATION LIBRARY b

P)0 -0 N

M ;z ot CZONV

.0 W-M 0 0

~~N N 0 m0o. ve 1, v 9- 0NC; t

9) M Co9 - a-0 N; 0 N- - 9- 0 9

0- - In 1 OV - 0 0 . . c t 0 5- 42 0 Ccc0- N MN N-.W MN 0 N . -N

c-S.-- 94 C4 vi. -N OW O"W ';.SNq; C 0

1-NO~W a N 0 CN 'm 0' N 0N -00 - "0- - 0 - Mw v 00 o (a I*4--J N - -N -v95 0

.0r- *- N N 0 0 00 9:crcv 'aa V( - 0 ) t-9CONO ') t09 *Q -. 0 *C-- N. In O-m - 0

NON-0 9--00 05. -- 0 NO AN- 10) c; 00-0c 0-(no *m00 NIC 0-0 N-5 a0-- 0 Sl 0-O 00,

'too,- 0-- * O -N10o .0m co -0 N"!; S.00o- 0 N -0. .

0O0 M- -0090 -N LO - --- O-O - N-00 0-C- .0666 c N . N 00IC--N ~ ~ ~ ~ ~4 0-- . . . .' CL CS -L .N -CO - m m . N.9N. N -

- - *0- 5*- 00 0-S0- -N - 0.0 - NNC 9NSO -0

00 .L-0-- -- -00 - N - -N -NO Or4C- - - 0- 0 --403,02 N

00 -0 - - - - --- -0- -N- - - - -O -OSN--09 -0-c0-

-0-09- -0 -N L0 -0- a -009- - -6 -N-O----------S S-f OI-0 - - --- O- 0 06000-- ao -oO --- - 0000- 0-04. SO . a- -.. -S -SN -- 0090--06 -44'&V.-4 -- 0101--------- -----0 1 I

N - 0030----) s a a -m49 -0 00 -*.coo-CMo - ~ O 9 0 9 -4-

oo------g a -- -CL -00-e1260V.'- -C C' -U- C 'L -Oa2CQOLOLOLCIC t.U-

OV M O S O -- 26 Vi- tooN i009 -- 2N ''40 -C22 N O- -N0N004 00C0 - - - -ONNN o4g oS ----------005. -- 0 -InSO N--'---- v. 30

* O-------C .O" 09 W,~0M-1- Oi. . 0---.

(n NcC0.C 0 0 0- 0 L6 CC@C (> 4- >U)~ > >- U >I 01 U 4- 0 . L

00 6 4 N -L 4.QEE87C44

-- a!- V C4.-

C* 0;4- N4 .. -a C aNW - 1 !

wo C = m % m9

-0 M 0 0 0- Nm N N In .1- N00 . - 0- 4 .C;_ _04( 0 0-0- NN -- 1

4-... ; R * .N -W ~ N N . 9-f

N - 00 f.. 6-!- -0 0- 0 .10- -NC nI -q000-IA.- - N(A in NC 00 00)~.(

6- IN -. -10 -C;-A *Il0.

6010 -0.- . I NW 1 00- 0-n ; (N 1 0; 0-4 -

- C 0 C I - 0 - -t.C~l .*e ( - -. 0 ~ - 0 N -MOe---900--~l4qO 6n 0' U)' 0 --N 0'. CD -

*N01 -- 0-0 1100 Wo)- -01CL04 1 * IM')C) 0 1N0- N- -- C- 10 4N - N - -- . . - -N-N0 - -0-O .--

-900 ;C 4 , n 1 *--0-9 -, O - C -010 C -01 9 N SO I -OM t_ - -0-! 9- 3 : fl! 9-

-! -Of ; Zt NO.-N 0 Ct ;;~ 0. 0n ON v-100 094 4 .0 -06-0 -0 -

-- ON --4-0 -6- -09-- N-S - c oco -NN 00')-0: N.-N -- ON.-9.C C-- 09- 0UC .99 0N N 0-L

-0--O0- *-010N-- 020.0 0-o NL- -- 0CC 9N3004 0 106 10 0- O O0-NCCC-L -0--'). -OM~ -- C-O1'000N1N - -

-- --- - 6 6 0 - -- .. -N9 - 0 - .- 0.-4 - C- -- - -C - -6- - - -6 ~ ~ ~ ~~ O -O -- -6 M -N -N -- 0 -m -C' -- 134 -- 0 ca6 -l -- -90 -6 CxW a

-C -C -0 -0 -O0 -0 - -. LC -0 I O -01 L -L OC.-N L -C -C-L.- -C L O -9LLC-C10CC- 6N -- 0-6-O C- 1 -6N-------U)-- -C-0C

O*O .600 . .6 6 .-N~')-N- . 9N . .O- 6 -6

LLLL --CLL6-60--0~L -L- -L L- - - L N L -- LL --- L L -

6666 0-a - - - -- 6U 66N66C-6 -00 -6 0 - U .06CL -6 61066 6 6

LLLL--~ -LM 4 ML - L L --C L E L - - - - -LLLN L C - - -LLLL- LC--- 66 66 2, 6 > >E 3.L2. > > >06 O >6>6 > >6g> > ->->

88L--------

c!-::-.~>:'. .:. N .- -

m N 00) t

04c (4 h

N t !NNV C

N N- 0 to "Dino N N-V)N N (a 410) ON. . S0

~~40 0 to'~0O

ItO ct - .CIO NN~ .1 10 NNNOC NN a m NC*45 0 .. o N tON N N- "N4S 0V -N 0 1 S

toU v0 ci~O W 0- t, N 1t _ ;

-n .N QVV N - N. CO i ).

.-n 1o tn( O O NV n0( 0c , Nn C005 - .v N- - NN. N -~ o NN. -S .O C- c ) - Ni oNN V

1;0 *4;0 4-0 -- 0 O t 0O c!0 t;c Z- :II- ct t - 0-c; .000- ... N0- . .- V)i

tc;~v -waa -* C NNV 0 -N.-02JW 0 -N -- N wC101 O

NI* I Ov ON- .- . 00 1,M- -O .- O tV f4N0- - -509) .S - -N.0.- .0.N . .N NO- NVO Ni 0 VI - -NOM -0 -w-- . &000 -OM -O CO - -NC'555M 'O- Q N M - - 0 N 0- -0S --- 0--

N--CM - - 100 -0 NM =- -(OCN '0 V t-OW -- kn .. 0) .- (-. 0 op.0co ..NSO.. .(. V 0. . .SO- ..- WON .. N 0 -N N UO- o . .

ONS *SSSVSS ON- 010-0000 - 5 N -N0 CONO . C- -00N 0 - C

Q; NV OC N CM NN to 0n C-- -N at L-'N-N. L *. L- L L 1. ItSS IO-- NgS--- S0CO .-o-O- !--- 00 L-- - *.

*VN0OoS LO S-SO0 :00OoS~OS5* - ma to o VO - ID-m . f ( C-4 ** - C;C S - -- . -

I -N5 0 - ON -- -0 :.Oi.;. z . O -. NO *0 - ( - N -tO- * -NC

*N-SO-o.tOS0gONVC- -- domN - -. O0--oo ---- 0--n-LN --CO---- -- SU---- -- N- - - - -- -ON-O---SC ---S--0

N-V NCO .u-..-- .. -C4 1013

u w- 01 a .N O N O S U N C - aN4 4 - 0 .u CLa C L 04 UOC.

;m *0 -o -aes -mm Vm -mm - a -wins9 004 -00 c -00 100mi -0

0 *ONO .-.. C '0-1 u(LLEL 0V- . U 4 -0004 -N 2 .O ClLO---V.

-ilt CC C- Ce~ 00e -sLu -aQt L -L - 0 0 8 0 0 00 -O -L .S 4A -- 4. .> -

*c in -0 -N-N--i--CN.--n 0,-E -- a--N C

NN~~~~ ~ ~ ~ ~ ~ N N- --- NN 0N- N0

-~ ~ > >- >-u e~ - - e eI u a . . u > > > >

~8

a a ~ .. t --. . - .

r-~~~1 * 0

~ 9 9 9C 9AM 04 N c q

C; 9 * 9 UCM 9 9 CI

NO 9 9 N N 9 S

NN W * N 9 9 9 it'

.0 U 't 9 L 0.0I

O; 0 J cc 9 0

-j 4 * 0 9 M L U *0 11 0 iN 9 0 9 9 *m c a

* 0 v 9 c a u L Ea q

C-L U a L L * 9, L

-a 9 0 OIL 9) V 9 *L L. S

c 9 : ;m 9L 9 N 0 L 0-

L. * U *a 00 L 9 0*

E 10 -4 -40 a 9 9 9 9o 91 'a$. X 55 L5- ~ 4 C 0 4- 9 . -- 5C

*L 00 Cc -U OW 16 me 90 'a ~ U S 0U

6. L 0 LO IL CL L a 9r 4' a N 4 . -*LL~ ~~~~ L L L.L 0 - 9 ~ U L L 0I U U

-- 6 C ae. * . S -U6 - - . 0-

LL ~ 4 L £ . 0 9 ' 9 *0d a -U a~(S I~l

*~~ ~ --- 0* goC 0O r OL 5~ 0- 5 - ~ sn

90 13 C~ *. e u c a~ -- - i i, -a a. as e s g :I a a * a , a aL~ a4L.-

C a 0 a 0 a. a a 0 i aSg a aaaCE B oO

N n ot 9 of NPN* N No 9CCOM Co*oomLt (o 0 0 0 -ceo@0000000000000000000

90 -.-

r. A

':. :~~ -,: ,

* "0. c 4

: '*. 0." *

".'II * C

in -:

• CL A *•* .4. C10 '

t0 11 L)1• )gael

* (f ftf. ft.- * - ..-.

; C; 0 ;" '* LN 41

• UC C ()Il( * t... .

*{1 d * •4 L

•- . 4.0 4 , 0CC 4I 1% .* -.- ..- . .,4 C- €3, -N -4] *P J':, .;::

• -1 € +' .C * 4- : *. Ili "*.*~ OC C ~n64 I

VI0 u 00 la 111

4fU E a - *VC

ct amL • - -U

uN Lu a c a

,."0 , 00 I ": 00-0

0 V 0C1. L I f 10N *Ca)44 0C l 0 a- CII C C C cr 0 4*.

A a- 11 0aC C4 . ftv - 6 1. a0 110 11

UUo+ 4 -C o, C- - -C 0,-4 + . -C -

.:, ! :Q:.to,11 CCV .04U C.-U 0 L L " C

U7 a 4. U , L L L L-

U -L. * .

.UL..U-_UU1lf 0C--- ~E CCEE + CO1 -- i E L0UU~----- E ft e .ft.'

IL*u .o o o o.1- 0u La e F. do'W 4 _ M.or t V M(

44 ft 44 N N 4vfN v-. -CN -0 u -. - - U I O lf 0 I e M9 1 4C 4NC 4C *4

I 0U.o u U !UN-0I0 aU6Oir

UUUUU*LL + 4.4-O-OO-UOOOO OOCOOO40-6OO O LL 4.L C 44.O

%0 0 1 U 06E 0I UC -- -ALL 11114- . .0 L*

%. c a 0 04. 0 f a a a 0

wr-S~~~qCCN--0o ISw P% *4 0 Coo *N . 4 - r 6 W N 0 6 - YC0 II 0.0.. 4'NNNNNNCEN~~~~~NN NN m mmmmm0m -- CCCCCNNNNN ~! .

j 91 --

U CU*O 4. **4 -OOUU CC.4'

C 7CCC0

.. ,-. - ..... *,- -,-. ,. ., , *..- O- - .- C---" --." -----'------ -"---------------..

CL

L U

S

CL

uA

CL u a- 4 L L. 1

a u u

c- I

Ac.

C C a

o 0 5 513 .4

L 0 In c ala 11 If 'a -

-0 ; 0 Cf L C C L -. - - - - -*.0 D 0 ~ N M le In 40t-1

-M 0 LC L J2IC aU . - - - --

9 a; AL C a 9)I - - 11 It 11 of1 f 4 ic. N6 i 0 U.-S )k - -S a co clII'l

1,cN 14r . 0 1, f - SN+ u ; - - - - -.-.-- I. M+ *

S ~ ~ ~ ~ o to1 0-15 4.51 . .U1UU U ~0. 4. C-Dw a. 40 4000 , a -

C. U - u- .= a -- U --- 0 C- -I II II C - II II II >1C-5--1--CL 4. CL -- UNCN*LC C C C L L IL4 C Q4. CU C 55- 0 l Cf C

S4.S CU-0 aL--a 0L C- CL C+ CL a ~+4.S-US4A3. ..II 4. . .. .. -- I. . 0 *5 5 55 5 -I

554. 0t~9I 009000400 Coco4. -00

4.~~~~~~~~~~~~~ >.S .. 9A t.-- >. 4. >. > 4f~J - C 9W O S I -

S £ S 4 ~5. ~4.~ 4..C sa .92

Ac

'IcAA

V Co.0U C;

V - 0.

(0 to W

00 .- 1

0 .......

L 4-

.0 -;. O "lW c

-6 - L L' .

v Vo ;v 03 1 L -2MI1 1 N ; o

- 4' 6 1-

.0 Cn 9) C 0 . 4. 1 3n0CCC C no a C aC!- - el1; 0 + UM-aWGDL 2 S(1 + U a0! oo

aS 04 4 4 a- 0 I. If L if of - ON -- 1ISN - ~-'-- - -- - N14- L - 11 If mmn - M-

US 0) CO ELC In. nn gil e S ID .. 0 .C-~~ 4.gI~~lggI~ C- I Ni CL 4UL -~- 0 U 0 N

60. cc c CII c c Q c cc cc c c cc cc c c o c c c me.. c *c ca >' 6 - . .-i a C > - -6 - -. - - - -- - -- - m - -. - - - - - +

nu -N(fj To -Stoo-N C4Cl U- -Nf.q -M N0 C4'-U *-N

mc(nvicf WOO SM C 0C 00 NO cm(OCO - U)-' - - -... 00 - - - ( a 4 a4 N (' N 44 (6 S N M M a2 M a a a a a a) V V a V a a V. U V. V iinWi on a an a 'a (a a.'(

a000000000000000000000000000000000000000000000000000

93

~*~.*** -* . . . .-.... .....-. . . . 2...............*....... * .)%

.7..

0

A A

CL CL

VO 10 A-O -4to G 0 U 0 0 u

L. -- -70 1a it a me 5...

1 U3 U L0. 0. E.a

AD 4. 711 c U

to SI 0 - -6-- -o to

10 1. LSL L Z 4. "1. sa I I I Iii1ut.0 I.0 C 0 ** a.C C 0- 32 2-1

N-SC 0-('at* - . 00 0 0 2 s

EQ SWal ES u. l it 11 00c0 ea. it OCN 11 U If Ifl~ll itUNt S0 LN CO 01 N . +0O ~~10 4-E'0 +- a-E ~ go - - - C- 1

c 4C 0--CE c 10 C col0 2 too00 0 UE N~ In c o S.01 211, U C 00"u .U0111 *011 0+ u 0! *(0fl+ .-'8-"'' 00 IC MCcE - -0rtoo C C '!-fl -00 U 0 .0 -0 l -O N C11 u

Ge . o- v-C C C E -C C C C E6 a N -(*CaCICCCaC-C-C- - - -;CaCI M:?c U) C; 0C, - C aL

1 CO dEC CCCCx-c--2ccc C cx-1 0-2cc C ccccC CUCE-.4> --- u41 C C Lu C -- u--- -----

U 10S LLL M Q CQLLL CC U*0 *Q L CL CL CL a CL Nr. a WSSSSSWS@0SSS0.'o-oee0oV

> > > .> >. . . .: .r.. > *.-t'****..**-.* ** .5 >* >* >. > >

- .5~ * * * *~ ~ ..............

*~~ j* j . . . . . . . ;- u- .-- - - w .7 1.-r w . . . . r

-u

L A0 L

-0b -Nei ; N -0

N CL NNNNN()

LL-, r W 4 =L LL z ,l L - - L~ r ~10 4 4 L -N4t' N00Nt.

0 1 l O5 U00 00 13 in flflu 55 -, 0 u u0 u u

uUUUUUo of 10 UU UU * If 1 utU

IfIfN - -4 "0 If cc 11 iI.--- - L% of +I L - - - - - - - - -StS .6. + go. - - -- - - -. -

COO OOOO66.0Pt U C O O O-6 -Nt -- UcooC.

u5 -- -- CO ------- O 55 ui e5fO---'--- M

-- - ------- o- C4Li5----------------0m0-- CL.i-----------

* 4Ua U5c a 0U5

ccccccccccocccccc-5-CCcccococCcCCCCCocE- *-ccccccc03 0....0000 0 21 0.0.>)I0>.)1. Up >' s > .............. 0. U > > > > 0 >0.)0>>.0>>.0>>.0>>.0>>.0>>.

u ~-*95

7RD-A148 56 IMPLEMENTATION OF N INTEL 8886 MICROPROCESSOR-BSED

22REALIZATION LIBRARY FOR THE CONTROL SYSTEM DESIGNLANOUAOE(U) NAVAL POSTGRADUATE SCHOOL MONTEREY CR

UNLSIID AJCTLJUN 84 F/G 9/2 N

EM6 h~h~E

_~II _ ....w' S"....-

1.0 Ml L

ILI'i1125 111.

MICROCOPY RESOLUTION TEST CHART

o"~"Lsftu0 TWAD-6-

Af

.4

.o

.-':. .o o i .i. ... . . . -.- ... ,., . . . . .-.. . ..... ,. . ..... . . , . ...... .. ... 5 .~ .* .,* .. , .. .. . ... .,... . . . ... .. ..g J "-; .' : 3 :..;. ;;;,; , '-'.-'-....*.'..'.,;;,..'..'..,:...;...:.'.4-..:.,.,'.. :-. .--. ::. ... '*--.-....-...-....--,-,-

... . . .. ... .. .. . ..... . .. . . ... . .. .. . .... - -'--'- - .. . a-, S ". . 5 -- ' 4' ' t- S_. ( *. ,

ling :

cS

A6c

L

L

L0A A

a Li Lk

a0 c

LE

V -o --z-ea 0 ---

'a S 'o Oa 0'0i10 0-4 6- as1 m o

21 L

If 1100 10u ti auu I* 1 - 1I 11 1I Sc SC4 fI 1i f- Uf-1G

im Lm J) cN 9100- .0 no .0IA c .-I IN; a 1 4IOC -01 L0 ".. -, 1 -M LLLLa'~ + 11 C-,"

- - - -. -66 6 L -- 0 s e i - - - - -2 2 1 fII! - ,

a a-u le at u , I a 0 -6 at 'l a Q--- ! ; L ! CC CCCC 0 - I l C- O - I l CC CC C '

6L C -. - --- - --- - L > C a-- -- - --------

L0iSE (a016 '0~ 0-~ 01010 uii IlC N~ 6C' Il0~ll~ C 64 Ill~II~~ -u a %II -4-i I

ON - .L .0 I . '., -

00I0rer 0 gu0 0 6- -10101'- Ugh ) 0- -c gflcD0.010011

~m ~ s *O N(2 In- -- -aa Di96

.,-.-. . . . ...-- '---- .. . . -- - - -

' :.?..-'

0.L

0 C

* 00* I.

0 Sc

0~ L 0.

A AE C

c0. Z.zzzc.- C I C

SUA C Cs

* 3•

*.co

.00 -a s-Su Su.. -.-

Eu* *0O U ' %*

SC tc

.C MU,.L

000000- M a- L aS 111 0 a 5

o uuuuuLL m o uuuuuuoo 4, , : V:::ON0 o l it II II of 0 N If l If If C O il

L. I - L- I II +-u I-0S-I C' S- i n CL

.+ u os . .0+e--- + w4-- a u -- ---O - - -IN U - U 000000-0 0

41 so I~iiii a~ - *C gugnii C If

II ----- 27 1 --- to

+~~ if I -. L I L 56

W!n C 0 aif C - - --- - - ON--.---flfl C0,2 c-.0 r0 0 -' C 565 UI JS 0' '0 UO 64 :

!c5*-,,-;CCCCCCCCCCCCCCCCCN- 0-2CCCCCCCCCCCcCCCCw-* #--

..- ~~~~ ~ .* C L" .*o..'., C"..*..'.. . -. . -o- -. * - - -'. -* -- -', -" -..,'. - - -, -* - " - " -- " o* C ". L . * " . •

cLCL# U1 caCL- U CL ~C- CLC a

cue JSu eUU iIn~~ ~ ~ InI ) 10I I ni I ni i ni q l n nI n nI I In AIn i n 1I ) 00 S )inI I n Intn nIcoOaooc 0 cooooooca 00S00ccocco ooa 0 cc 0 0 coo 0 0 a cc

97

W- X.- I.- %7 .;

Vt ItC3

040

L PV - -CL .. 3.1

(m * CI -. 00 00 if (D N

r-4o

10' 00 U I-m (4 - A- AC

10 10 U 'i' V tofA -C. I C U, U ! U U

CR C C . a C i -If- C.t--CC U 0 0L 4 411 .4 * a V1 -' IC -

C a . 0 4. .-Q~ _. .- 00a E

0 U -0a I .N a 1 U 3 Uf * cc)) 40. 40 cc ItH 11 IfI 1111 111I z 1IfC41 . M

it C0 .; 1- 0o U~ a a e a. Qa~ Lr- -. d CU 0, up 0 Na mm C~ C .C* C *et

- - --- - -N - -. N-. ---- CC

0U -m u* CL ) .Ao a 0'0 0 E O 10 U. V-- 01410 U

o . 0 .C 0 - a a s C NO NOU 0 o U C. U U -' a U

r, r. N -f ,111 00 0 *10 a, *0 N N' N N

In In to In in 0 N-n .g - C -' O . C, 0. in-r W, inwiinn-ini ot a awt ow t ot

31) >) >- 2-. >' )p U0 ON C -V ON > > >>> >>>> -

-, o - C9- 8

*:. o

lit,

c Ic

CL v

| ° o .

* CO

4. i . .

L U

0 1

* U A A AU u

* U *" UJ * U -** 6 - 0- - :00 0. , - V -: :'

I ( - a it U

3 v ,. ,, ,: - - ,, -..* O U* O ..-.

* It . 1 - ; ! a .C

t* (a Ca C

•10 .1 If -. . 1 0. ., It. ...,-. .-.,:N:;..:.. 1, . 0 L L I I I ( IV

*I * OG U U- .* U '-" N .O ,,

43 z - 't; -s 14.-*a C - " - .. '--C a n a *.s ,-,

U* rl .. 4,. *'-.. O 4. 0-' ~ C 4,, 0-' ~

el.,1 a .5 -... U 0. +._ , _,- 14 CM C _ IO at..UZ l C - C**Off0 0 .-. N 6 l l . -. 6 - 1 0 . 0 t. '.:

* 4. II • " 0 dI O * U I I " * 0 Of"

*.'UIU I I ''II ' r - .UtlI

a 0(06 .- I -0 if if a -1 - E or- 6 i

If* d Xl a .g R- on . -. if 4 tn - -' -I

a 4 L IV C 0 1 -L Q 0L - CI -, -I .0 -' L

0 6 00 C _ ). c - - - - - - -0 C 0 U - - - -a C U c c c cf c o -

CL 0-0 -- I CL -- I 4. .1 c a a m mL_0o Q Q Q_ aC

a0coo coo 0 0 cc 0000000000000 0000000 a 0000000) ~ ~ >)) :) ) ) ,,) )) > ) ))> ) ) > > > ) ) > ..,---.

I *5... .g '-u- ~ .g -'-..***..u-

*- a 9 S 3 g9 9 "---.9.'." -

~ -. ~ U *- *. - - --- ".*.- ---- "-

99.-L *N 0

.. . -. .,. ................ ... .... ... :. - .- - ...

V **~~ - q-nn fl~ - 9 on n - 9 on7

06

V

* 4-

* 0

0 N

* I A A A

C~~4 0 I .- F*

U - ~0 CL -V --00 0, TI t, A2'

- - L 0w p L

0 CO a Z a U it A u m* LI 0 '

0. 1. 0-Ni *I LI w2

-- 4' L - -

(4 O- *g1 Oil - - C -1-CL- F0 001 U'- 0 CLI'" (031 CLI'-

0- '-L L 0 ? a; 0 - - 11 !0 - 0 L+ 4- L0 - L~ 10 .g +*4 . L

Z 4- ! fl 41 'a" "a 4- 1- 4 ASIvI - A1 .0 1 -'- a - -I I 0- I C U -C I M C a - -C InC .

4# I 24-! 10N 0 * + 0 1I - t LI -V

.g * !v If0 1 * 4' So -4 2 - of If 4i

V * 0 3- U 0-0N 0 U- 0 a - U- ~U- U- 4. LI0

-- 00a c C - - -' -0 - - 0 >C- - -a a U I w N 00 *3 LI 00 *. CL C0 CL

Nli V ~ - 4 4- :.g!.o a'; 0 0 L L LCLCLC CL" - 0 LLI=L -L C1C011U=It (' U I :' 0 -0 0 s. 0 10 u 10- 13 1 u *ow1

-0 V-D 4) 0C- - V0I - V- ie ) - '

o1 0 010 0 0000 - I. '00-- * '-'.. Ii 00- '-" 00007 I6 ~ ~ ~ ~ ~ ~ ~ ~ > > 00 0 O>>>> 0 0 *4- - 0 0 .'

C4' *I -0-nN- C - 4'OLI 00- - C O 0 C ' 0 I

211

so u 0 a

e - - - -.0 L. I 0La- 0 1 -1 L II

If 00 0 a t - 0

c IF C A - - a-a a l o41+I 2 ;1V 0 0M I

Uu-. -13 o o-c - U' - U -13a...C-C : .1 1 0 -4 . - 11 1

*- 6 - 0 4- 3 -01 41C U - c - (4- c a0 -0

a C > ------- C - C -C - - C ---a a14.0 - aa4aa U ' * -x U' 0 ouS LC LaI ;2;

- c " ! 0 V- c V- a

0 u a C u a £ u a 0 u a

NU.I .NNf NNNI P. N Nl P. N 1% N -f %IN NN I- f.l N N* r-NIN

V 1. * V .6 I 1. * V101

a.7

A A AA

C - -C '

A * U 4- A 4- U 4-

0 o 4. a- 0 L-

* - U '- 41 10- -W *- 0 -2 uO 0 . z u 0

U- -- U O - r - (U 3 -

.- 11 - I . - it -

Q;; if P C P. (a 10 of w-C 1 1 Lr- ( 0

IC C -C C a C C no II- C.0 -Cc 1 e * 0 U C U.0 0 aU. 1 0UC 1

N* - bW N C -0 (- o oc- 0 U- 0

A -L > -.. A -L - A C > C ---Q' CL CCL 2 83 LCL CL CL. CLC L" u' o su LC LC LC CL 1-0 uS t.0aCCL CL **.L CL CLC I-aA saC L

0 u. 10 0 u - * -

0 0 3~ Ul - C 1.0 Ua - (C Uu S - '(0 Vs 0-NIP14 r-4 a*' )Vla o! ) 0f 0 N - CA a - N4

U0 0 0 ,1 60 *3 U 0 *asU 0006 0 0 0 cc- N 60 .03 m

* 0.P. IDac olI.0.. IDoO .- IDI0 .- D-n -ID . s - D * -- - ID *- I

6- inIIOL 6- i 1 02 ~ il-O - il'

-- -- -- A

Ic

u--

it U 49 Uzi at II

U1 = - V-~ U

N 11

a ! U;1 ; - i)LU; - VU

OC -i 0 d- 1 0 U 0a( - I -* (

13- N MU N. M0U NI ' U cc e.INI " o- 0 0 c ao

w A - Ao PCa-- - A w- - -i-c ::C Ma (b54 C o E 0 L - M N L 45 6' 0 L m - - coE

40 u -C x ,C C C' C 1 C V& 0 U C I > UV7;, vz- n - 7;, 'm 2~. - fl U ,0

D o --a w 00 *N U a 50 10-) U0 11

-a * - C me C-- s- C 0 0. -a - uI V A- 0ntV u A3IV 14 u a U

> >. >N > >. > >. M> > > > >. M> > > > >

Nfl V - ? UNflV q- lgl)V - .Uifl103u-

IC C f-*Cl lfC C f-.Cl ~l l* Cl S l*.f--.9..~= e a- - a U U U - = + U *(~-

Iu

00

4. 1.

L 0-- 0

a 0 0 c

V WI 0 1. 0

- - - 0 C U-0 1- a

d - V0( V 0 0 (410 A V.

A. Ab r 01 110C a 1I 01' - Z 4-4- 0 0-* .4 C 0

u-A - A U -4- 4

00 -1 0 0 260 a '27 0 0 r a-C ,i 144 f ... :;_ -- 0:- A(41 -io

Ao i - 1 A a -OII 1 011- *0+ L- + a4 V; 7 a I 7 0 C 1 44

IfU. 44 )1a-0 00 00 -

In4C ..4 L V 00 -- M *0 "- L V

UO al 0u 0 or)- I0 flV-+ 00 .0-N 00"S U &) W c 0 f'E18

Qos 01O4l -q -ar- MV -aNPc

0- 0I-x a a-.-t..- 3 a Co.--- -* * V aU 4' 4N * I.. 4' .. C; Vlf 4~ a- C ..f :U a -

.8 :* R --.- '.. a0 CV E0-( 0. 4- MV S0 u0a41U0 U 002I-~ u * a 4wo, U - E ifi~f r.S E a- u 0

-4C S - CO--- K- O- C CC X * aG a C CC I- > C I i - - - -Iaf -* *0 > I . E -. Ci L. > C

LQ Q u-4 C4US a aa -Naa C4 4 o0 C L LC C 4 0a C C CL 4.4 u MOSU4 0CCCCC - Col)C CC CC O C CCl 10-CCCVCCCVV VE-L O 0-CCE M 3

---- C C - -~-- 0 L~C - ---- -1.C-- - 0 0).1 C C0 0 e4 o0aw ,( )0 oaa0 A0M ,0 A0( )(

* ~ ~ ~ ~ o V-OC V@V u -I EG EO D> a. C>O >uo >~ >*o>>> >> > > >>

S~fi ~fi U~flSUU~U104

0 A 0 A C

d) u a 0

40). C0 le. 4- C. C

0 U 0 Q *0 U 0

C; 4 V to * 0' V -10- a .- * a*0 -0 - 1CA*f - - E

*if A L 1 * 0 C LO IA1 1IlC 0 C * *S IL 0 C

*03 .0 I * 5 'DV Q cV. So - V C' - V

*; i'I u Lc L 14-0COL CA0 C01X CA 4

N0 )k 0C

0 ' U 0 0 U c44 0 4 t; 5- V* %- 5

!N CU I .$A 4. CU LV* 11 C (11C 1i *. . Q II o CV - Q II 0 0

4' ( VCE RU C .CL U0 c uN - a. .1 a c *0 .It -. it'S It U - 4-U C. L U

I .. *15 U4' 00 a. 0 * I - I- 0L 0- CO - C -, NC a . - 0 C -

V. C. N1. *( 4I U - c c ~ c-%-I u - c it

0A4 5-31 C4 E111 3 *-N' SC itV.*.'. SI-. -0 Co. C ; -0,' 0-.. > 0 c 0~ G- . - 'o

o-- toU *Cc 'a. N, N '.~ LqV~ - VV *1CU S N*V*'C

wl*l 3 0- .. I atU c' 0 Oat. v!.U 03 4 E c t; tco- 11 XDII - - It C 4J .0 0C *C - 0 QwC - - 0

4 . . .- 0- 1 If 0- It It 0- E 40 (0 V Vc - u u -#ov C u4*. (4- -o CII 44 *. Z~ u CII

--- > N f- - *-- UV.C - C C - C - - -a*UV- - C - ID CQM Q-U- aV 0 -.- 111111- QOC 0~ Q. - *0I~. *C Q~ Q Q Q4 0 0a am

cg a a- i 00- 0 5 + .C 0a

f.t0(0 U ! 4 - eP a ol (0(0 - UV4wot5(L. 0 NO)U? Ita. 0 4.0+ .e~ 0 osaV?%1 000 - 0

*~~~~~~~ 00 I000 -- 4 )0 E'C*0V av0.)! E00> > >I >'5 - > >1 >VO . CC> >0-'1 > I >V C4 >Cf >0 > -'V>>> >>

-. 6 * I 50 - **(0- l~eC4'0.S- -. l~ C10C5

01.4

AL .

c v

at c

A3 0 C

L L L -

-0t a 0 L

0 V 4 U

4.C~~ a- 0 q L

a i - *-0 0

L V If 4 36 CO L

r. r )4 u. 0

0 0 ~ ~ CQC 00- C 0 *L C CL410 11 1o u- 4 0 .0. C0

0.. -4 0 L *E LU

c 04C *OC LU 0.. 0OCL A N L CL A*@ U . C4

CL 4.4 10*CL * *W. c! QtEL a A N L ac A NL oIs I.- *. aP * U4.C m C A4 fj L

-L (4 CI00SC0 .C - 0:- C S 4.*0 ! -* -

_( -al *O LI 14- E. 0C 4 L E r-. L+ 0 a +4 .00)k .61 U+tW + 0 In CLI I to~

* 0 -If- -, Al*; " 1L4',2! a - L W1 IN4Ig)C C Io-. * In c cc. '-: U a 4Va 01 u 0 o- .SO +a u - 04 to4. 11 no 4, 1 .a c1

- 4c- * a-. CU - C -C V) IS E- E U -111a W; C If; U01.1, CI S U, C* U 7- .L r g E C .

IS MC.- 0- tI u~I if >I U w )t 1 C uI 1 t4.5 iIV ( N 0@4 C ) v - co* : a am+-te Z. cL.. -0. . -. LN - C 4.: U *- )%,.S- U 4-,C U *LL0 U 10L E - L 4E. E*4L UU

CCCK- -- CC cccc- C CCCUNUECCCZ tcU *U ce cuL-*~~4 CUC.--.- 4.o-a *u E. E U LC

0004u -CS0 O.0.0.0CL.0.4.u4 % L- LUE 4u a-u u C uuulu-u u a-

0 J0 guuguuuu~uuu-uu-uuuuuu- uuuu-u

S0 0 00 0 00 0 0 00 0--------------!??N!ReN2 9 9q

106

* 0 L* 0 0 C 0 0 I.

* 0 0~ 0 a- 0 0 * 0

L" L

- 4 o* -a 0 * 0 : * * 0 0

L 4- *0 * 000 0 0 0 0 0* I* . 0- 00 0 0 0 0a * . e 0 0 0 0.

* * 0 03 E 0 0 0 04 L. 000 0 0 0 0

* *I. *0 0M6 * 0 * L. E00 * 0 * 0 0 * 00 -

* c a- C* S ! 0 * 0 0 *

WC E0 C . 00 0D 0 0I.

go9 -,0 E

* 0 0 N L 0* 0 0 0 0 * 00cc

* -0 0 0 : ..

* 00 ). -I. 0 0 0 0 0 *.4 -

0 0 00 0090 0 0 0 0 0 0 a. 0 - . .

0 c .0-- 0

0 0 .. OC. 00 0 0 0 0 0 0 : I

•~~~~~~~~ 41. L4, L O )1' • • •f

0 I. 0. O - 0 : 0 I . !-** *- 0 c a 0* 0 0 0 0 0 0 -

o c L 0 0C au. N0 00 V0 4- X L

0Ifl3 00 -l 0 0 0 0 • 0 • L

•0.C 0 oyE 00 0 0 0 0 0 * 0 Il "

0006~0 a* -I. 00 0 0 0 0 0

-09 00 I.t 00. L 0 0 SO 0 -I -. -* 1ye 00 I= * e * * 0 0 0a r * 000

- 0 02 0 C0 0 0 • 0 0 a-. 0-0--

IV -- 0 0 0 i 0 0 0- -

*o:| 00 -e . .0 0 - 0 . * - . 0-

*0 ~ ~ 1* *L >~ It00 ~ 0 * N ~

0c c 0 c a- 0 0 0 • * 0 0 0 *- - Q 0 L 0 L00I. 00 0 0 0 . 0 0 4. ..0 .a ..-.-. ,0 - 00 - 00 0 - of- 0X 0 -0 L Hf CL0 .

.* E C: C- 0 E -4 0 0, ,.N.*

*O0 00 Sa 0 0 0 I 0 00 0I 00 o O0

- 0 0 . u C- 0. 0 - u.. .-.• . . . . - 0 0 0. - a a s.. .

u *.u 08 a 0 0 • u ., u, * 0, * Ou* IA 0 0 a -a OO.0 ) to P 0 0 0 I. q i I. a 0 eII N0 U 0 > 0 .

0 000 -0..00 0 00 0 0 a 0 0 0 0 - *U 0o.,- - 0.I.0,,.,00._ .... 0 ~ 0 00 . 0 0. 0 0 .c : 00 0 0**I.0.oc..I 41 006 0 a 01 * 0 00 " oco.- I

--- ---------------- ---------------- -- -- --- ----- 9

a-s. .ce E*O • e . • o 00 0 000 C• E000 0 0 Coo.. c a e . 0

"-; NCO .......4( qu~N~i.. o+ N0+ .- C2 uco- I0-o+ ) &flIDoO +i- N

000000000000000000 00000000 00000000 0000000 00000 0000 0 -

107

.............

S L

0

*~ C *c 0

0 0.

o. - - 4

ea c 51 5

4'0 >55 5

C - c Li L0~6 a C

CL CLCLO L -

'-c C D 0 c -,_A00 0 0 0 0 0-L 0 a 0 c c

L s. 06 : f 0 I I

a ' - CL I I4C L~ . -u Lc :1

C4~~~0 a . I

CL Eo G'* E~4. In 0 IAI5 -r, 01 " 0101 nC

CLS II C I I 0NN N ~0 SLE II I' 414 Ad 0'

MV ~ ~ ~ ~ ~ ~ ~ S .mlIImc N . WoIIo!4uv6wN M ; mvm t o M wm - m

- ~ ~ ~ ~ ~ I C --------> ~ ~~~~ ~ ~ ~ >I > > >> > >>> >

* S*.* I108

-XL AA * 00 II6~77

1- 4 0LUV 0- 10 L. 0 : A m*

L' U 0 o 111L 0 0 0 4 C a - I ..

LO. * . r uI lo FA 0 all*. V

34. L 0 0 L . .* CU04g 10 0 1 0 u L 0- 4

UL 0 (0 *1 * 0 A ; a. a- 0 4

j q 4 U C L' c 4

0 4' 1U 10 u -* 0 I - 0

00 CI It a 4 CU L.L OC .. 4 '4 0 - g

SE00 C.* C 0 V4 * U .o. -C a X C i 0 0 4 U

u-U u. x (6 L 0 4 U 0 *'. -4

4 1 iU le 400 '40 v u - *005 410 0 40 4 4 - .- 0 U M

C40 0 4 - * 01 CL a v 0 0

U c 4dU9- 0 .' *, IL Mg *tU UC0-. ~ V~4'4'. 0 ). CUO 0 40 4 V 40

U4'~~~U LV @ Ll 00 4 0 N

C .. ~Po -C 00 U4 4 0 ' 4' * ' ''

40 0 , 00 * O4 NU L Il5- LU049 -C.f 0 4 6 0.4 U

0 0 L 0 * L 4

*0 0 CL * a 4'0.

AU X A~ *0 *C VggL a~ LN * 4 *C 40MM. x - 0 u E A4

V2X C( 0t. L-0 40 v c

-4000 MN LV 0 W5 4

0) AZ . A A . 0c* 4 0 M 4 + VIL 0 CQ.'- 1 LU.0 1A 0 L ;; L W 1.U *0**

00.L MU. Q 1 M 4 . 4 0 ;U A

0 C> >>C- C 0. 0 Ch L0 4 04 CO E 4' . +4 0 4 C 40a-XUU. L 4.- 00 _I' 11 i U *

L6 L 0 L. 0 L.L @ 00 OCO L*. LO 4

4. . 4 0. 34 II C. CL 0 at'.U ~ ' CC I04 uE c CL -C3C 4 ... - I 0 V 4L 0 41 A )

4'~'M E M '0 * C0E U 0* CC- U MO 410 S a. *. .4 '0. 0 CL0 C A00L a -

LU AL E L L -C 0 1 0XLa C U*0 C U Ig4 Ua *L- C Q *fw 0 L0

MUe tU 4'U44' 4 L - 0 0 V- 4'U v

go e, 00 0UU UUO f ... S0 USU .O 0UU

109

*. . . .- -* ,.- - - a.

"".............:::?

* 0 A A 0 0* 0u0 0

L. A 00V -10 u 00 I I

- 0 0 >. !*. A

C U u - 411, * 0-

c 0m fi 0 6 0 * 41,06

* 0 0 6 ~ 0 4- CC OI. *U10 3 0' C- 0 L 0a6

- C *' 7.* ).. 3 - M Ig 4, 12 4

U L C ~ a- C 0 I. L Lo. 6 _

CU, 41,c

* C 0 60 4M- C0 0 3 0 341 0 0 30 a * 1.c - . 0 g0 0

* 0 U 6 0wL U(1 U- 3 . .* I0 C 40*. 6 0 If 0 a0 m

im w 0 *N 1

L L ooxC.a c a a

a 3 c 3A

* 0 0 flU -LO 6!64 6 I ON "I..

N tv * U 0 O OU 0140 01*1 a'c 2--1 6

6 - * 04* 41 u UN4 - U

I. 0~.0 *0 0 *- 0 ..-...

02 000 006 -6 CL oe-CL cI! 0 A N 00 -0 0 .4 0 a

0CC 6L 4! C! A

0 *0 (~6. 0 *0~-..0-:

S. .00

004C. 0,11 * .. L - cvcC ° 0-"0. _ . ! %.C6+ -0

A + -0 6 M 0 6I C 416I6 6 0

a ~ I I. NQI A .0 )h0 06 L -( 0 6 UV00~

4. . ." o

C e) *O a 0000 LA 10.-m0C! -CO 0.6 4.a 0 0 E 0 C 0 - -, -0 C-

C V N rC +-- t0 %. 4J6 C C * )

> 40 014 A2 0* . e -6 ) *. ) U 00It a, 0 ot*,,* o6 * . 6 6-

0 -- -- Q 10• L I f 0 LU L. 006 6C Cd U a a aO 0C a 0

C L 0 0 -, 4- 0 c-. C 0 a . 0- c "0

cM III a* 0 ) CC 6 -6 0 U6 Q ;:A0 00

-. u- If 'v 4' .2 -*r6

1.* .6 a 0l60 "go S. oo flI 0 o . 0 6as coo .10 0 C a 0 0 00

n 01u-u0 00 zI.0 6a . U 01. A .0 0-c CI. 0m C UI 00061.! 0 C 000

r ,v to t)I (a-C 0 *.- 0 06 C 0 oC0.3 .- C- . -all E. - A C4-.e ., ^-U -* 0. .oC ,. 0 -.64 -00. 0. 6-'.0

(,0€ WN0 C- *O ( . ^ tW WNQ -- eq u •r m3 -(0q I0- 100 -N(03 1f0*@@0000000000------------------------€-

1441 N41 *1 Nt N. N.' N- N N C 4 N. NI N0 C 4 NI N O4i4

110

* . --- -* .-.-..... **. -,---- 1..- -1-E -., %'% 1.%N\%.%al -\ %.'

c c

CL.

E 0

U L * L c U.a. 11 0 41 v V13

4 0 .Is c.6 A* CL 0

A ; 70 0 0 v

-L U a.0 0 C L * lL C L * mL0 Co a U 0 * V V

V 4' 0 > ' 0~4L. 4 0, 0 04 -0

4- - 1 0 0 0 .U 0 c u 1P U U . U U 0. 0 5 UC0 D a LC a * c -

- *. 1. U 0 6- 0 0 -

SU U 0 0 t4 o 04 1W) 6

6- ) L * 4- L ;' L 0 L

U N 0 O .. 0 - 4

1 0 *0 00 a cco9 1c; a 0. * .0L -MLO')0 N E 4 0

L 4-. * . L 41 L. L *N L .. L L 4 L.L

0 0 . 0 111 0 0 a A 41 4 1 0-N~50 0- CL -c 0 4 0w

05L0 *EL 0 6 N 0 4

0L L L 0 - ON4 L L 0 *0- I L L 0. U , L4*O.4.4-*- 4' 4' 0 04' ' 0 L04

0. L. NO.u. a L C* 0 4-- UC~~~ :CU E I 'U I 0 * 4

a 1 0 a0 101 U 0(W 4O 60 ! U 06 - a - U U-0 C L L 0 @ L L 0 4 C L L 00- *. LLOCU La LV LOC . .VOV L. O U UVc LO E. L. UV 0

I" -W CIO 0 It I t- 0 0 1 -4-00 0C I. 4 I0.*4- *. 4' L 0 49 1. 41 1'oS 0 50O 11

soL 4' t0 0L U1 UO VL U4 U O 61L1 00U A. US L U a .- L. LC A. A . LO *. 0

CO. L0 0 CCL- C 'S LC. L 'U3 - *.4' IL UU UUU- N

91 4 C C a0 a 0a 4010 C aOV I0 a 401 4 O -0VVC a 0 -4' UaVV 0 VVffV2 16I a3, R *8uu .. 0 .0 .. v 0uu0 u 3 00.L-

CCIn4oIn &a In'0 In* CIno 4'O C00Efll-~ff o o m m o 0 00t! t! ! v '11 t4 ON 0.!*!v 4L !'!t !LII ! L ! !OU! !t ! ! ! ! ! !t ! ! !1

> 4' >0 4 > 3 ) > > >>>

A 41 41 1 c 414 1 1

*0 1. 41 41 41 414 u 1 4*~~~1 41 A1 41 4 1 U 4 1 U 4

I L1 41 41 1 4& 0 41* ' 40 0 41 .41 .4 * a- - a

0 1 * 1 41 4 41 41 0 L E 4! +.

* 1 1 1 1 41 a 41 - 2 - 01.~ ~~~ 41 4. 41 41 41 4 I 4 1 41

V 41 j 41 6L 41 41 g 1 U 4- ~ ~ a 41 a 410 1 4 40 a 1 C 14

E M1 414 4 a1 40 a1 41 U 041* C 41 4

41 4 1 U 41 ;1 *1 01 U.!4(06 . 4?-2- !! 41 .3 41 01 ! *1 - 4

-. it al u 41 u1 4 1 4 1 0 4 6 1 6 4

en1 - 41 5 1 1 4 41 ON 00 4( 4 CL A? CIL410 A1 41 441 4 1 1 4' 41 .. - 41 C. ..

1.lo cc 4' 4 ' 4 1 4 0 is0 1-2 vU 41 -0241 m41 0m41 41 . 410 '. .0 + 0 w. .

:;6 :-r 41 410. 41 ..41- j _

410 A aL A 0 41 41 41004U U419 66 L. u u

.-. :.-* .. ..0 0 US U1 41 31 0 L

4' it *L 41 0 -. w1 41 41 11*01 L~~1 u0 ' >1 6 - . I,. . A * 1 C

0~~ 41(64 C(44 1 41 41 CN it Cc C40 4 -0 440

S~ lie' 41 01 41 410 41 :C; III 0 L4 UU a 4 4141 ' 4-1 41 41-1 1 41 .6 '41 0 4'41 0 !41 4'0 41 4 ...

41c 01 U 41 0 a1 1. C4-~ C

414 41 -C,14 a .0 044 a .410

:10*I *10 01;: C4 m 1 -W 41'. 0 U N 1 w No ~ 41 u ) ,W

-1 0 -1 -1 -1 - -- -->1 >. 41 >1444 >-60 > >>>>>>

~: ~~i ,.j. 41 ~ 1410244 '1 211C '0 44

411 0 '1 0 4 . 4 1 44.. 14 40- U 4144..

(07

L. A

0 C -w 0 0 2 *

*~~~~ 0 ei0 .

0 L a 0- 0 L 0V L13 0 0 0 4. 4.4. 0 a 0 U *10 0 00 a1 * V 0 1 -; L

*0 > a S -0L 4A . :~ lo a UC 0 L4!e

a 4 kCC: 0 P. - 1. a III.C15 V0- 0 U 0 4.' C6 0 3-4 0 a E ~

0 4 0 E~ '.)0 *. C I C' C U a..a~ u N - 0m u u 0 .In 1. 0 L 66 04

L -V 0 VE cm' eq 6 I. 0 4' C-' 064. 0 3 0 360 eq 3- V' 4 .6 0 0 0

L0w 0 C a 4 0 D.0 C LE 0 -W 6603 0 LU L.C r

4.V~ 0 u. LCC 0m-6C.. * * ~ . 0C~ ~ -' IT 0 U~0~ 040 C4 ( C e

601 * 0 U 0440, 00 3, 0.6 4 0 0 A6in4. 00 0) 6. CLU 0- L. 64 eq ua

-! :: - -U . ' 0 0 CI 0.. 0

U1 a 0-C 100 Q *eu*o .0( C *0- le *1C4- 0- 0

0- C. - 1-4 0-6.1. 000 L

CO a ,(U 0 00 V0 00 a (

0 m1 0 M~ 4. 1a I 0 eN -0 0 I0 -00.. 66-C E#- - - 010. L -

*l , 0 n 0 a A +Il~ IMPof 0 L

* 4 N *0)4, > -C to 06 6 6 0 0(a+ 41+-0 +N . a. A- .640 C3 0(+44V a +0

.90 I0 * L. +0 C 0. L V L 9 6i C! +06 It0 f) j k. 46 6 +4

4.0 -.0. 0o 4.0 0 L CCU C 4.0 600 o .0 1 006me- 0*0'00 CL .0 C L..4 '. .3 IL SO C a 1 '0 .L. 0:2 a * ca C LCl > . *0. E a) I *a 06 4 0PL I I 03C

t 0 U , 0 0 it 0 Z 3a 40 a > . C a 6 0'0 604 C 1'. E0 L'4. I. 60 4 ' 0. 03.U.0.1'.L

U- 6' o~ 0I -so a ~ ~ r 0LE00.4.0 0 a .0 60 4.A L' 0 C a~ AE. 40- %A '.

6 * L- CA 6 04L.- LU L e' - 6C I a * .'.- C 0 6 os4U* 0 - u4. U0 66 4. 4. 64 .u 0 -104 U: 410 -- E :2V-E6 6E II 2 .2 V-6 406EE W ;0- Ew E E IN C -6C0 0 -C4O 00OOVV C 4 0 00006r 6 UCU 4,66 1 ; Eo6U UUflV1114.1U64.11I.I.- *uuouuuuuo** V 6uuouufl.V 6uus

113

u C U a CL

4* 0 6 u. ! IS4 C 64

*0 a - -CC

of. C 0) u U. C . * 4

o. * Wco a. 3 3 t*a 4. C. U CL C. . L. C -. 4.

0 *q4.00.- a U4. CL 0 Nc. 4: ~ O C C1 0- 00 C 0* 4. s 0 c c C 4 0 .

0 3- a a--. 04' - C4 a0 C. u- * UC CA uC

4. CL 4. CL C42 4 L~ q

*~C 4. 4 O- a .C q .I

-4 0 : 0 . a

L N0q la .i A~~ +q. a o ga L. 12 00 c CC U - . 0 0 -U

30 *. In %_ 0 U, C! M. - C*c 0 * Ca e e 4 A0 40 t4. *Q 6O - U 4-

C. 0 .0au _ ji +4 04 N a -C jx 6 La V 4. o-- 4 40 C- 0 u-C if -6 Co i0 u-C*' . c as L*0 -NC - C

;C .0 40 6 A 4- 00 6 aO * 6-C -2 C C. a- . A. 0 ..- We A

to 6 * .U 6 A * 0 ! 4. CL i6! C.7l 0. * .u 4.o 4- CO a 1 0 00 CON 1 4- 0 C

4. 10 )1 a:- 0 A U -U 0 i- A ~* L CO S -- CO USl

4.0. 3; *C 4. . .4. C 00 4. it . O 401. V a0 4. is V * . 0 C - it. 0CU- . u 4.C. 06 L* * - C,, 4.I!! . C ). C 4

0 4.0 0 0O~- 0. O O *A 4*1 .aC o v. 10O~ 0 f C-L CX - 10Xf0- L L. - 10C.x-L. 0a 0 0 4.1L. all0 - u

0* CL- cN 0 & c L . 6 44 C 0. L NO.- CCUe *.4.. 6 . -

0 a 1 a' U 0 5u NeSO RC-4.IflS..4 .. 00 .

0) It. 4.C -- 04 C , CIO A) E 4V v1001.u A- UCL 14i ( . A C4 ) -i

4.C400 14.. U4 *4 0- 1.

*~'. ... 7I. iji.. I- I..7EI U _-Q .

rL

0 1 1 0 4 0* 0 ; 0 L. ;

*; * N44 - I 4r. u ! Aa v A . u* a* 4 a 0 a 04

L L - 1. C LCL e. 4 C. so C . 44m C 4 a CL

* 0 a -1 -0 J C% 1 4L V- A L V 4 0 V A V A

* ' ~ c a *-40 > 4 UC 10 UC a 0 )C4 LO C L*- V LC L 0 L VC L-- V 0

* 0- 60 0 -0 1- It 4C1 v L.tooe - .qu a a0 4U z 4U in0cmU L.C . c(~ %al L r* L_ U OA_ 0 CL U SA a0 U0 A U M

*-M a.. 40M A. -Ga GA 400 -. *, LG3 a.. A aCL400a6 -C a -e J 0N44 - 4q. xC - Z U . 4 J40--.. LVA 4.-_ LV 46 .4tA a-- U . -V * wCMa-

WO D U.1 L 0: g L .q.. 1. 0.. i G c L C . o 4 4>+ -U a C 4 -EU >C +-C CU 4OC CU 4 Uo.10 0 -- .6 O._ ,

* a) *0 0) v .- 0 Cv. 0 4) 4U- 60 00-4*L .U o *~ U . . *ao a. L Uao a. 4u~

40)-U cao L)U CC eCU UO * C a - -* 0 ... 0 ;.U.! *1 aCa **. 'It a -.. .

a* f6 a 0-- 4 0- 0 00* L 0- 0 %.- 0 U. L a- ~ 400-t-L

.0 LC 4 LC0 0 4 LC .0 C0 LC 12 LCn> *4-.. 0 4..-. a >40. U 0 a->.- U4 U a

4(0 0 0 -4 0- 02 2 4(000- 4 . 0 .4. U 0 41 U4 L -U 0O - W -U C -4

* of- 0A " -. a SA >6 0 tA U if 0 V - MA 0 >0C -C +UU -UJ *0 U -U 4. *~U U. * U UC 4 * a U

a 0-.- cM 4 *-- cM 0 - MM 4* --- aM 3o -Ut.- UU0 40 aL - UU- 0 tU C- eIDUL- C- 4 !L

46)6. UU *- U. aU U6 U Ua 4-U o a 4 0-U.

406 U * u0- U- 40 U u a i S* OU. a- u o uuv QuF. c na4; mV Un )0J C4.- V ) v Un Cfa +4 e U- ) +4f. 0.t

He 0 C Le m C LU 0 C L4U 0 CAD '.4mo 0 00 c4~P )U .) . .O~ . .CU .) . .U .) .4006..

114 Mz~ 000 I4~-L 0 0 le'.-.- 114'.~~L 0 0In> >6 >U 4> >. L: > >. > EE > >LC -> >>>>

Le UM . '4 UM - '4 6MM C00 M-1156M

C4

0 w 140 SI*0 0

4 - A. - .0 '2 0 >

CL Q. a-0 *-C c .

qf* C 0 c0 -0 -* 0M 0 C 6.C* 0 A

CL AN C' a AaN I. - ,- 94 em a

1 c . I c - .C4 eN aL C. 100 C * .. 4. c0' C a em 6; 4 E . ) 0 0- 61 3 c

- a4 g. -0 A a 0 S - N U C * 6

a CI C s A*64 C ae Q.C4 A r a C-; . ; a~001 - r" cc to e c C j a I., ~ Sa 11c0Oq e 2 Im 1 . 4 i

+w- 0 J o *-LA C + S I A ;*q + a -j0 C* iCL -C -a CL -C 0 '-a 7 -C -2' 0. S 1

u I - >1 L ;. C iU3 1 * OV* .. , ; .

* A0 c0 eLo 0 4.c. ~ c A cm *.a..aCI C 3. A* CCIO LCI*C c L C g - a cc-,: -c 0 -a . 'D - Uo* o .... :

,a -0 ;a vcI O -0 - a CIO ve u -013 v Na Sg -0(o 1CN 2 t -- U cN *60 0 L Ce-coo* u - ~ a6 -c4 a A0 + > cc 0 6 -0- a

c 0 t jc 4 -. . - L K- : : o - ej ccI, 00gu 0 0

c- c - u vIfo a *.. - LC ' 0 It e c. L .Ceau C* L. w C -aao 4 L u *-o o * O 0.0"L-II 1.- 0I US - * 10

Oi%-r-LCO .'c . a u x Le 41 MaccLO '9-0c-I, c U SK0666cC-L 0U 0.0 as L- ac a. 0-i Lo

IE ac- -ll U3 Eau.'a ac- E E ELMW .2-0E. 0sca-0 C. e a *ca.co - C. a a ocaC4 .- CO S CN .-0

ogaWWW-Ge 1-U U-UaUUU-6M0 Inti-E-ES-6 0U In~l

K116

0 0c 0

c* a

c 00 a a i. UC -

U c 0 'U 1 a 00 * to 0kf a f * A * -

*~~ e 0 .4. U *L 4.4.U.

3 v .. ' 44 *0 u 1. 0- -0* 6 00 40 a, c ** c c 6* 4. *1, 0 S. c; aE L . .

A 0 It L L ~ SO i

0j *0 CU *C* ~ ~~ U * CLC 4

* 0 * D 0 *L. * -ch W 0 ~. ULO aOa

wow I.UA 4. * A 00 IN a I5. 4 Is L

C: :g:o -g !I, U 4vS.

L. ! : : - :- .U L oe A .- S.U 0- 0 -C.U

CL U. U U* 41 fl0 a C. m 0 L 6 S*~~~1 Lo L * '. 0 6L g ON 1 L U 4

U 0 coo U* -4. U 13 6 6 .~ 4 ~ UU L 0 C 0 0 c.0 0* L Si * 01 U IC

-!2 0 . 4. a- 4. . > 00 )o 0 . 6 0 aO "a.U V-a0 0 oz *. 1U 0 a 4-1* *...

L. A00 0 L oSe A- L.N AD

n o. 0 -O O - fP ur c ac It111 0 ) c xO 3 02 0

4.54. ~ ' -0c6 r a 0 *NU - -CUU2L A1 .0 0 111 10~ 1.S *S- 00550 .

c~ U * *U * * 0 10I 4.L *LI 10L V

2 e 5 0 - 4. a 0 0 0 *0U U . - L. U.+

011 01,001 a 1.f.-NF 'Nr .0P .P 0 to0 U 0 4" 4.0 OLS 200 000 o m o c oIn:~ In: : U 0. A. Ini. k, AnI ni nI nI noI 1I no SS09)i ,I n00W ltg a( D2r ocuoc> >.* * u. 0 6 *U C*

C L C- . 0 0 S *U 4.6 5 L U 11U7

ll. %

4..

4~~0 A C A** *0

v W - - oo 1 ~ '1 a- 0 va- 14 2L 0 0 0- 40 6o a

*1 .C U) C-~ 0 L 0 4, LIN3 Va M. a LQ 1.0 as L 20 C a

4. aWL UC 0- L r4 *3 0

4 L 0 0 4Z COO t-4 0 04 a*

S0 of' * Ca C ; 0. 10 1.-* m0 41 0CL OWL A O C4-C. 0 C *C .5 0L 0

V -30 44 0- V% a L 0 40 04 11 JI V do0* ~ e 0. 0 A4- -3 - 0 0a.6 3 0 0

OC O-L C a- 0 4.C ON L *-C 0 L C ; 0f 4- 0 LLO 41. .- Al 0 ID 0 cc 0 U a

4-~ ID L64 C;L *L 04- Jll O 0 4

.0 sO ) . - co C~4 *L O 0

Cd C ALO * O O LO 0*C6 0C NCC . ~ L C 0 0 coC D 0 3C

46 * L0 C L. U C 4 A- 0. A AU X ~ . - I L.

774 06 N. x L 0 .1i 4 JI a ! A N-l 4 0 * 111 O;N.1OL A CL U U C U Il 0. 0. U 3 L A. 4L LM 0.

43- + OC O C A4 0 .1 I. 4 - 0 0L -@ 4 0

+W -O -0@Vd +S6 1-6 000 10 si

44 L O O 02 xO 4-x OW N

0WC9 003 L 0 -- OW:

* 300 .4 N 0

! 4 . 0 040 4 000 0 :,0O

L 00 > >0 U. li 00 4 N). 003>- V00 00 A

0- 4aA Cv '0 1 - A a C IVV 0.- V-

CL 00 0- CX L 4L 003 O X 5 006 L -~0~~4V It4-6 I44 ; 4 V LL- a a4 VaOL 0E O0#d OL 0 4-0 0l a-5-

1.-SOC a 0 1 04'-* a a-- 00O.4-1 .4- 4- C0 4-i c Lo0. -1

w) *LO 4. .- )j )O. 13 OL - 60 ) U L - )

10 a-Is C-4 aO I*;010cello 10 E0a 6.a' C OL 0 600 aLE. a 4- aa00 CL4 it

10 v vv64 4-,

4-400g*30 540 364 4-0 3 66 -0o).4 006. - LO 0.. - pLO 0- 1 P>

* *LL' C 0).- C 4 OfL- .1180

1 0L

L. at C4 L 6 0U0 0 a of c 0L. 10 L R0 .L L.-- 0

4. 0 12 c XC

a 3 a 6 - 4 L U AA c *V-L C L -C L a . . 0 : - 0

0 -m toe L C @ *c -a r C r. c c V on ON 4.

a L CL L.- - 606 *. EL a.~ 0- - -3 0 * C3L .- L - -. oV IV 4. ai 10 90 so 0 -6 OC a C

c AL -0 *UU 0 40 c * CL L 0 0 V

0 ~ C 0- 0Q

L. ACC 4 4 - C.C cc * 0 A &Z L LO 00- CC r cC u -wU4. L 0 *L U A U UML 6(66M(AL M-U U 100L L L 0 *LL. UU L40 *O i !6 : 4. 4M 1~.0 -M-o 30 06.0 a4 0s

;.". Ug a. 006 L 0

*O4C; CL d .03 0a4.46 *.3 *0- A 0 *4IV

N cN vt 0 c6

314 NU 0(3 u 00 .1 04 0 3 40 64 ALA X .. L L A X %~4g LL -. 4 A A.CCL L A J

A7 AV L C). CV 1 *L . 60V-- 4)4 66C.4 V o 4 -INC. 0L A S VI v

AL V 0 .- v 6,

46 vO to L . LO 4.6L30 * )4 * LO ) ) O 6 4 0O~- )

4.2 -10 4.'2 0 .0 000 60Lei 40: 6 ~ 6U ~ IL 0M LO 00:. o C ).a>

CL -E 1 3 .0 C E0 CLXo 4. C 011 41 .

0 : *0 4. a - aiE *L u24 C62 4 C 4.0 24 '40 .o0w6o0 0 .

@. 3c 41e0 - L 0.c - a . EL 0C EL Io- C40 - - C C *-L it C0 U Ch C

- MU L 0-U* o- MUO MUK11 .s a- iti --E r.E U- GlE LU-ooe. CO * OCI40 300 O 006C'04* *UU UU D. CU 4 UU . CU4aUU ECU.EE0 -

wer au ar----rrrr--- 8 66 6 6 6 6 * * * * 0 0 00 u a -

6666666666666666~~~~~~ 6 0 0 0 u U a r- - -r r r- - -g r r- - -- - -r r

119

J i.,

IL

d 4 3. ..0 * ). ; A .1A0

eq Q 3 4' 4' 4 to' *I.3 as a 3.. - : *~ *0 * OLc .m 40-L .4

* 40 40 d -- u CL!a 9 a )4 3 v3 3L CL

*6 L L MU. ;:4 . U- a v(20 a Li L -C- C fl

* ~ ~ ~ ~ ,! 0 L-. C (31 0. L - L C V'U C e U 6 G c

e- ! L. La %1.U 0.1V 0 .. LU .1 L L 64'0 C4 L ' IV m' -0 - -0 - 6- * * 3 -

* LU ... 0 LC U C 3 * - 4' L-e C 4' C

- ew 0E 4

e~LL U tU N3 U N L 4C -C *QL L. UCI 060 Utu *a .o u4 3 3 m 3 u a6 ". MM *3 0. L' 'C

I. *. e10* j

0 c- 0

00 . 4L L C I L

* ~~ * 0 0 -0 ON ;N4 N

aL4 CL CL ~ A OL L L A eL4 C L L L

E a 0 -O 0 o 340. NCU u 10 0 4' - 6 *U U c* 11 Vo VrI 10 .~I v- e U V (

aLEL -0 ~4 -C.-C -cV v e L .L L- 400 V L L. 4 04 0

6*~~~j CLU a LC 'u - LO

* C4IC ' ~ l* C I* 00e .0c CS--.

c: LLo C E3 EjL L CC L - C

4 o iloo C C 7

- 04 - g 2 a s640- a q 3 *L-- L- L* 0 - 9 L*~1 0 0 1 Q 5 Q 1 5 1

120 C 0

017 O9-.120V

........................................................................ ... ..... ..- - . .D

7 -7

4N

4- 4 - 4;

4,-.e 0 . Sca*V 0 4 0 - aU1 6a4,0- * * - 0 Go1 0 3 36 kC

40 0 a O0L L 0 4 C0 0

4 cc0 . 4. : = L4- C-~ N r- .0 - *I- C 0 (a-- (a 4. -. - L. i 4.

C L *UL a- )00 4 40 CC 0-~~ e4 E00 44-~0 U..*--

00 -u 4D we4 C 43 a~4 Ca 0. *

a- 0.0 D~ 0 CL *1l0. 00 14 0-

~ 0- *.4- 4- 4. Q a -4 0.> -* - 0 -

30.4 1Q- 63 *V63-1. -

L 40 0* 40 L1 4 Cc U

4 01.6 4~ .X. CC 4 .0 CC 4g4.414.41 4Q 1 00 a1 0o 0C0'1 4.0 1.0 LL

A 000 1. 4 L0 40 I-4 0o 00.0 0X1 C N OL f10 4 010 D0 .k 00 LAA I 4 3 C91

A -~ 404 400VV -L-0 40L4- 0LL44' 16 a..*4- a2

*..1 1 0 I 0 4- 01-

4NQ1 C a101 0 *1 1

L .O 110 4134 0 0 IO 0 011.0 *0 3 u.A > 4 - 1 1.1 > * L 0 :; >1C1> >1. 0 0 > CL CL > ,. 0L >11>. > q 4 - bi C1

c coo 110-4 00_1 44 AA -41( OV44 Q0QLILOOEOOA 1l4e xE L- E1)E v -L u E Ev - E LEOu"EO -E E E L

.N . 4 -4L. 4 4~~ L. :~Q. L4 +40 4*EXX1. 4 *Qo1 )C X11 40 c1 if w00C .0 x

04-444 0 0: 0 34-4 a-44 403ffX~~~. L- 0 L*- C* O

04C Go. - E -.4 l -0 0 1 4 E !EUE 1.4 6 76E

C ~ ~ c 000o oo flc40 00 0 II--00 0E N O G N00 I' 00

1.0 00 T- 1.4 0- 4- 1. 04 - -- -C> - >I > > -QC I > >- >4I >

121-

*c 0- a

*3 4c L L 4.L 0 0%-

a 0 c a c L V 010 C' 0 u r_ 10 a a

. C. 0 ! C 4 0 t: CL

* * 0 0 L 00 0 0 ; - ...

C 0o 0 * 0 NV 4 .0 a z a'4 0 N

UO~0, C- 0 0C L C 4 0* L V -

-- 0 CL. 0 CLO 3 a a * u 0 L

rM r1 0 IC I" 'a 00 -- ' * 4U-- 4( ) 0 40 L A2), 0 4 0. 0 0 0V C U.4- 0 CL U o-o-DC 4 4 * * LES4- 0 .. 0 IB 4 * 49 00 0 * 0

U 000 4-0 U 0 4 4 4I 36 U4 M 'E ".VS 4- 0 ttV6 4 0 4 4 -x C.

(JO C. L UL- 0 001 UU- 4 -L

I *fl 1 - 4 0 0 T U~C0eE 0. * 11 L - E ~ -0

*6 *L 0 0 40 z1

* *L .L A 4 U 4 * ZC*0 E A *0 0 N 4 - * 0 0AA X N. NA A J. .1 0. AA X 4 0 9 4

-N 0 4N0 1;N 14 * - L- ; 44 A ; .04' 0 A

L L A .- 4 0C L L1.L A L L VL A L4 4 , L 2 .0- c I. O

0 + - 30 a * + - 3E *. 0 4 L o , 0 ; * C 10 0,.xxxL a~mas- .I..;JL . ' A ) (X L 0 0 0 L d0M~ LC400 v LO LV L- A4Cj V L.4 L 3L - - -9u )v LO 4 4 0 0 (J- yU

0.L * C L L. IL NE' 10m :, v'' 0 o'' 0 00 4 M 4 0w aO a.'

>; -V L: I U D V 04a u * O.*0 3- L 0 ( > .0~ L 0 0- 'J >* >C . U u a l

000 *M E M 0 14SE at L 3ES- E L U :!IV 0 LU OZ::~ ~ ')0

L4 ~ ~ ~ ~ ~ ~ ~ C 0 0l L I L CM4

ELO V 1.4 - VELO 0 4. 4 O- C - *1

11 0. C- 0 XL C-L 0 % 014 U-0: 4 .O - -)U 0 0 00; 4u - 3 41

10-2 E E~ o-6uISEo -SE E EES66C-IE IE E E E 4'

CEO~ US00 CE OOVC00000 00000.0 CCc 40UU a a a U00 0 0 *UUUUUUUUUUOUUUUU,0 U SC-N 0m e-N0OIn oN00 0-N qu 0 Nme0-Ncufiu u uuoM0 u 0

-N M LoraN 0 N0) t a . 0q# a 9qM q Inf10ICmo NM 0V 0 0P.up1h 0 ( 1 0 N0 0 A(4 4 4 4 4 e NP4 )0 0 en M M A A W, " ; N S000 000 000

122

: : . :. ' . ..: ;':,:.*:, : -. ,, ; ; :.-: . .: :.:.- - - - - : ... .- ;- -.. ;.. .. . ... . . .. . .

, 4. L

0 L'

*!

0% E 4. L 4 . 0

0 CL C0- L- -a r* N aS0 a C 0 U ,

* S U 4.EU U .. EU.Ui

. IM CLC .on - S -EC - - d- . . -* 0 L o Glow Is .0 4.4 10 414 a %-

L: 0 C I- L -' S -. CC,

* 00 0'- 4. .. 0 0 C~ 4. .* 0

44.1 4 (S_ d -4,0- C a 04.C. 0-3 C 0Cio *. o -*1C 4. 0I. a aCE C U 'a0 (cO N 4 ts a IIC Do- -0 0 - .4. c c *la aLt

a IC ; *'S .i S x 0 1- a .. S24 S 0010 L 0 0 UCL I- . 4 . 0 SC 0 C-f- ; . -. L 0 SCL * -

CL0 C U SL- ) CS aCL 0 0 cC4W CU 4. C -: 5 40,0 U C .9 401 -aV C X

0 0a- - CCL 0 0 min. 0. 4.0t 0 4 .4 * 4* -U iC -o L 0LS . UC 0C G USc c C L--

V0 - 0 a-f 66 7. S eU--lE a m0%* . 0 f- C S S 2 3S0.~m L N- e L ~ CN N ~ 0 .S LO l N CO 4 CL

600-.? E 0. ;0a--CO K a. :

00 00; 0 C , 0.1..

0 1 .c K~aO--- , 5 a- Q 4.- L 0 C

L o I 0 1L c L t c , 00.5v I .. ~- u 1 er

L U t. L N9 N ( N N9 -C - 1 9- Ac. c399 oa- 0 L* 4-m N- 1 4. + i+ C4 1

L : :

*N6 0E.mi.,-4 e ";ooii 4 4 m,. oom,, . oo.

I LC L "Z:"C.*C-04 ( -SC - A I 1 -0 U NUC I N > I- >i

1. -0 t,. • 1'00 9.0 >,> 10 > ..' S • i

4-N, s 0 0 0 U.0 E o 0c , i0n--c in-- 0 ia o4 c IcI '

+ IU L c . 54 L 4.. 0C 040 42 ,4 - 4 3 O • . . -

-* .- U- -, - - U 0

.L .CC6L= S .e--1

00 m Q e- 04- .-34.. L - 2- L.O 6 10 a- 0 A -CLL) -- 0 -- * L ..* CL.--' C C11. -- .*C-. N U. aC a .4 L

1 * . CLCC ai S

L"5-LLC Cv.V ... -a

u u Qa0 a w u E* ua COU , 0 . O .,-. U,,, C

Cc. a) Oa O-- CJ Mw Int.QDa .ct.Wtw .o-C4mq. wm0 C0 V"--------- CU U SU e

%. %SC *O000O06 :3 CUO *00 ..'UU UU J U fl J * *- SI J u OUU

0 d 1 8q 0 0 0d O 0 m D ,.NNNNNNNQWQQ WQWWW00000000B0O)OCO CCOC----------------------NNNN

123

7 -. , -

Lr

L Da -Is L 4100 44 CL 0 . .1

- - a c 0 (00 - -u

". 0 0 0c o * *"

0 0C A c w a-~ V 12A

34 Xa (D - .0 CL CL LC CIL

*v - (a -c ,. CL oC "v v .

CA C" ' *^ O4' *I..W.

a v *" U 0 * O .i

.. CC " S. "*4. €,. - - . - V €-S^ ,

CL~C C.

, - 0 --a 0 c- c 0 a'

- .4 " 0. ..... *No - *.C C3l - bV-+ ... g- 4'. . A

*0. 04'*C 0 II0 C ~ CN- AA-0, .*4 '

o C 044 - Na 0-- N 3% *O0D . C 4. C * t .. : -E

.,.-. 6- - . . *. .- , .V

4'I N4' 0 N30 0 3*~~ 0. v .g . - t L 0~ 4 I

6 0 - U U U 000 C, .*." U24. C0 *O1 L A *40 a N *.

*................. .... * .. a. 4A UNO. -. . .U- c C O . -- O *O.C - -

00 eN S A A V N aIt34 x Ci I4 4 N 11C '

* at a 1 41, *0 AXC 0LI L A *0N

-C C 4 ,) N ( xr (M A 0 a ,mO C 0' C c0 x@ mO V V me' Ia. 0%- A

I- ~ 2XU a A O4t CA * - L CLAA A -00 C4 .'L LU)(' N U 0.S A A 4 0 A N * 6 iN 4' V

X6 X t.XXXXXNX .. et - a t a *ta . tCLL 4V0001C -940 V t. t0pL-NL LU 0. LL t Co.- 7. AV V t.v

10 : 0 -C.. . a. -a U *L Ch-04 IDCIC 3 d 0 u a* t4- a 3aa.-C

U > > ) > U- C > V -C I i v L M4C O C U C 4. a OL IIU-4'4S u go aI~4e 3 - 0 If10L. A G EEL 0 0 0 6 .Lu - a >b it 0 LU. u u 40 . 4-.

* 'L* 04' CL a4-a C L 0 CN4'a L.

R* :; C a. -o 00 CS- a.04

0. - M ' MM.%4.

a- L r. . .4%. *McL! -. a UV .'o 3.0 * (A - 4'00U a L. 604

L L -0. 4' U to LU a Q L C

'a~a C.O E 00q0E -U6 S- CE -004.S ECE0 0 06SCUa U 0Ua 0 UaU1 .4 t U 1- Ca UUU-UO.a . SOCc

u U u NN0 U V U 1* UD44 ;W 41l( (O 0Q 0~ ( p Up.!ClUUU N M. It to*0 00 00 00C6 0 00 00 00 0 0S0 4i004 N0llV0)00 0 00 -0 -V0 00-CD000 mi i r

124

* C--C g .

0 *0 c a0 Of 14 O

CO O. In04 - U . I 00C:a) LL Q.4Eu Q. *

0s f" *0 2 EL a 0 N 04-0.Q Of C(D 0 0 L.. Q.

COIL~~ 1; C L * f O001- -040 L V~.01 qN; a

* f 0 -~ 0 C.9L 0 C0 0

- !U . A~ 4- L~ A' 0 ..C; a .- N 0 c AC ~ d.*+ a . _

CQ IL COI-.al ON C *N 4C LN @ 0- C? C

*~ V - VL U *UCO - A L 4' 0 u C.

*v- A *q U3 AU * q. U L N

*~ -U L AL e4g A U - .AN A L. A4 0 * A L C * A4 0T

3 * V C O- 3 It C L I A*+

* *. L - N If C * IL* .0 L - N4 -- 0aC U 0 L U ACL U 0a 0 A all

0. * L L AL It ** L IL O0 U U4 re atNv - Z0 aN -3 U U4 VU 001

*Lf Q. 4. . * L CL U A A A - L C L * ifU!0 011 . V- ;(4 (d L Q' A A I O

C-U 1U 0 A C - 1U IL U +CC~ - 0 NA3* ; l U. so all L Of EUa L M0L Of L 00Z. CLCL aaL -NL U - L 0L QL - CL AVV V LCL0?.L-N4L U L- L WU

I!U%-0 4UU V V A 04% 34 .'~ . UU. - 4.Ea-U Vo a EU 0.0.SLQ *C12 - ;L 0L. C * 0 * LO *C' L 0 0.00 -V 0. E- 0 E O.' 6.- v -

CLA .. t L C0- N-. U 0 -A -.. + 00*U A~OU LO 111e v 0 3 -AD C L U W ~ U 0 a LOL

a00. U U U 1-U.3 00- 3 0a I O..' U U U Iu LU a 0. C LUU u 0O 0.4 W LU 1 U 4 a,

* 30 CL #I A LQ *LC *P-U LO U. *10 Q.'L t0Q* C It 00 C x 4. c 1.0 x I..' C~I 31 ug C -K 011%.

r~0 c.N C EL 13- 0 U LC O- '00 q SLOV 1C~3- a CO U *UaLa -3 C 1 U V3- CU U a E00a- 0.A *C0 U-- L.C 0. A- a-UsCO. - Pa6*11 -- 00 V-CII -d 0-oEI --L0 2 V-IC 0L -c

o~ ~ 'IW0.~~g. CO "I, 0-U C E *O 0-* UUOC . C 4UUUU~u-u04.ID.. **U U u UU * ;* U U UJ-Uf* a*.UUUE;,

N~~ a& 0.-9qn~~eN) InN O-N4MQ 0%40 aN~ cfllSI 04(4 fI

------------------------ -------------------------------------- NUN1NNiNNNNNN NNNNNN NNNNN

r.C OO-tm Ie. o -CI )V10 . ao 0 lwP.0mo N(? I 00F (o12g5qI(

*coo% cc .*co - - - --- t 4 4( 4.4C4(400,0010, 0000000000000000cc.cco-~~ ~ ~ - -- S4NNNr 4N1 4C1 4C 4C 4C 4"NC

C

C li-0 4 *

4. '..0 6 4. XN 40

U -. 4. *4 0 * .40. Q. N40 r aN E CL6

0 0L U.- a N0 0 0 u4 0 a10 01 CU 0 4-oC 01 0 0 61 a V0C L 0 L0 1 10 L.4 11 *N 0 4L 0 > 0)

o a- 66 0 C VW a a 4-60 C 04. C N~ .04.-0. o A*'1-A 0. a- 0 A6 L AC ( A4 C 04-~ -U C 4 ,)* A4 N A

oL aC 0 1 .. a- a *- 0 0C SO*0L a. C46 (OX 0 L 4 0 -6 .U N0

C 60 4 . V C 6 VC L 0 4

A L -0A *! Le. A0u L ;a CL AN O4 * C C Q.0 NC AN O 00 "3

a; CL4.-. * 0 A 0 -0 C.* 0;9 NL #- 0.; -*4.- 6 0* 6 4.64.4 a0 t6 *- 4164644C r LV - V. - C6V -

*~ L LU L I--L - 6 4 UC 4

4. N40 4 4 6 V4 a- C * A - *. C L V1 L C L A: 0 A (:- - 4 A A 1- 4 :. 01 A -;C

ES 10 N. MN - A 1NL lo 4'a - ; N4 A A A 34 04 (AL-

4. . L 0.4 L - N -- a.4 L - L 6La. 6. L 0 6 _4 CL64 0 4. 6L*O1 L * C V V.. * L I LL *.. L *0 V V L L

-0 VN4 - a% 6 V6 4)

64 .6 n I 0a4 +. V *0 .6 II 0.0 L A j0 A A -0 CL L II 04. L 1 COD 3 O A A Q, L

a 10 a -N2, 4. It 6 G A2 A :;0 *6 A A-N 4. -%*U L00 -j V uE-U > 4. M A9 L U 0. 0 U L0

40 4 *I 4.6 L e044D 04- Q. L Q4. L00400 a= p 4.6 a. L04U 0. of 1 V1 V V 4.4 0 L-N 6'' 44- " 0U-11 0A VV

e-C - : 4.6* 6.) a*V . V 66 : z. 6 . U* V .0

r02U1 0 A U. 10 LO U U A. V ~ 0 U0 A ) > .0 Lo

3 L -01 04N. La -*1, 4- 0. 0 > 24- 0 L. . j L£4.11 *SO L~ COl O0 a oo 4.0 d1 .E a-4.a01

-. ~4 L6- '. V0

IE3 a 13 6 6.. E CU 0 U 3 2 6 *

a0 a00.4. C; CC- £ oc0 * 00 a x 4 0 - £6 so*00 00%.a aC C a

0000 000 00 00 00000 000 00 000 000000 00000000000 00000000

126

L 4

L 4 0 0

0 * . a0 (aC 0ua E C- 3 C 0 CL

o x * 0 C - ~ 4 4a0 0 - U-*m CL at 0 L Q- U- ULL a N 0 L 0 C

0* *a a 4.a4- 4 > a~CLC- 0 441 - 0 - 4 C 2.C0.

CC 0-- 4- 00 UO u .4. C64.10, 0 4J .C0 a 4 a O!L

60 3a C LOa W 0C a % ; * '0- L. 408.

13 an 1N L L- *0 I U 4-

OS 0 -C 64- 40 -v- - * eL3Ca~~~~~~~~ 4- 0 0 C 0 o E 4- 0 0

-6 Ca. a -6 4,:0 014-.

0 O L 4.0 * Co04 aL 4 4- C LL 4 -0 9 U U4. 04 0 0)** A4 4.. . .~4 C C

C~r -C A0- -6 *070 -UU

4- L-.' a4- *0 AC .00 L sC C- L. A 1CAC eND a o4 -NO34

106 P- A 1 A 0 3 CCCA 0Zu a.C W6 a- L 0 a C C .C -C

1e oW- -~ +q 3 a .0 + E+ 0u 0 LL cm 4L.L 4 -L L 3O L4-XX

4-L. - -ma V4- 40 L6 1r 1 WC39OU.. 40 U C C- 4 0 -6.

*W4.4to .. C3 - a A z LC L 300I4- A I 4 CL 4 A * C 0.-4 - C 10- 4' 6 30 .3U0- CCC 04 1 L07) C---

6;26 . -0L6 4 0L 2 0 2 Ct N 4 LU D 1 - 0 09.- .9

L. u-. u l.. .46 LU C L U C UWDC 0 N0cg- ~ * CC - -C a 4j C

as' 64 0::. ~ :-0- D06- a - eL1C aoa 2C- 64 0 *

30L - 04. 4. 0 LU0 0 044 4 LU -0 DD 4 L* at4.U0Ua-aa- 0 x LO C c- LO C 40AC L4 C* Q4-

L 0Q . C i

L S, 3C C 'a 60L C 00C61 U -a 4 0u du ua 0 a -64 U aa. 0-ECEEEuv m a.004.6CrcDj CCC-u)ap '0000 -c CC in O 4. Ca * Q0'* 4a-40 0 s 100-0 , 1 a 01O 0000000000-00-N7 W ?,0N0q0I,0-

00,000000-00000000000 ----------------------------------------------N N N C4 N C4 N N N N 44 N N N N N N N N N N N N N4 N N N N4 N N N N N4 N N N N N N N4 N N4 N N N N N N N N N N

127

s- .7--.x

1i, L. A

l ,.-,...- .

0 V

L.: A LA.Ia 1-c

I.. L 0 0 a

41 , t o 0 ON * L.--

C *N :.L

* *C* . v :- -

o C.O v o i ,,CN4-,.

*c 0 0 0 -* 00 0 " 0 o 0 00

Uv.€ .. a0 A- ,.

%L 0 * * 0 (1 C; 4 01 A 0

CL 0 . A 0- L - A A 00 LA

+ 0 *1 L 00 4

S 4. A V 0 10 , A A N L - 0I.

* 0 * 0 * '- 4. 0 ,' 0.*N-

V V V

A *V

t CWV .0

I!6 -* 0 If -C ii 1 10 L v 0'

LA L0 C +.04. 0 I. *I + 00-6 z I L

S 0 v L L 0 a 3 0 L L. *

E-L L 0 L 0 -t- o J" L. L ."

0. 2 a 00 *O ItC N *0 013*0L>. C > C 0 C-L U - 311 L > L N

*I 0 0 0 00 .7 L: * O00 it 0 >. >

E 0 0 0 " . . 0 0 -. N E +0C 0 -"

*0 0e 0 X OU C L OXSONCL 0

Z ' 0 0 * II *0 4 I 0 ¢ 0, . V

Z U * 0 0 ! *6 Cn 00

-0 - C.* 0 1000 L -0 C

C u. . . lO 0 *o O:- l.00 L

U. > o. so so a 0 I I .-2 o o a - 0-

U. U u 0U U *U0 UA U U U U a; - 6U U U.0

It W) U. M S 0 -, 0 0 N

0 NI0n N m 0n to0 ANN N 0 in4 IN-i Cc -

A M~g A N* N N NLI N LL N0lf- NLL N* I- N 6LNNNL NNN

128...-

.LL C. + ,,*.. . % .. . . . . . . . . . . . . . . . . . . . "."L*

+ ., _ " " ,. V* V°-.. ",V" -",V L ", " . " - '* * . L 2 ** . q -" ," " * L0" ' +2•C , - ' " L" ." . - " - " * . " . * °o " . " .

em C4 4

* *4 C C; I Ct L

em *C4 0 Cc4, * -

*o e * C S e 5 .

* * 0 C- ItN SCN I

iN L 0C- I. L 0N a u NC0 0 (CN 0 CO 0a * a A 0-

L 0 C * L C C Ce 06 LCL

UN N *N N *. C cc *4 NC- 0 CN 0 C- 00 N.OC

N - A . C-C; I .

CS caC a Cb A C; ~IDCC. A- A ACXL A -j- L . CX- A ACA-

C L A O. L C -CU a S L. A -K N 4 C4COOS~J N C-M to C IO VC-S SC

4- 4 L 4 C4 -CL V L 4. COV I. C.o 4

C *U0 C S . C-U 0 CSON C

LC L03 - 94vC 0. C L-C C C LUC 0CO 4 CC. itC CN.. Cl a-S - aO-

a * . C..- II C C .. CitC. C5 0. C C CO It CO

LN IS 0N :O - S C.4 L C *f IS -A > LN S -OC L - LL A N I 0 ULA C . U LL A O I - L A O L

0 C0 C L a LEC -C *S.I LX SC *C.I Va -C C. ISC. CC 0 . V- CO *V - CO a- V - C. C V V C.

a:C a* ES 4. ; iE - 4. 4. ;C~. EI

.0 0. .LL .. L CUC-L.-. u CCa..- l

L0C, COM .S m - o~w LC (4.5VIno, 0 LCI W 1I N- LOC(4 S O COMO M- LIV0.0~C~ 0L0000C. 0 0000 II-.CN 00 ICC. *O I

C~NNNN NC NEO CIC N~ C NC NIC C NM LI4 C-4->S M L> >SC. L> >C . >C >*S>>MC.>O >>

CLO O M CL~d .C LU~ MC CL129M

%-c 50

* C4

C4 NN * 4

C1 * D * C4 *NL

. 0 C. * N E .e c ILW A 0 L AN 0 er- at av aI

L. *N L * I . gl *4 .* 0.U 0 4 4 00 5 4.4,

'A * 5A e- V A'. vL 40 A 3v

N A 0 0- L 4-0 - -- * ;- c U5 L 64L - *4 CV 4. 3 L *4 .L 4. l3 *. L L 4

L A a. I-N 0 C .. 0 Cr 04~ a- % - 0L. I. UN U. L. 'N Ur- L. - - L N .

CL V SL 13 CL-S- 0!-0 0 ' S c- 0- CL 0 COL -.C .. 1 C .. L....- * C. C L -..- .C ... it-; . . ..

4.e-s 0 AO U. 0 0. AO . e- ASc 0L 00 NcV~ AL N0 C L 0 00 c5

-i A *13 3 A~0 0 ~ L0 ll ~CD N * E 0 L4C5 ~ .- Ea6L L- C4~o

A5 A. X 0. 0* A A 0 A0- . A0 sA5

L. A 0* f, -0 L L, M0C 0 0 L I- CO CC 0 L LO-aO A Ca-~ V *0 0.. a0.-q5 eS o. -0e~5 Ill- .

3-C ! V *- C........ . - c *. - v C .- .. C5 .v

*.L : Z) * * 'L ;- O + ;0 Ea4 ''I I''L * ; 4. ; 4. "L ;SC 0 *06Av 3C -C 0 *5V L 06L C A10 v0 -Cq Av L LL 3c

0a to. 5 -e. 5 - 41

SE ee eL I 5 e4.5 Aa0 00 to *0 5a!: >A ) L. L a A, US.> L V AO e.0 6 AA

fli 5.0N 0 1 4. St -- N0lP I 3 CN4 OL -- m0 0N41 33 (N

0 : - aLL OL4 0 0At A IL a a *~ SA0 A 04 K CALL

.40a .04 -*0 4.~ a40 5 4.6 046 4 -*- 4.6 0.C- VV a -3.C 0%V- C a- . 0-V - 11111111 -

La 0. X A 5'. U. *LJ.J 0 X L 5-E :X C L * O0Eu LJJ9. C - 4 L L L - C 0l4~ ~ L L C - l~~~ LCLC~

OSU ~ 1 E0 ae.5S 4 Ee.-U -E45.S00 - Ee0. E 00c. 0 00 a4. ~ c 000 Ic-4i 40004 ~-.~*~ 0E 0 IC-.~- sa64 LaM. 0 4 0EE'E * .4 0EG-E C 64 EU

064. tO u u6. LC; OU 564. LO *@sEU4.aV 6 wCL5E 4.0 C4.LE 4. C.~~x 40 COLNO

-4N9 4C 4 4rl 54 gel C4i N I C4e N-I CL N. -~ C4 N54NC 4NNNC 4NNC 4NNC 4C 4( 4C 4C 4 4NN(C4N0 4( 4C 4C 4C 4 4C 4C C 4.N(4N0.C C4C.C -4C C4 4.~l 4. NC C4~4 N. C4 C4 C4 C4.C0. 4 40

>0 >0 >.O >i 6>0 >.0 >6 >0 4.0 > i >6>> >

C'- U ELO 5- EO OS- EL130-

C N

N .0 a . . .l

*cct 0 Li~ kv(0 )ofC 11 CNO N SN* 0 *

eIt. aS a06 -g e4g, it4 C 4 Z 4VO.: L 0. 41 L. I CM~ in 1.(

A A4 * - 0 0N4 0 10i6 C .. . N

Ii A- -4I 4 A .- 3. C OP 4 .A 30a- 11 0 0 C *) L C 4 CIL C 4. 0 L5 L j :! V a~ 2 gol 111- 0 0. L 111-C :2o

0 0 A@ 0 .0 1 1 0 r o 4. -0 a ja 4pO*L C2 .. - av g *.. L *- 12 v. ii .9L* V C- - C~g v - * A L V C- Ill 3~L -

* L0 U L U .. u N U~ 6 w aOwCC 0 N *i U0. 0 1j.E4 all-

L .0aC c 0 L. 1. L 9Oac 0 L LLC C a*c c ug a- a. ga L L-.&.uc es- a. v.-uc *

0, ...~ .. .. c .

C0 *O C; * OcOe0! 01 A 0;6 3 10~6 .

L N C La 7 C-LiE A CL* .4. 1 34~ (a C .4. N 4

C.C.L A C.O4.. A04.O .L L A A

* ~ ~ ~ 1 0 LN.OI v-- L *N CLa- N.CL C- NC.a '-. 45 C Ca g. 5 5 4 ~

-0 C .IC -vV C 3. *1V -r - *V 3- C - C *CC + 4.:q a-C a *_C r;CC. -1, a +0.C

L 1SCU LE1I 0 L JS~E L.J .+L 30 2 E U 0 L X X+ I. Cs CV L 16. 16il.3C :V 4490v I L L. d3C dV .4944 LO L L A C V K A 0V LC L L-

4-C .- C -e C C4-C S- C 0C4L L CL It a 1e O4 L * CaC 4

CU a- a0a:-C : *- CCC. C-O- - O !rc-L6 44-C A LCaC C4C A LO L. A L A 4

0 114.~ 1Q aO . 94@ 10 00 I> >.4.~ SCOfl >II > La 0C4- LEEU~ C1 0 . LOE U-1 C d4 L E U.9 1 C I

LL0 N0 - LC NC 4C LC 0 aNCEC - LC CCCc1 CLL V 4 Cll E 4-C11 C 0LCEItV IIIC CL

f* 4 4-S 4S. 64-C% a 30 0 32 am40* 3 2

I-: ! 0 xL aa- OC oaC - X L I oC 0 O- £4 C 0 4L4-- 0 6UC L4-- C- C C LO

4.U4-4-U 0 -C4U C 41U~-U-*SES50 1-6eg *S 1--E6 vuE E

00- NO..,aO iiO -eaV oP oo0------------------a) -- NNNN"toNSNP. to a - c(')ESo IE')('h')NNNNNNNNNNN4E')I'm

N N N N~ N N1N N N N N"N N N N N N NS N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N

131

C4?

c 01 c C; *in ~ ~ ~ e~ a 4a . N ca .

0 fl4 41 E 4- o . c tat0 - (a* 0 - 4 _ a . ,.

-a 0 30 *0 M. 4'U 0 03 -. -

4' Alt aal f- Ilt AC l 4' 1 0 Ol 14

a S 0 0 -1 4 S. 4' A0 .4 52 1 * C 4 -a AA Cl. a a - 0 l OEg W AA 0t 0- 4a0 A CO.0 it f.. Ut -, C. *@ . - L L' El .

4' A bA *(*da 1 4A a a Sq-A 3 L In cc- a 4m AS

L A'nt~t * ** 00 AS -C V If a ;S4. Cc -U.U LQ C CO c d F -V m- C SL. G- W- C VUOL L0 o e ' C-a 0 -04 4' ,C 0~ C 0er +, -a a og

L CL V 3L. LV 0 3 W;O L_; e0l . L V10 cC U- 11 1.car. 4LA3- 1- A - 3.CUL * 0L 4'~ A C 0- ~ 2 3r

1 ~ . OC 0 c l . S L 0C 0 * 1 CNl.OC L * St a0. A LSOo L r 0 41 c 0,:. C CUS al CL ao. c to~e r*C4 u

4.-4 A. 4' . . . .- . '........ - . a .

C a c-C C N9 co C6 c C v

aX 0%a v o~ a eo O a 4-t. C A A 0..- L AA .0l. L * A A -- t. L S A A

Ut. S-- 4. !!'Ol C-- 0 eN42l -0 N'2l --L*~~~~~ C4a 3 aa t-a C4a* e - .- ac .aL. ' L~l A In0Le t. 0 1~ ~A LI A 1' l4 5 10 0A L L A Cf~. '0 O AtL.L

c * l.V 412C- -v - c* C L t-V C C1 L2 C * . VV

0 Su a0 4:. U l Me'; o EC'S aOc-e -- aJ O - ai .- 0 -i .

0 -1 0-- - ) e L u (111 ES 0 U u -p2- A LI-42 * c m e. 2 A e e l~' 2

> >4 L.1i e t!4 >.~ , )I >s 1-U9 * >g 06' LE

4.0 20 U- Ee 22It 0 3 1 Le a2 0 UM- t wEt: E- El. 00- EL E

*~I - C4' St eL t.- C0Se l5 MS c tS*~~ -U E'e -U M.c *4'lSala 3 a-a-0 30 11 -m0 u- aui m -aI la 0

U: 132*0 f

a - a1 - l - - CE E C- 0 -- 6f0 a* ak 2 - a00a Cc; c 99it1040

% .7 -7 -7ii.-w . .5* t. ~-

IN

* M~ 0

M N -tl 4 *- e I 0 * a

zq O* 014 *C 0eN. cN w 4. A

0 a 0 Cp a a A 4. 0 a*N4'0 ! !4' - 0 0 * .-

L 4 L 1! e C 0 L. a L >o- C AN A a a * v

0 we~ 0 4'4 CL a a0 4 - 0 CL L 0

MA 0 M M 0.' gL~~4'~1 0(0 L* '"a a I * C aI 0 CL

-. L. g soA :c 0 %0 0 00 C. c 0 , e e. 4-

L - A04 ~ g1 4.A 0 9 0 c

4' * 4*0 Ag .VC S0 AC VV CL e Q q 4

40 L. E N@ g e-L N 04-Vs N a N

0- Lm 4 A~ LA 0g L. -4 A 0 A

LA C a CL - -A IngL L, 10 - to eO 4

SC e 0 0 CLAW-US-S - 4Q- 0 C0.o-ueS 0 r at c0-3 .*. C. .- 3 - . Ac- Z VC

*6- N D- e 0~N . 0 E a- .. + o'* ~ ~ ~ L L' 4' 'a 4' .'0 0 - 0 * C C

00 C c- Co . - e eq s -

L *0 S A L S V - AL 0 0 Q 0 0 c)I L 0:: N *.- ), N > 0- u e >0 ). 5

3 * - Im a 0 a 0 5 * L a- a v 0L 0 a L 40 x L 0 0 L 1- CL L d

o CN 0L 0XV -N CL 0 N4 0 L V-- 0 * 0 0 0*6 t o I A*~L -m *. a c - * * -i .~ C *00 g

4' ~E-S 5 g ug -e-s4g ggg -e C l CL a C

0 0 -ML - 0 CD C '4.- -- C - U- 0* 0 - 0 Om uC U 4

La E'M A. Ee r.'a; E 6EE A L; 6 U E j ML0.5 > -Cc CC II'0 ~ a 0O E a 0 L c 0 0 '-C a cc

LO~~~~ a50g Lo *sc3 La 9 aoo'- LgCLLSNYua- MO CL5X MO u 5 0 uu uuoMEIMV .

O .c 0 L N M V 0 L C.' 0 0 oi 0 g C N*w r 0CC - N(MUO -2 MUS0 U 0 U

D-cNea N-CE~a N N-eN Ne N N ( N N--N NC> 0004 >E ->004 >E O O O O ->gg >Cc>>>> >>> >>3

Suuuuua- suauua- su uu uu uuau133s-

cP II I

u A ..; 41V 40 4 E .l 1. v

* 4 0

C4 * - *0 4 0

L i L. L ~ C" Ao 12 o.O

g. *: 41I C4 .1C I LN a U Of c 0 L 4 4

q a a - a,1 4 0 - r0 c1* U2A4 3 'o .1 L e 0 0 4,

* C4 10 4. . CL Uo lqN o fl 4 0

Soo 4 0 C0 4. a- 4 CL 3 0 - *

4- ,' - ...CL a 0N *l C 3* C C 0 *NN:.u v! it a a3 a a~ 4(% 0 c0

L UN of I.: 41C!C 01 C a0 c 4" -0 C 4(4-M :0. L. A III :ra a 40 40

L V . CO 00 C - -aCL ,C 4CI

a *o - O a eN 0 Cc~ CL 40.-.C0t - I e .0 a - Av CN V 4- L L0 - 3 3P. U

C 0(~4 4 C U CA 0.1 eq 00 0tl.1 0 -

03a L 4 0C0 0- 4D( %.D I S L eq1 --~- 40*-u0

V, c ca LI _ CN

I-

1~0. .0 is 0 .L . if (V 41 * >-a ee - o . ~- -CL 10. C L 4 04- C 3 0 L.-0-05 a to N0. 0 4-IC - * --

*-E C a aa E uC4 -)I--- L A +-V C SL OW S. *0 q *^. 0.'.N* 00 L >111) c c f4i - *04 1 00 V 4 0. C- 4 10 11 UU0L 4 10 l-

CIO ~ ~ -I v -*(O0. a _ W - I _ 2 0aa4 2

0L 4- 0I C a 11 0- ; C4 1 4 aC c4' ;4 01e~~e,.I - N.* 0 4-l I ';1 C C C 00C 4 LO 4 UcS

> a - 0. CC Li >.. 41* - - 4C 0 3 *4 0 a4c w 0) I +. )C4'0 __ 01 t . IO a 0 U E 0- C0.a0C l- Ic aIC VS 0 0- C CN .. - C- w*'C V O 4 41-

* -'0. VC 4 - -0~- - -If-0- am 4 *C~ V fillI -Ca is1 00 00I .400. N-1 FA---- a lo 11 a 010. 11., '- ~

eC ~ ' 0' 04 _4 - 0 UfV 0il a a 0 C O O -X L00 - C C 0> aCe. - +- - -- - - C6-- L -4 aOu3 L-0.0'Ce aI L

0 CL c C4 - - 0C - CL CL l- OV CL 4LC LC . n-3 C CC. -. 04-.'L-.

a -00 I4- CC40- -N -O 0-~ '4(. -00g C e- 0C-100- 0C

* L4 MIL In C.' 00.'-op (1J ZN- I,7Nlt_ %.4 4'.4 .' 0.

CNICSNN" NN CNIA 4Ncd9 Cl I CO4 N 4 A' .'I -CC C44 -N1. NNNNNNNNNNN A 4 N A UNN .NN'>4-S 4' 40 > >->O>C>C>C>C>C> > > > > >0 > > > >

4 L L4St.- CCC----------------- - ~-134L.

010Ac L

C0 0j 4l 4 * * *

VV 4 4 ,4

U 44 in C 6 AA L Fe- * V* *

o a - o cc 04 *

4 0 4c IV,-0 * 4 4 010 *. CC * 4 4f~

0 a- C4 4 01l . : . .0 0 A1

0 0 0 N4 4L a00 cc 0* -L 4f 0 - 9 4 0 0 4 4 M

Aj "0i 0 4 4l U- 4 * - L 4 4D 4 1N0 *MOC -- *4 0 'a 13- 0 0 0 Lg * 0 * 03 to

4. C 4' V V G V0 a. a V 1-E *-C 4 4- , 0 L a -

my. to 0 A4 40 0 * NiL i a, c 00 0. 4 4D Uj CI -. 4 (4-0

1U II 0 I - * 0 L1 4 11 * 40 1.o IL Ol * a* *0 - 00

1. 54 4 C 4 4 0 0 A A4 4 M 4 04 AA

00 'M 10 14 o > 4) c.I - 0 c C, - - c C4 .CCUL -I _ :3 In C 4 4 0 M . ' L. 6 w 4 to - 0-0 C.- t

0 C4 to4 - 4 0.0 0 0: '0 0 4 4.-000 o 1- 4 o0f III 0 V V V 4 0 * 411 V- L * 4 4 a 0 CIL 4 4 C L-U

O2 NN 0-0 4 4 0 C7 0 ex .4 * * -.. 'l L ; 'C 3 A ~ 0 A 0 4 '3 CO 0 % .

C- Do IC 4 00 --0 4 -0 ~ CL +- > *aC-2- 0- 1.0 4 a 0 . I- V 2.35 N4 I'l - .. 0

C 0; N 4 v N c .- 0 ;; .C 0 44 4 U > 400 0 0 .C 4 -Ui.) 44 4 W 4 - O - C C

a6 - 110111 4 43 0 4o c ic r. 0 a~ 4 4III * VC-oi f e; Cc - 4 eC 000 ac o 1. 4 C C. -

.0 1 4 . ' Ct 0 0 4 a 0~ @ 0'Q Oc 04 4 0 a 0 4 4 c C-.

*0 4 MI -N0000040-~l0 CC 0.4 4 ,1l00

C 9 NNC- --- 0- 4N 4NCN 4 N 4 V 4NC N 4CINNNNNC N C4 Nl * 4 N4 V 4N C4 N N-N NC C>c o~~~aaaa. > > > >o~o C > 4 4 > > >> >>>C >>>>> -> >>

SOVUVU4 4 4 40 -IA -U- 4 4135-

OU cESEEEEEEE~u~n- U-UE GEEIEEOG E.U

7~ W.7 -.

4. 0 u0

c 0 -0

:_ 00 0 0 0 0-~

: 0 2 2 2 2 I 0 *0*) 4. wow*o N t-

U cAAAAAAAAc * U C*00C0*0 0 0 .)-~Q~

W* 0 10 400000000 .10 BeV V V00000000 .@OCL

!.2 .. .. . 004 000 40AAAAl AA AAAAAA AA *Iflo -- - - - VVVVVYV -

OCO EEE EEE *N0.0 04 000000 0VMN 0 IMU

000 000 C C > >1~ 00000130 .

- NS- if AW0 00000 ONS 00 00 0 #[email protected].* 4 VM V V V VV VVVV V 0- .. -------------- -00 0 0

0 U0CU oq R. UCCC C . 0a

* .--. . . . . . . .AL A 0- 00006L 0000 AA AA000A -00 VVVVVVVV 0 .0*~~ NN N - - 0 0 . . . . . . . 0 0 3

C:0;00 00000000000000004400 C 110fl1100IfCCCCCCCCCC so.'

9;: :: 00- L.. . .. . .. A-0L

vvvvvvv vvv 0 E 0 CLEA 0.0. ---------------- * *'O ------------------ O *eve

C. on 0- 00 0 0 0 00 0 0 . . N- CC C CC C C CCc L 00004' an aa OOOOOOOOOC0 *0000000000000 00 @00* C0 0 CCC CCCCC CCCCO* -C 0-- - . 0 C.

000. 'a > 0IACA09 1 t. ---- -- 1 >a100000000- 00 0 ,

.1.0OU 00 O O 1CLI l M ' vv .a * o n4 4 0 0 0'-0 10 120 0-- - - - - * 0o0 ------------ '. 11 41 Go

d OCC r --C r rC LUrI rrzCr0 3 001

Oo C-C-UUUUUUUUOOO00000CO. C--UUUUUUUUOOOO0000C2. -C.0 0 ~~ o g .. '. o.40 4cCCCCCCCcCCCcC C C o U40 0000 C 00-E0

00a1 'OL LLL LLO O~o~oC 00E 'OLL LLL L00 000 0C. .. 0M..

00 NN N N NCCCCCCCCComo *00*0-(~2100-N.winl~ 0CWW @0N1NS0NWOOMVlosm SOMM:0SO Uwig) w) WOW

NNNC NN44~4(cNNNNcNN NNNNNN NNNNNNCNCCNNNNNNNNNC NNC NNNNNCC N4C'(4CN N

136

................. %.

a"

A 0c 4 0 0

t * .CL0c 0 0 CL41(

a~ L0t 0 00 0 c

* 0

I* * a 0 0 N

m L0 C 0 0 0 a M4 - UL C.. 4. > . 0 0

in r*C4 L1 C I 0 0 a N 0 C-a 4' N-I u 0 * U -5 O 44 U L 00 0 1 * * C a0I

A0. 04 1 04 1. * u *0 C -.9 - -N 4 CL L U - a 0 . c

:3 I A010 0 00 00 101 *1- 0 CLU 0 N -

A ~ 4' 0100 - -CL r. 0 *C -3 CN~ V 4 0

(a *0 C- . A 0 0 * C A A rC ~ a 0 C0 4' a 0 . 0 '

V. 0 .% l 3 A au U N %- v 0 v co * 0a ~ u vIlO 0 0 0 *NW41Ac

10 u 0 a N * 4D . *- V V ' C ' V -a 0 0 C L C 0 - 0-

o a -1i 1i 1 11 1X C -o 4' - a IV A- E *. '-o1 - V *L 4. * * Q CL0 0 N. *a~ N ,-NMV0Wr 0 C *00 C 4 0 0. 01 it 1' 11 *fil 4' C *WD10 * -C(

%. ! - ) U *-'' 4' > 0f - 0 1 A"LG 0 *E D a a c *Ec c * c c * GN I. aA W)ra0 --

c N C C C C-. 0 C 0 >.0 0 VN 0-I 0 4 - -~ c -0 . * 4 .a *L-oln-r 1o l -u 0. a C > C *QC C C 1 u c a9 c U. . . 0

3C L a -*o 0 6C 0 * *0 A r1 0 3*flc U Vl 0 Q C 4' 11 V -'4 L . * O 4 C 0

uf (p a 11 EC 6 E * 0 0 * *9 0 E NV Z=* -!N+ ' * c ~ 0-a. 4'...60903 c 0 * *0 0 . + * .4-A) U U - 4 4'U a a 0 o * . * * A*AUU U U UU - Q*.

NOV CC 0*PWM - M 0000 * V V WN .'l 000 N~ InO- *M 0.~~q * o-Ve N

- -' -* -0- - E- 0 - * V C V f aV13Ia WWV ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ ~ w owe * 0 * -U 3 A *U * * ~ * ON 1 V*

0 >* U * ) >4O- >4 >'4 > > C >

- L..VV *C *fl * VO L C .~- . - * 0 lN137-00

A.:

-1 4

C VL41

x "-

4....

U 0 (0

C . 0 *

CLC . 41L -" A 0 cc 4

AA

t - 4 t- 3 *.a A V L 0 t

4. : 0 3.4U

41-"V * C A

. A 0 0 0 - 1 3

it 3 0 r

.02 . .. . . a a "u C4 U QU 0c) 0 aU u "a 1' 1- 0 C'

o 0- z 0 .,6-- -- 4V . .. . - -Il- 1 ;to. U L. 40 41

4.0 • O • *e Uw 41,. , O

C1 oA 0- cc c .*

00 *4. -o~t *4 .41 .

C. .N >4v * C1L C 0o v I w L a

• ' . 41 0- 0 4*.-41 *. r 3 a. 0

4 . 4.,- * * U41a44 V11 0 .A0 Q 0+ A

46. 4, - , 0 C L -* C 0 - . ,> 10 - -. "4 -I..- V i 0 4. . it V*a.,-nL LU 4 3 ) V

a I . * a o 46 + .. . 10 04- 00. a O *-c •. 4. - .C 0 oo I

3 ;44 .0* 41l co 0 It 111 1 It N4.W

Is* 0 4. - , .O . 4 * a4 " -00 C ) 1 - V4. r1,0

04 C L .0* 41 X 0h41 3 Na C 1 10C00 a..--14 . CL C .- a a0 40 0 N CCCC o

.L. U N 4. L 4 --- - - - - - -

003 AL 0 a* 41 -04 uN~~~ N2i LC LC C- LC L m4

U- . 1 - a a4W x LZ- U I ol 40 eas so

41 0.- A xfv04 V+ 4.1 aoaaa4.ca ~ a a d. 1. 44 aA a a. a 0 06 0....a. a a 0 -ADu u . -U 4.V * U.. a. 1- - -444411g *..ILLL0 C

E . . :. E a.. a- CE t cxil0 -a-. 011 41,, 1. - C3 CA C. Jt a U

U I U "" U U U U UA 0 U.- U 1 4 *-" ,- -*- -,- - U U - u a.4.C

4)16 C r.41.01a0 ( fI 4.0 C )qI .O 11111N104 i t 0......0 Cc M0 CXCnV nWf c )0iIIn oInilc .0.0411 0 0 ea(a( 41.0-i N *C . ..- *.Cc c0w00 m 0U CCMCCI 0 00

-too wooawa0C l 0o fflt of*1-4 CC-0414414141441410 0 OCr-Nf-I

> >) > > > > > ) > > > >) >:,) ) >) > > >1) >))) ) > > > > > > > > > >

",' 138"',-.-'.-.-.-.'.U-- .U* 4 - J..-.............-..-............... ........ '......-...'-. - '.-.--.... ...

.w-- 6 6 U - - '. 0- ) - C' " E.00*1110 4CO*0.11.. *.1,4.0C40

CL CL .L Q.

4--

3 3 L0 0 0

c v CL

0 ul

c* 0

4, 0 0

ADC 0 0C U L CO -1

0, 3 0 me

La0 .COI 0.-NV r0 aN( - nv0 Wa -o0 cio - 04 0.WV ) nf

04 N .C4, t4 0. *C- U4 -4Cor 10 CF. or. 4'. N' N Of NP .N .P .F. NI .NNN F.NP * Nf

00 2. 31 > >f >

* C U *139

v 0

4 4

* , 40 10 40. "

(0 .CO 0 0 %

V N or

- * V .- 4! "0 -

-m * 0. 4 U -4 f -A 4000-

406 CI 0 4l 00

L- -NM N L44z. 4 cl 4C 4. .1 4.3- - 6- 4 L.0V

CL22C LC 40 - co 4! 1C AL CL(CL L 4 0 - 4 u u u

CD CC C 0 (a v AA0AA

CL- LA 4I N c 0 4*0A U -L- 34 00 0000

E4 a If. 4( 0..00' 600 N

L.- I. A. A. .A 6 4. 4.014.

In + ' 6 4'40 U 4-' 40 01 N L L- - aM ' ) C.' 41 *C- 11- *r. It 1flV1

'a.~0 -C C1111I 0 0 - 0NAO P00 -NC 04 *N A :. 3+0 0-.. 1.* 0.. 404. 4. a0 - U a 4 4 % ,

3 C C CC 4C . Ax C 0 AC 16- 04 0 'N0 4, 4 4 * NNN.

4 40 *.. a IC Ink 4.1 it6 0 0 0o 6 u L. - CC UC A- * .4 0 CCC

0 0. 401 AAA.A VL v 0 VV;VVCL - 1 10-A 6- AA L e~4 N 0 4. 44444. u 0 - V) ~ ~ ~ ~ 0 E~i~V 0 VV 3 .. 4) ' 0 .'

0.* C+ a~ a 0.0U C' 0033.

040N0 I n( ( mvi No0o-r 00 CV.' ; C- r'C c im 0 NV)qru f PP-1 .09Nf -(r- 060. 10 P,. P- 0 C 14-0.144'0 N 0 1 0 C 44 11m00 a)4N4 44' 4'V V 4m 4a'0 a-CEEE'-. U CM NU -Nt II.II. oV . *C CC CC 1C.4 MU01 01 .r - r .P .t .g oC 0 doOD o c cN 4NNC NCC C4 NN0 N6 N'CC N N0 6C--------------------N4N06N0064N

> 4CC- 6>4 4'' C........ Vl 4. 0..4p

0.& C0..0..0.'-e6C00.0.'V EV*) 4)04' U 4'C.....

M- 0 0.0.4 0. 6 M~4M6E

* a a

*u .aa

A* 0 V a

0 a; .N u N L 0) i*00 10 U 0

a 4- L a C C aC L L * * 0a

A 0 - _ (-0 A

~ u 0 v.0 C lt v . o 0 - -1 a

s a- A L A. 0 V a- -C; CLt - N NO N ON *4 Cl .. 0~ U0 C

C' 0 C a toa to as a V *N W)UaaCa v V VO V .V a 0N -0 a d- a.U

.0 0 ~a d Mi- 0 4 a *c'o .. an a-5 C 0 . .. . . .. L co a 0 .a .aa 00 A A A A A A - L A N 'S-At*0 u N 0 -vn-se o a a48 '4 a .. * -0

a 0 0..0o; *Il-44 a. co in a)U'-.a0~ ~ C. ON -*N-a O 0

* -A UA A D a C..aON (4 : a ;;..0*0 0.U C4 N--a O ~ U*Na .0 CC A v v v aD a cc0m 9 V V11L a L CL 0. 6 LC LC C LC

-*; Q; L V -V V 4-N "0 a -- a- - ---a . a - a 04. .461 m .a CO mu'a .o L v....................a f.0L W 'AU d.. j..c a - -M U 0COa ILLC aAAAA 3aC.Cla .A .N * 0-- CA0~~ ~ LU L q-nna N . -tl. u 0 00000N M IV in Ca.. a a

Ca a: > 0 0 *a--0 0S L 4,Aa -# a ac Wel CCC1 - 0 CnVN1- AA+ UN -C 6 NNC').. q..q.if.lso 0-4 C cq-7 .c V v vva ag.. VO.0 0. .0.Ea.o0-I.C0.0.0.

00 wr 040U C* aO C 0. .4 U. 11 X10 C C Q 00Qa

50 U - 4. 0 0U 4 4. V. .. L.O 0 1 w C a 0 c a a aaaa0Is . aa.. aa. .oi 04 aU -~~ *L-'- aCA OCe a-U V al NNn 4's 11

ua*a C a a 4 Ca- 4.. . .. aaCd A C VV a 4... 0* OA C0UC i c .Z0.* cc to C C WWIIU'C.C Cis. 4 we- U- is XO .* *- - U u u C

ma c4 a IN c-aS~V j *m 5 a a0 CE*- a C -aaa0w

Cau~ ~~~ - aCa'L . a C -t -C -C'a m -C- - -

aaag- adocaC ----- U aQU-~U- a.aCsia--a- VUM UUUUo0) - )VIcm. apC (Mif10a ca-m Cl m a -N0 W14NMC CU-aqV 0r C )0-mNaaaVa0to aaa"

0-m--- - N Nam 4Oi )MV )MMinV auu 0.-a au - (.a a

Me lillee 001 Coeel 0 0 U 0 0 0 5 041 0 005 0 00",e0 50 00 050 os oo e o 'DN-4 N 04NN N N N . N N N N N4 N N N N4 N N N N N N N NA N N N N N N N N N N N0 NM N No" NN N N N No' N N0

1'41

(In

13

A3

41 4.. NL CL Cfl CLCVL 0- C C C C

00

a 1,C C C C C C

-- c

O. U LI 0 10 - 0

U 10 1Cfl 0 CIL- a 1 '- v v

N r4--M;

- 4. OA C4 - Uu 4 4 41 41 V 0C 110 0 000 .- 1 C 11 a C V V

4. CC CC C GOIn MO. I. 4-CC -V.& *w CI CII. CI CI CI C

- - --- - N - f C ~ .LP CC In SI C0 0- C. - -

0CMS 41 0~* CEL CL1S CLC LC LCX)0r0 F 90 1i C CEO CEO CEOi ra 0I 0o c c ~ c ucc gcc Vcc *cc *cC Kcc 0)- u xccc4N- u 3 u X - u*0 CID .a.0.a4.(Ca a.P.O 0- @ a .lfl 0 - 0Co 4.0 0 0 0

0cO c xc c c c c c -c C C

a6 a-6 0-1 a-6 a 0 0-6 0 M0 0a0 060&m00. N N NI,. 010O o4DN N 64100 IC4 NU .0 N N 6.10 @410 NO10 6410 N6N

142

CL

coC

C IDc

* Cv 0 0

* 0-1

a C.CLaCLC

* 0O

It . 4 C C v 0

a zC 0.0C 00-m u 6 6 6iVc : C

CLU u06 AL -4UU-03C-4C4.0 CLE aEII.C 00 C C 0

w 0. 00 4 A00s o1 "ri 0 O 4 -(0 CC41 INS C04 No.N mmmmmM A~a v vvV-Wv 0 W ot ni ol

e. 4 1411 A N14NC A N NNNNNNNNNNNNNNNNNNNNNNO>N . > >> >>C

* - e~.. 143

I

Io

Ut* 4 .4

* 4 404 4 4 .

* 4 4A* 4 4

* * *

*-1 4D 4. 011

* 4 C C*

*~4 4 4*

*0 - L 0

* 4 4 0 J9 a

A A A 4 . C 4'a -4 4 ,

v* 1. 1 1v v m * In 40 0.ti * * 4* f- .

*0. d 0 .0 2

r, c N WM N* in Cc "I -Cxo-I4 41 4 *O4O C4 A4

.4~~ 41 4 K4044' - Co - M-0QL- 0 a

Io .0 * a0 M 1. 0V*iail 4 * Coof 00 -0 00 0 O

14 % ' 4 * * -L A 1

*

44

A A 4

A

*0 inVt- 0- 4-( .

a- 0A A C; *0

a + 10 A

0 C: 02 -2 CV 0.:44A 0 +0 m6 go6 C7C4

10 a0 V 1 C C0.- -. 4- -NO140 4 0c +0 0- t

e +0i L 0 0 1.,' 4 0 L m-- A Ac -c A ~ +I N a- >-c 10 - a ;SN- 0 4O t- 4I C;** : *1' *1A7 L 10 d 000v-f my *v *0 ci ci 0. vC. 0 I ni oi

+0 0 00 0- 0 . 0 a-U-a 0 moc10e4 .10 4. LW a. NO -*t - - 3 0 w 0 4.............

4- LC I C LC+0 - N 10 0 6- 0 010 U - *A CAAAA

+w 00 00 *- 00 00 C~ v- 0 C- 0" .~0040o 0 . v 0 T- C, C C- C c0 -0ooV ooe

A- +~ AC (4 +A + A- - 0 A - -0 .~ - .. .c.c.XIG a- OGC +tV V V CV ** C C-

MV .V 4. 0 0 7 1 1 1 +0 N* 0 a0 - E C4 CVV. V

-- 1. 0. 0.C+0 -0 10 .0 10 L4-S 0- 0 0 AAAAAAAA W% 10 00 10- (0 04a 1, 0 +0 +0 A*000Uo .. .,0WQ. so 0 ~0 IO (U W.LC 0 1. a 0 0 0.- L C 20 O 0 00401000024I.I. C i * C 0 a *C -W L cc 00 &. CW c w 1.C 4-0- 0 4. C C - C C

A" dW dW QOC,4 vC 0 v v. 4. v 1.19 00 04 C 0 - cc-ccla **t 1,5 V0400A- ? V 0' V- V* . L C 0 v v v v v v

0;;; -1. CL- a- .gg*g* +10M3 (.a- -- -- -- -o - - -R.ai0 x 9 4 1 1 1 a I 4 0 4 0

* *40 0 0 -a + C* (o- 0a 0 C r.coo 0- '- - . 7,N-C 00 8 -a~ u 0 .

I--t :1 -L 0 -- CU C . C U C U C 04 )'0 L I9 L..1.LAb~44 0. w. aO 0 EU EU EU aU CL -0 0- 0c 0 0 06

I008 4v. %. %.4 0 - a0 10 610 L10 L10 L10 04 toI > x- -- C- U >>).))u---C c C 3 U-.-.- .0a a 4 0 0 & 0 a0a - C 1 0 C cccC* - a - a - 0 a- u U' .f U . U. 4-0 4- 64- 0 U4 - -

A A-0CQOC ' Z V-- 0 VM 0 6 r N V0d - 0 ECCCc-

0VVV~~~ 0V V C0 -- -- -- - -4 - -C0--0 -N -4 N U9 0 0m (n U-V EU- I I v4ItI i a ninI 11-0a ora(c0t

> > ul-fu > > 2. > > > >I0E >G > UUU>U>

044..O~ C0C4..44 uau mua- u-UU ooeccc 1c4c5c

ICLCC

L00 0.

*6 CL

C, 0 0

r- 10 A 01C.w 0

A 0 AO 0 001 6*0 C 0 00.

4. C C 4 1

00 -

0A U A Ij -

in 40 P.C - - -- C L I:0 C 0 2,E M v L

C c. M -- e0 V" 0AAAA A A A. 3 O 0.

Is *0 !C U c- A 00 011111,010 C - . 3- 3 ONS 33 62 2

v v v 0 U ", C- - --L' a-T 0 4C ;3. ci 010 v - v 0 11 0 0~la a 6 0 CLVv VOf -u N V v a

00N6 *0 0 00-0cf(9 aI.0 C . : 0 U 4.'I it 0 0 L

AAAA0Sfl~g - -U --

afQO 0 c. 6 c C a 7 ff a a a C C C.661600-C~~ c-~~c CX- I - - -OIl

10000 ceasCes0N ID O

a c-I Q c LC LC LC C'' '04 -C 4 CL . .. .. aC CL- CVVVVO~~~ 4-.~a fif UU O OO O 0a 0* ~ ~ 0

00 a- LE v~ C0 UC c c +C

80-~~~ ME 0m 1-~~lO-S N0 IIIa a 4 004 0 4 C 0. -- N- -90 c 4- .%

LLL* C LU LUA 04 U -- -- US

*0U0 .Ufl4.OCO CCC CCCC00 0 00 0 0 ----------.~O004.CR ~ 6 0. *E" IC E; C O S

3130> 0 3 p '9e 2c. O .g>O1.a. )p~a )1- 11, )p. . ..I),)-0131>>

----. U.. 4.0-0 4.46 ... . 4

*~~ ~~~~ . ' . * .S * . - . *

- "d

icI3

a *

a. *0 .1

0L I ..(L C 0 0C C C C L 0000 e

-- 0 0000 - m-L .4 0000 *C2 -

a 000 13; IL

c INCC cc4i 0 C 000 *l 'Ca 0 0 - -'*m'v*le

OLaL f - 4 L .0 C 4r 44t4. a, 00 0. -

>- N 0 -C 4 00 L -> L 0 c c 000 40 3

0 ioCo 1 0 C0 V -, 4-v

cm ~ c c~ a4 cAA 44~ ~ 0 o mc -U . *3

0- 0U 0' 0 0 * -

OCR- a4 MCC em 4

0 A- A0000 0 - c .o -aLQU CC CVV ** 0.of i

-C I* at t n U v..a. 107- mc (30 00 0 -v 9 0a -c-a0 c - M 44 c 0c d C +0I4Ca C-+ .

A 0o If A1 00 A14 1 11 . 1 t1 f I f1 1I 00 - 6)C . *c

-UIUD a ;4~ C4m N NUm U~lfU U4 a-v .r 0 (

m 4oU8 .0 -4l L - L L C~

10 VCl~ C Cmr Cl~ C Cml Co a c a ac c cccccc

a - - aa----- - - - - 0 W , -2 : Ia.C C C CCto 0 QCC CL>0O LC06 CCC ~ CCCCaCLc aL *-I

4 .' +C % U.''* U -L

a 0 9 C Ci 0 C % OC 0 C 0 *U cca a -a -a a *'a - a -

t4 C*0S0 Nm mwf C. 00 OOC CCCC6O O000

147

5-%

S*.* .*. .*..*..*- ~ **. .-. * *..___ ____ ___ ___

IrI

IL

a 0

Av

a. a

2 0 .0 0

4 (. - cI o o

C a 0 CW 1

OO C 3 01* 0 cIIaa000 00C

a, Af If It1 t 1 11 0 0t 1* CL

b1 N 0 0

00C

o- u 4'6). .

C0 U L UL Co4o.. o Co Co Co CCCoc.

> 44 c00

3 . U0 0 4. x 0 4.4.

v in 40 r- (00 0 Cf .~( 4.) A W)( 4.a0a*u4

N mn 1f1 *I U t4 MqS U, 40r * n 40)v

I Ni C NO C4 C4 N ~3. )np a. >- fl O )k 30 > 31I ii .>>>>>> mmm )mmo I C)V . nmI Cl)o

>. > >-(q >l m tar > car > >- > U

- *4.0q~I~-6------- U ) -- . N -148-

OILU......4 -ll.4

)UUobe Illinois"a~.~aOCCC )~C C E CC ) C

.

* 0

*C 0 *

0 to 3

v) .0 CLa AcC- 0 0

u 4 0 0

im c 0 CL* 0 (1.L 0 .0-

C4 1 0* 03 4cu n-0 4 OL f 0 4 11

c 41 c A0s e -1c L! u CC 0 o

*( - -- UCL UL C 0 00 a 0 C; re3 V

.. - a .~ A

* 21 L *tla ON LW)30Uca U a vN - (

11 of 114 11 cv 3 0 oC+ c'

C4-- ** 4: u .' - *mv n0

u - *4Nu 0 a NL *N0 if X6 0C 0

- ~ ).L c .1 aO)t .0. * 50. 0 - 0 0 a-~ - *0aV

o C * - L C U - *~ E LN ' 0 C 044 0 .0L- C - 10 a 404 Nx 4.) 40

*4O6 o' a E4 E1 0. 0 a 4'* a3-

-N tN 0. a a 00+41 0 *IC 06. ca 0 a.*a

a a u u 6. U *O0u u 0 41 **09u em In pwp -ef Ino*oo II .*or -- O; M V. 4'- ~ TO

00 0 0 *OSINVS0N N1SIC0ICSIA >' >0C > >~C >

4' 0149 0 *~

7.

0p

104 4* * 4

CL A 0

* t 41 12 A4'C 41 4c 4 4A' C

0 4 4 4 V

N00 4 AEC0 in E04 4 4 *~

C. 03 . to, 4 4 * CL' - 0 4 4 d 0

a' 3 '0 0 **C4 4c cc a *O' 4' 0 0 00 0 A U4 4 4 -E 6 f- a

-V 0-** cc Vl 00 40 4 0 0'0L: C4*O 0 In0 0Q 4 * **C63~ a0 0 0? 0 OC 4 -06 0

-1 00 in 0. A In 41 4 04 4 toC I 3.. oC 000 Go0 00 C 444 0. 46E

*-0 0- -0 NO a;l ) 4,L E 4' L in-' 0 0.O *'O *.O 4'0 4 4 A VI2 -)0 0 V D0- ND. 0 0 0 in 04 1.. v' I 4 a * 0 A 0E- * : 0 ;o ;0 . 0 a 4 * w 0- 6

0) 4O O'AA* 0 0-0 0 A 0 4 0 9) L 364 Eu CID (aC 00 00 N 00.V A * 4 44 *- SC 0 030

4' CO -O NO 30. "1 , 43 c4 41 0 a' L 0*O -O -0 O 6 4 ) 4' AA

4. 3 0 w A AIA AO A 0- 4C A A E 4E303CC 03A 4' 0' 4'I v' 00 A A A 6 N -

-O 2 2- -0 2 O 4 40 0. 44 IM CcOV0000000 0 CV CV C 06 4 4 C C4 E6 0 2 60

o.3'0 V.; a C a C. V 4 2 ID. 0" CCV06- wC to # 0 03 40 4 10 A. 410 0C0'C 0 40403-

L A' 4 V 00 00 00 CL0 ON V - 4R V' V 6 0 0 M c w66

234 A 0 0 10 00 N 4 C 4 0 0E 4 060 . LCA4' 00 00 I 0 00 00 .a V 5 4 L4 l CL 0

-- l 404 404 N00A4 UMCC 44 0, W4 4C ) 4-S624'l oV - V4.0 a E6 ) - C VV

c- V a EE E6 c 4 ON CA 4 - -D -l -4C26E 4 40 00 t, 4 ad 4 11 aC11 0 40 ! u0C 4 SC 0- 10 C

40'3 33 3 3.1 -0 4 4 4 4a*0 C110) CIOto 0 a UI4' 1 - OLO LO 3e - L! L 3LfV U4 4 L. 4 0 0 (31i EEO

4' ~ ~ C *;''Nf i4'4' 7D4'4 44 004 04 do 10 4 0i0cCS6CL- 0 CIO*0 v ~ ~ i vi v- 64 4 U 4 +~0i rC4 0

)0...~ ~ (Ol*.' 4 N'uq a0..' 0 0. 4 2 4 4 ID3i 0 C.

i1 ,6. 66 0 6644';.00 G C 4 S 7;0iiI O 0E c c3- Ca3 CL3 C3c3L 0' 4 4 0 4 c c a 4' a40 0 a ---0 .. AA. .. g--'0*;.4 N goo: .3300 C0'*

0I.- wwww VVV 00 0 0 0 6 ~ .+- OP0 0CC*'4-bCC -VC 0C00C0CC000O00.0 0 00 6 CCMV) --------. -- u-o o MC mmm o mmCOUUVUmmm mUmmU mmm tm 00C mm )m (0D m -- m m m3. )0 > > >> > > > > >> D. O > > >> > > > > >> > > >-> >> > >

r-Nr. 66666 J6600 300,0 003,o o o O ------------- N N N N

% - p&

.. C .

LIVI

0 . C

. u vJIt 0 V) N [ '-

* a N 0 * 0 U*~~V I 0 ~ C0

-U m C 6 C 0 a W D 0NN m 0 0 1 0- M ;U(0 M) u C. 0 0 -

0 61 C3 0O 00 * - 0 In

0 "0 C W 0

CD0 0 0 * *j 0 0a 1-L N -C a 0L 0 M 0 E (0

0m u. -* - a 0 * - A 00r,ego 0 0 C E 0 * C 6 cyU V0 -

0 0 C C_: WU* 0 00 Ncoo 02 C! L *Y A7 I 0004 N V 60

mmC;. o0 -c A 'D ( 0 0 0 0U A tor- CC 0 L CL Ia 0 0 0l L U0 ow (0. L C4 CL 0100 a %. 0 0. O

V my, v b~ + (aa* .v4 L VmE a . 0 - - .

;,W *.. ;iA7*0 *~0 1. 0 A 7n 0 "t r 0(,- -:-a * I O4In n GMV OLD C (ag W) a j9 4(44 C 0 0 .- 0

m mcco 4. * u ca 0 0 L 0 0 . a 0 *

C aa * 004 a .C a (7 C 0 -4 - 1 a E~ 4 A - 00

A 0- *W*W TWO C ** to r- 0- i V * - C *NCV VaN a ~ 0 *. U1 W k....... La 4 0

a - !~ 0 -* -V *V .1 -V- AC+c -10aCC N a 2 L E 0 00 1- C 0a~ a-0 I o 0 V0 'C II- -

4'U-. g ;-** 'IC 0 C 4 - I L- IA t 0 L - N

u Cr*raL~ *OLON *Wo a. 0 *..*** jQ4*- '- a +*o Olt)41..4Q0 * E ~ - > .. ' * * 0>va . a '

S00 4 4.0 0 r 0 0g- LOO *OS C C-- CAAC A 0 . AA 0 0*e4 CC .uC 0 U 0 AC.b n *U - C - U1 0 -(0L

a a a ;*-o Ci to a 1 0 0 31 * - Oa 0 C.- 4 it g-u

C.CCC L -, CCU 0 C, Z0 e Z- C 00- N0. *I 4', re ~ C 09 -- 00 OCL'4 0 -CC - V C > LO ) u r~

VVV VV LO L aV V 0L 41 0L 4,0 C .w-- O ~L~U 3 L UO U0 U0 L E E O a 0 L*' -e uC 0

CCLLC O 64'a - LU . C 0 0 0 0 0 0- -CU0 *.a -S 0 -

--- ~o- u *OU gqU --- o i 0 0sOUC00UU4 -U @ U VI4'u~uoO''4~0 m vgI 00Dr 00 : Ng 0 v 9)EI'CU*o;N) v a-) Co )S(OD O2

UCOOP)MO MMM vv4')ev COC'*-UCOl 00 0Oao( 0a 0 r-aOCU NC o- . P. e.N

>0@ . . -m u a~ e > > > > > 'OC.o-hgUa0a > Io >>>>>> >>>>>>

S6L LU UE 4'U000 U 0 0 E4000 U 0~ '01C51E

Q0 *c4

U

4 CI .g

L CU

a0 0 cV., 4 . C L.

(3. CLC

4 a' -c. * 3 0

-Z 0 c * cC cU 6 0 0---- 4V 0 0 0 LU.

aC n CC C C c

00 0 *1 -, -i * IoL 0 4. 4 0

-A 0 0. 00 0L A C 0 0 NCO) * L000 0

aV a C 0 a -04 44.4 'C0'-

L C V. CI o0.4(O UU P. a.4-04 0 V0 0 a' 0000 0-4 -~ d 000.aL U - 01 . C U* N. *4C' :; .. -N 4VIn0 D ).0

UCT U 4. - U -0A c- U~c - C I re ~ -' U044 u..00. -

if. U0 02cC L LC L C C 4. 0 a.U(4 uc C- LaC Lc CL CCU- U CLQaCCICL of60ucCam 4- 0 0UU Um,0a * 4

- i- 0 or t L-U -.v 41 . 0LU -V c CL 0 *.c .0 .4qa . U

o .0 176 C C''0A CA U - *- Au u - u N- i U .,

co .. C* 0 00 NC LCN 0N 0m0 mm A 0 00) 004-0 00 0o o M )IP VV'

> >. U >4 UU UU 0- >- U* >U U > >> > >>>> - L

AAAA O)V C U CU0 U 12 C U U V) C C C

%P

- +125

30 0 . 0 a 0 003

Ix 0

-C - - - -- - ---- 6 - - - - -- ---C

*1 . 0- -0 O , *0 O. . (10 0 ).

ccC - :

inWLt oWWWWWt - UI IO W W Wn C D 00

NOW *W I PfI - N MW low -* u -W~bb~f CA Il

C - U C. 4-~SfS u

c c CC-C C c cc cccOCC

C C. -0

0C.1 C;.,o

* CO ' C

0'6

153

* . 0 0

-, -.

* 0"0 *..'.

- ru

* . 4 0 I•

'*'0 0 O --

4i 3 CL+ CL 3" "SC 4 CC

41 0, 0

,Vto+ a : v

0 .a- o U

C * - - 0 L U

0 E 0) -L>C

(0 In -W en

0000 CO Cc 4 c -

WW W~ to * * 0 A 0 AI

* 4 0 * 0 0 1. toL U . . .. . v v c +

c ccc c ( 0 o: S S - C U U 4, 1 . . .+ + , .=o .. ,o .-.

- 0 0 4- c It-

Q0 It '. > A A A A A A N P- 10 .) W

CL ~ ~ C0C LCLC L 1 0 *

U0 0 0 ON 4- QC

'0 ..44- 0 E 6 P * 6 c rz OE- ,C,~!-4 0 .0 a *Ifl V0Os

oWUUUQ.0U.&U a *D so*

in*- c 4 0 V of O0C 4 0 V *il WCUD0 0 (0 eo

a,0 f = N N 04 N N0N 4 NIMP m

154 [[

0U..0 U.0~ * * 0 )

* -. *u.* . E B --. *..%

L j

L 2j* CL

W) C

* a

* UL 3 CC3C

4. CL C LC L L

rV~~ -j444C a'cW

* UU1 * 0-CL

U U U 414

24 @0.6 a V

0~~~~~~~~~~ C. ic0 Ut0aa, a a0 C% .q &

a -me. 002C4 3I '10001VW;. W I i 0 W 1 0 " 0 0 0 0 1 P r f I P P 1 0 01 0 61InW I 11)W ) 19 W I ninI n I n ,11I~ . n.1i n nW It W nIn9 IlW i nnW , ,0in1 IR-

V)V)0 V C)" ) ) V ) ) ) 0 r m0)m(0 0 V ) ) )m "V.0 m" mm 1 mP)V 6>~~~~~~~~ >~ >

155.C

4143 CL 3 Co 3 C 3 C 3 C

CL C ~ Ct . CL-P SC r2 CL

*C

r~~ a a-C r 46~~ I.1 +W . 1x or

0 ~ ~ ~ ~ ~ *0 0C - 0 0vv ~ ~~ gYv L- 11

* C so e4 . CC 4

C~~~~~~~~ ~ ~ ~ ~ ~ C .WUCC 0a CC4 1 - d 0 C .

C~~~1 C %0 ~ ~ ~ ~ 1 41a s0

156

0

4.

0 DA A A AA A A AA A01004 1 u a10 0 u C -0+ m

11, v 1 v vv v v v. .00c

A' o 0 i0 1A I

c itit t Ifc I of t r 11 t o c 1 1111 1 a C00 N 0 m 0 C .~~~0 0C uu31 ' of,

P, N mom0 0C CL0 (0i

u c Ic u c x u 11 c 11 ~~~0 I 11 10- ac c rc

u 0 u4- C CL U UL L-.- C

"IN I N- IP

1570

..

10

0 C

00

L

V C* t -

0 - Co*0 C 0

c~~ CL uV7 -:

1.10~~~* v v2i

c~~~0 a *a -

N cu0 0 Co uv2c a-"VOW -00-0 0 0 - .C o ,01 -

4. 13CL CL~ 0 u u vca vuaz

C *10 411-0 - N.s-o AAA u AA AAAA u0 0 C.CD10C- 0 C a A

-L - 4.~U CLU 6 U U 0 .C W US 0.U LL 1

C11 00 41 +0 - . 6-.Cgus~gsoi Ci ci, Cuul c g U. 4- 41 0111111

- - - 4'4- - * I 4owU00 e 0 .P.1 C-, ONU I.O o :I I-N1 .NNiciN. ?. N iii NMO NO'0NP CUM. N I N*@CCC)IMCCC)mm )P)mV)00 IM 0)CC V) U C.CCC) 1 o)() n 0 ) IM " 2BC " 0) CV 66 6UCCCCM k) )m ) F

UC> >~~- > >C~ > >C - >10 >~ >C U > >

C00..000.~O~0..00MSC000.M0 UUqC O3~C41580o.0

*~~~* -.

0

IL

;,-.

Ic

IS0 4

* 4LV * L

I.o . .

* 1..4 c

0

*1 m a U 4 6O t 0>0au- l w Lc C4- v 4 v oI nV

:'~ 'a ;.'4,a

44 c 4 6*

.C x-. .t

. L 4. .

a - 0. 4.6cFIE : E41124

"c a 0 m..a.

to 0 adoa 0 qrInQu N4W aa 4V)0 4' P 000:MMV1 0N 00;4 M It00N000 MWI

4..,4o*0 4

V0 I T~ 6 nI nI I

I- 0 N ..-.-.

>~ >I > * > 6

-. * ,. ..5.

• . * * 0. *

4- . 0 ; -4 6

*u-,n r a ~ q. ~ eU0 0 -6+ -

* 01 6 *. 4.-. 0-.."

N C 6 0- *-UU3 Q-S

0 4 - 6C4 A •- ..- .

"- /4 • .II.

* C10 4 C- -U S 494'* ."

OE --* EU-. Q". " 0", -- 4..

soio * uuu Ouu .,- e € -uulu"

. .'".

uI~oall. 'oo .. u *. *- * .. >a '. . . . . . --. '" - + ___ ." '"::

,-. . . . .. . . . . . . . . . . . . . . . .... . .l n vvvv v - . -- .. . . .

.A X'* ~ f ~ .. *: -*~ .- . • -.--. . -* ...:..

bp

*0 *

* * *A7

0 0l - C

* 0 4 0 V) A c*~ L. 4. * 4

A '0 01 0 0

* *0 0of. A*~~ 0 0

at 04 a 0

a * .g 4 .0 U U2 a -

* 4 * 2 C A3 41 0. C A A S A

A A A- A 40 U c 0 ~ c *

.0 >*i c a * tc caI *a, 1. v.N~~~ f- In V V( V V. V-a * v0d

0* c a * * * 0. 0M cc L14 N - 4c *0 * . 4.

U L N 0 m IC (.4.

4, 0. P*O Q ww.m V 0. -00 4

or~ C * * 40 rn 11 -aa Ifc K

a * 044 0 L*a,&,EC-a

* a * * I.. 1. *c L L* 0 U x * 0 0 a.Oc u 0 0 4 '' *

1 W. . * u U:* * a 0 00..4 Uc 00 c.4 * 0

U no 0 *j a~U C uA. u *

A% A. A0 A *0 CAAA AA )kA AA D. )I > 3.2 30 >C4 > >00 >*

0. '4 )-g~C LU ,g CEL - * 4 S1.60v

44~

"." --.-L

Ic

L ('41v• a

CLCCo C

A .0

0*

> * *: Q

0U1*

S10

A A 4. A 4.

- 3 - C • •

• . . L C

00 L a. it 0L- . tj t1 4 11C10 a +0 .00 as

C C *1 It 11I Ui tI i 1I f 1

* . U

- - - , a 0 , -

0 -oz - 4 firu- ! c Il A )C O ®0 a a c C c c U C c c € x € o .c

* a * c ..- a - -- -C . 4.-- U• -C .L C

00-1 L VA u *6t 01' - 6*l U ftI ""II .I eq . . . 4,J U g ,

CS SU. UE --- I. Cm I- EO O-ElD-E E .EEEEEE.'

. L4 - - CL4 - .u aa lm ; ; t E E E E

w a 400 0 C w0 w' As. 04. c r 0

In inJ In 0 J 10 0 01001 t. to cc goo to) 0" 00( ctoI ) (ta n ma 2

40 6-00 00 a m m e A. A C00 o aI 0M O *. 0 no1.A ~ ~ ~ U OM XV 0 A 4' A0 4'01, o m 0mA A 100 C Xo X' On 0m e- nm0mm )ma no .

)):,:) , 1)>>>>:)>)>>>>>>>>>>>>>>>>>>>>>> > > > > >

161

~ 0+ .C ) C6 ~ C ~ S ~ **., 4'

L L

3 CL

v N

Co C

0* UL C L

IS A c

a 4 CLC 0

Av u L ~re C L 2 cc 0 .

13 LU c 0 0o V 0 uL CLCLC 14 a CLC .4

U - *.-=,a C Un

' A0 'a .- C. S 0 -

5.- 2L C, N " A A 1 A * UL

L c A-4 0.A 4 0 A L 4-

u L 00 ~ I C2L 30 + aS~ -S O S's

4' Us 10 + 0 2 L~ L.- o x it i C in U 130+. S *.c + 0 - 40 o+ I!'O

0 u. L SU VN Ce - C£0 B. 1 -0-N A AC C -

IWO USO a Cr~ 500.. C.* 4. C -~ - -' '1 1 I.'Lnc 9L lU U-- 0 U)UU -U0c0 CC O.10

2.+c .c 0*~ -CCC o. c ccc c c cc . C

61 C L 4 - C -~ C - N 111111 C 11,1 1111 C C C C 0'U 0 u-L c m 0 C - rS a OL C 41

CL 34 UU 0 0 a .a - o a 0 u 00 C - U

CH OU -1-4 o ; L U aS SC .' CC, C*L C 'a. 4U- -0 I .4' 55u U It aSSatCa 0 ItCC A S CC 00 a Go Go

0 CL 14'- CD (DJS4' 0 - (4 m~ V 0 0 14-C E C

00* 0 0 ---------- UE-S -E U E- E2

162

V.

0 )

41 +L

SWL

. . o

c 4 L c

0 04 4

04 .. C - .Vj4 - Q. 0 V - * * - c> *.40 L L 10 * *00 L 6 L0IODC4 .1

C0 . .O x4 0 - 0 * *dc -a' - - - - -*4 --

-6 4. C. 4. U 0 0L 0. L 4 A .

00 0e 0. * 3 E 0L

I. C Ca c * - 0 Ia. *. * *- -SL- A -A A 0 * 4 rX -

go > 0 4 M -) 41 U 0-010 0 u +0 1

Cu I. 4.CN 0 - - L 0 * a 0. *0 CO 0 013330ON 0 0 O a0C V *J V !A C0.- g tL C LC

L O + -. I a *001 * 10 *04 , x 00ECC4. eeN* IM 0i U * 4- . L

*4. M MO 1 000 (4 V In 00 It In *0D- 1-0 0 C 1CLL~ ~~~ N-4 N-lO- CM-.-0 N-~ .M 0) 09 0) e)E M

CL so of'E .- .0 0 C* C W V 11 0 0--1 --O - -- - - - - * CL * 00.r

U. C C C LC LC CCQaC Xaa C a C* CL C53 C*C LC0 u am mu mu- * * 0

u ~ C 'a 4. U 0 0 -*0

toC 0 0 0 0 * 0 a 0 .0.0A

-2 0,. lm 0, A .A - m 41 A (1 (A C m *)mI 0 0 0-Or

*~~ >* > >- V>td4 >L- 4 >OV >S > >0 > * -c

4. UL )CVO '--~ ag-o'-e 00~V~~4. 4* 0 163*CV

CIL.

ca

CI

u A

CL S

0 L

A 0 0000A 4- 0 a

* .! 0 cJ,

* 3- CL

it 0 c a0 It- v i4 .4

CL CL 0 - -I ,0 c

1' 0. 3 S0a0if o G .. L c4-6,a Mj 0X 00S 4-IC t- V C( Iva!0x 00r l6Z I

I- U L f-L XLLOOLL L- - X - xxNVa 0- a V a 9 4C-0 ac--0 ac % 0c a

CL i-C L'0 LC 1C C 1C LC wC LC 1C1 * ; lo

*oa f 0 01- i '-EM '. cu - 0 3j oua 0

inL 0 ,vi .c 6 c * U n0r o 0 O 4m u0f0omow

* -E -

fit.

C4 40 0

v CD

* ; 4C w Ct. : 0

*0 L ULV1

C L 0 a

4 L~ 0. 6

40 0A eN ' CCL4 - 0 *

*0 C * 6 0ON 1 *0 . . -*0 E~ a0 L: (

* 0L 0. 0. * a0 C-0 *) 6- 0 4 60 4.*0 0.U 0 *0, ) * 2

4- ~ ~ o -CC **C-3 c 3 >

4-A0 0 * - U -0e 0 9~ *..41 3 *U - 4' 0 U In.

*0 -- C L0 mt a. 7,M a 5 : of f

a .. > - L0- ->6 CL0>C16 41. 11 4 4 I 1 -*0 - 4 11 1 + - C0 6 - 4.. m 0 - C

40 a0 11 40U- 0 *OCM(1M 4N *0 1U V )61.00! 10-i 41 0- M11 440 IN. X~ *06 in 4 L * 0- _ -

4.0V)*010*.0aa0 C i C 06 C 0 0. 6m *5 r-C 1 31 C 0 1 L. *- U L * 6 0 )L- C

0. *M CL 0 . CL 'L C L4C C 0

*0 *C0- E.' * C . -Ca * q5* i u 4 a~6 so A 0* a oC:a .

A 0 C4 r 0 0 NMWO Uoo AU00)- MCI foom6C -A4u -W' 5 C CC -(I O D40 No000 00 00 000 0000 60 AA M 0000 U 0 00;;-

A> >- >O 1 CC> > >06 > .V >50 > >>

CO C ~ fl CM ~ l. 0 4 M 0 6 -165C

-V A C - ~ 6 4- 06 V VV * M. C 06 6 %* V 2 C VV CL 4 0C6*'-6 M 0111 * 0sU

Mn .

C~ 0 U mem~~ *- em > q *

eN ~ em 00 . * CA 0 0

em.A a. V0 C *h> C

to * 1m * -* 0L i Oh3

0. 41 0l

to C am 0 *-

6 C C.0-2' Aema I C 4. ah e L Mt. mh i

eC. Cc amem - 0 4mC M- - Cem *e a 0 m** m e emC-E ~ e 04.

OM *C u 0gg- I C 0CS-40 emV

'0 em 0Pd * 0eg C 0 em u 4 00N ~ 0- 4 AA CC0. em 0 N6~ ' C aL

eCUI- em I~ em .. e Oh em *0 -- 0-CC -0 Pd 00 CO L C MM1Cm

em -CU AM Is@ C .C m- ALmCS-

06 ~ ~ ~ A 0e-h C16 *- m L z Ar em *- -AsCqem

A A0 . C>U V 0' .m~ C0 ..e em~0- a x -O -a"em em em 40 Am 4- 00- em Ci C Am .m0 . - C 0 *) em0 0 Ag .g .g 0g. 5

0.v I~0la C 0 ; ! :I- AC a It 400ve COh. --- em em .mee

Co -oe Mo a a em em -0Oonh Ae a.SC -- O~li

C0 CL ;1 r;i IU -U CO Cem 161 L MN -em v- . C> aS ..

M- LCOv 0.4' > LC UCM C 11- -0 v-M- C **CO**m-O~ ~ 0OMO-6 OO6 -e C4MCCC Ce Mu CX -1 C4

aC 4i .- i CL U a CL i~m - C- 4. Q.0C6Ohto0 o. 0 CIAO-LC-o C; * E0 a. me 00 C-.100C 0 le E S M L 0

CO.DL S-em CL CNa C -U1 C 1 61~Cis O r0.N LmU 04- 06 eumC- C+ 'e fX @ A A

4u CL aa C C 0 U C - O-e 9mm. 4m CCoo 4J A' 0 0

CO to0, C1 0 0 0emo.C o.0.

C Q Q Nfq 0 c 6 > U C 4.P0~~ L.(0 :S :u~s0o ~ !ir. -a a---- ---- ---- -- -- -- -- ----- --------- AAA

u~~ ~~~~ 0 4* Cv ,

uuzuuua~~ :* 3 -I .

*~~. N do-.. 4 . (0 10 .0 1F10 10 SA IA r a, 0 C4 i

In CI

4.> 0>o

a3V 0 V ' *(4 . W) . 4

-2 + i 0 V

o - n o co in In * nC 31 L9 1 :

0 In 0 S 0*4 44 + - -1

a.V V n0 c0 C a we . 43. - 1- A 00 U

0* L A. 0. A - 4' 6CLA ' !! A* ?.c a cI N a .4j- C n D0aa0aAJ o 4 LI L0

O0 Ifl -V - a 0- - k a 0 0------ 0 CC UN. 0 n OA - U L

o0 c I +c 0 C 0A-4 -N %*w : * *0 -A

a0 200 c vow" 4- mC

0 4t 0 0 0 c 'o c 0 AAAAAA A A *YM0 > 0 0O 0 0010 10 100 A -~ 0. 4. CL. SI ,i~ , ; ci I

03 to Pe. aw 0 C p V 0 -0I UPcCO CO CO 22. * aU0 -= a. 0 c-0v vv*o I*c

66 w6 *41A 6*4 -. z . U C 0 OUi i C .-. C L- 3V. -6 C t - C U aC6

* is 4 C C AC 0 * .- 0 0 in 00 U in 0

CIn CN' Cto c u u 6 n a a a a 6 M 0 ~ *~0 0 0 : 0 -) *1 a 0--------6 - C

0 I lL0 LA a0i > 6- 6 A)W~lW- aI of66 LQCLC LaC LC LC 0 Q. CL QCaC OC CC . )- 6 .

t; W t; .W 4W; WC5 000M 6 a- *. ; g- !u4-XC1113O nf -'CO ..0- O- r WCe-M04

OA InA 2A . 0 * *r_ 6 .0 r Oq9A A A NWC inD~S0SU C. 040J aw1 0 AIAA At 0 00

0 l I 0 O** 4. a. C *M M M Mc 6 : mv 0 v0 v0 A - M . 9 V 4' -2 2 2 -~C - 6 CC

E'1P6 66 u6~ aC a3 at 10 am *0 0 0 00 0hC CaC S C SCC-0V~o0s.0.6 c %- of.CVVVV U V Mt me a V

0V; *V SV V* O2 iu WSCIIIIIIIIIIII;IIIIA) 0. 1111 1 u iii6660. 0VVIoP 0.* *@m -- LW. *6-CMO~-S0NIS V. V 10W PMC 10W

ft t f ~0 '04' 0a,1 M- - - - - -e 0, - --00

0. >.G M > > > C>C> C >CCCCC >C3. 6 >CC > > CC

II U6 SC W6 1. C- --- -.- -. -- -167

6*

c *' - q q- m

leooo .01

1* CC C cc

;A A A A C

+0000 U

-c.ccl P. c

0 C C C-

0 u r'-

C CC CC C

C4 C4"C 4 4

-. 16

LIST OF REFERENCES

1. Daniel, M.E. and Gwyn, C.W., "CAD Systems for IC Design", .IEEE Transaction on Computer-Aided Design of IntegratedCircuits and Systems, v. CAD-l, pp. 2-3, Jan 1982.

2. Matelan, M.N., "Automating the Design of DedicatedReal Time Control Systems", Preprint UCRL-78651,Lawrence Livermore Laboratories, 21 August 1976.

3. Heilstedt, M.R., Automated Design of Microprocessor-Based Digital Filters, MS Thesis, Naval PostgraduateSchool, Monterey, California, p. 23, 1983.

4. Ross. A.A., Computer Aided Design of Microprocessor- S.Based Controllers, Ph.D. Thesis, University ofCalifornia, Davis, 1978.

5. Carson, T.H., An Input Translator for a Computer AidedDesign System, MS Thesis, Naval Postgraduate School,Monterey, California, 1984.

6. Smith, T.J., Implementation of a Zilog Z-80 BasedRealization Library for the Control System Designnvironment, MS Thesis, Naval Postgraduate School,Monterey, California, 1984.

7. Pollock, G.G., Further Development and Investigationof Computer-Aided Design of Microprocessor Systems,MS Thesis, University of California, Davis, 1980.

8. iAPX 86/88,186/188 User's Manual, v. 2, p. 6-5, IntelCorporation, 1983.

9. Ibid., p. 6-3.

10. Ibid., p. 6-18.

11. Ibid., p. 4-5.

12. Ibid., p. 6-6.

13. Statz, R.J., 8087, Prentice-Hall, 1983.

14. Kern, C.O., "Five C Compilers for CP/M-80", Byte,v. 8, pp. 123-124, 1983.

16..

169 ."-°.%.."

-S-.

•-- Z I N7. - ..- . - .- -- - -

INITIAL DISTRIBUTION LIST

No. Copies

1. Defensd Technical Information Center 2Cameron StationAlexandria, Virginia 22314

2. Library, Code 0142 2Naval Postgraduate SchoolMonterey, California 93943

3. Professor H. H. Loomis, Jr., Code 62Lm 4.. Department of Electrical and

Computer EngineeringNaval Postgraduate SchoolMonterey, California 93943

4. Lieutenant Colonel A. A. Ross, USAF, Code 52Rs 2Department of Computer ScienceNaval Postgraduate SchoolMonterey, California 93943

5. LCDR Alan Cetel, USN 2Naval Sea Systems Command HeadquartersWashington, D.C. 20362

170

7...

FILMED

1-85

DTIC.AL.