92

Электронные компоненты №6/2010

Embed Size (px)

DESCRIPTION

В номере: Расчет силового каскада повышающего преобразователя Не такие уж и пассивные компоненты Современные инновационные решения для высокочастотных разъемов

Citation preview

Page 1: Электронные компоненты №6/2010
Page 2: Электронные компоненты №6/2010
Page 3: Электронные компоненты №6/2010

РЫНОК6 «КБ Навис»: серийность, мультисистемность, кон-

курентоспособность

9 Знакомьтесь: ОАО «Завод полупроводниковых

приборов»

МИКРОСХЕМЫ СИЛОВОЙ ЭЛЕКТРОНИКИ

12 Максим Соломатин

Применение компонентов International Rectifi er для

синхронного выпрямления

21 Брайан Кинг

Управление синхронными выпрямителями с помо-

щью гальванической развязки для цифровых сиг-

налов

25 Бригитта Хауке

Расчет силового каскада повышающего преобразо-

вателя

28 Валерий Иванов

Преобразователь напряжения 1273ПН1Т для ста-

билизированных источников питания бортовых

систем

30 Хеннинг Хауэнштайн

Высоковольтные ИС для надежных платформ

управления электроприводом

32 Универсальный высоковольтный LED-драйвер

IL9910 ОАО «Интеграл»

34 Стив Нос

Обеспечение электрического питания ПЛИС с помо-

щью мощных линейных LDO-стабилизаторов

ПАССИВНЫЕ КОМПОНЕНТЫ

39 Боладжи Оджо

Не такие уж и пассивные компоненты

42 Борис Больщиков, Алексей Халявин, Василий Барган

Наноконденсатор: новый подход к получению

унифицированных керамических емкостных

элементов

46 Павел Усачев

Современные инновационные решения для высо-

кокачественных разъемов

52 Кендал Кастор-Перри

Полосовой фильтр: не пренебрегайте катушкой

журн

ал дл

я раз

рабо

тчи

ков

Эл

ек

тр

он

ны

е к

ом

по

не

нт

ыРуководитель направления «Разработка электроники» и главный редактор Леонид Чанов; ответственный секретарь Марина Грачёва;редакторы: Елизавета Воронина; Виктор Ежов; Екатерина Самкова; Владимир Фомичёв; Леонид Чанов; редакционная коллегия: Валерий Григорьев;Борис Рудяк; Владимир Фомичёв; Леонид Чанов; реклама: Антон Денисов; Ольга Дорофеева; Елена Живова; распространение и подпис-ка: Марина Панова, Василий Рябишников; вёрстка, дизайн: Александр Житник; Михаил Павлюк; директор издательства: Михаил Симаков

Адрес издательства: Москва,115114, ул. Дербеневская, д. 1, п/я 35тел.: (495) 741-7701; факс: (495) 741-7702; эл. почта: [email protected], www.elcp.ru

ПРЕДСТАВИТЕЛЬСТВА: Мир электроники (Самара): 443080, г. Самара, ул. Революционная, 70, литер 1; тел./факс: (846) 267-3139, 267-3140; е-mail: [email protected],www.eworld.ru. Радиоэлектроника: 620107, г. Екатеринбург, ул. Гражданская, д. 2, тел./факс: (343) 370-33-84, 370-21-69, 370-19-99; е-mail: [email protected], www.radioel.ru. ЭЛКОМ (Ижевск): г. Ижевск, ул. Ленина, 38, офис 16, тел./факс: (3412) 78-27-52, е-mail: [email protected], www.elcompany.ru.ЭЛКОТЕЛ (Новосибирск): г. Новосибирск, м/р-н Горский, 61; тел./факс: (3832) 51-56-99, 59-93-31; е-mail: [email protected], www.elcotel.ru.Издательство «Электроника инфо» (Минск): 220015, г. Минск, прз. Пушкина, 29 Б; тел./факс: +375 (17) 251-6735; е-mail: [email protected], electronica.nsys.by.IMRAD (Киев): 03113, г. Киев, ул. Шутова, д. 9, оф. 211; тел./факс: +380 (44) 495-2113, 495-2110, 495-2109; е-mail: [email protected], www.imrad.kiev.ua

Журнал включен в Реферативный журнал и Базы данных ВНИТИ. Сведения о журнале ежегодно публикуются в международной справочной системе по периодическим и продолжающимся изданиям «Ulrich’s Periodicals Directory». Ис поль зо ва ние ма те ри а лов воз мож но толь ко с со гла-сия ре дак ции. При пе ре пе чат ке ма те ри а лов ссыл ка на жур нал «Эле к трон ные ком по нен ты» обя за тель на. От вет ст вен ность за до сто вер ность ин фор ма ции в рек лам ных объ яв ле ни ях не сут рек ла мо да те ли.

Индекс для России и стран СНГ по каталогу агентства «Роспечать» — 47298, индекс для России и стран СНГ по объединенному каталогу «Пресса России. Российские и зарубежные газеты и журналы» — 39459. Свободная цена. Издание зарегистрировано в Комитете РФ по печати. ПИ №77-17143.

Подписано в печать 10.06.2010 г.Учредитель: ООО «ИД Электроника». Тираж 3000 экз.Изготовлено ООО «Группа Море». г. Москва, Хохловский пер., д. 9. Тел.: +7 (495) 917-80-37.

содержание

№6/2010

www. elcp.ru

Page 4: Электронные компоненты №6/2010

4

СО

ДЕ

РЖ

АН

ИЕ

WWW. ELCP.RU

56 Константин Калаев

Линейность и шумовые характеристики

резисторов Vishay, изготовленных по технологии

Bulk Metal® Foil

ВСТРАИВАЕМЫЕ СИСТЕМЫ

58 Леонид Акиншин

О новизне процессоров Intel Core i3/i5/i7. Часть 2

СЕТИ И ИНТЕРФЕЙСЫ

62 Виктор Охрименко

FSK-модемы для PLC-связи: стандарты, производи-

тели, компоненты

68 Грэг Лара

Создание периферийных устройств с поддержкой

PCI Express на основе FPGA

АНАЛОГОВЫЕ КОМПОНЕНТЫ

72 Дейв Коулман, Майкл Мирмак

Моделирование компонентов шины Intel QPI

СТАНДАРТНЫЕ ЦИФРОВЫЕ

МИКРОСХЕМЫ И ПАМЯТЬ

78 Леонид Авгуль, Борис Иванов, Виктор Кряжев,

Сергей Курносенко, Сергей Терешко

Микросхема быстродействующего восьмиразряд-

ного буферного формирователя 5861АП1У

ТЕОРИЯ И ПРАКТИКА

80 Владимир Кондратьев

OLED-технология — перспективное решение для

систем освещения?

ПОСЛЕ РАБОТЫ

85 Сергей Слепнев

Цифровой USB-термометр BM1707 с мониторингом

температуры через интернет

87 Тон Гисбертс, Тейс Бэкерс

Альтернативный источник питания для Hi-Fi-систем

89 НОВЫЕ КОМПОНЕНТЫ

НА РОССИЙСКОМ РЫНКЕ

Page 5: Электронные компоненты №6/2010

СО

ДЕ

РЖ

АН

ИЕ

5

Электронные компоненты №6 2010

contents # 6 / 2 0 1 0

E LEC TRO N I C COM PO N E NT S #6 2010

MARKET6 KB Navis: Commercial, Multisystem and Competitive

9 Meet the OAO ZPP Fab

POWER IC

12 Maxim Solomatin

Use of International Rectifi er Components for

Synchronous Rectifi cation

21 Brian King

Controlling Synchronous Rectifi ers with Digital

Isolators

25 Brigitte Hauke

Basic Calculation of a Boost Converter's Power Stage

28 Valery Ivanov

1273PN1Т Converter for Constant Power Supplies of

On-Board Systems

30 Henning Hauenstein

Using HVICs for Robust Motion Control Platforms

32 General-Purpose High-Voltage LED Driver

34 Steve Knoth

Powering FPGAs with High Current LDOs Require Low

Noise, Low Dropout & Fast Transient Response

PASSIVE

39 Bolaji Ojo

IP&E: Passive in Name Alone

42 Boris Bolshchikov, Alexey Khalyavin and Vasily Bargan

Nanocapacity: New Approach to Building Unifi ed

Ceramic Capacitive Elements

46 Pavel Usachev

Innovative Solutions for High-Quality Connectors

52 Kendall Castor-Perry

Fainting in Coils: Filters and Their Inductors

56 Konstantin Kalaev

Linearity and Noise Parameters of Bulk Metal® Foil

Resistors

EMBEDDED

58 Leonid Akinshin

Newness of Intel Core i3/i5/i7 Processors. Part 2

NETWORKS AND INTERFACES

62 Victor Okhrimenko

FSK Modems for PLC Connectivity: Standards,

Manufacturers and Components

68 Greg Lara

Low-Cost PCI Express-Compliant FPGAs Enable a

Plethora of Peripherals

ANALOG

72 Dave Coleman and Michael Mirmak

Time Domain Modeling and Simulation of Intel

QuickPath Interconnect Circuits

TYPICAL DIGITAL IC AND MEMORY

78 Leonid Avgul, Boris Ivanov, Victor Kryazhev, Sergey

Kurnosenko and Sergey Tereshko

High-Speed 8-bit Buff er Gate 5861AP1U

THEORY AND PRACTICE

80 Vladimir Kondratiev

How Much is OLED Promising?

AT LEISURE

85 Sergey Slepnev

Digital USB Thermometer VM1707 with Temperature

Monitoring through Internet

87 Ton Giesberts and Thijs Beckers

Alternative Power Supply for Hi-Fi Systems

89 NEW COMPONENTS IN THE RUSSIAN

MARKET

Page 6: Электронные компоненты №6/2010

6

РЫ

НО

К

WWW.ELCP.RU

«КБ НАВИС»:СЕРИЙНОСТЬ, МУЛЬТИСИСТЕМНОСТЬ, КОНКУРЕНТОСПОСОБНОСТЬ

— Расскажите, пожалуйста, об

истории, структуре, численности, дея-

тельности компании, в т.ч. о финансо-

вых аспектах.

— Компания «КБ Навис» была соз-дана в 1996 г. в Москве. Изначально она занималась разработкой специ-альной навигационной аппарату-рой ГЛОНАСС/GPS. За время своей деятельности было разработано и запущено в серийное производство более 70 видов оборудования для навигации. В настоящее время в «Нависе» работает свыше 400 чело-век. В компании имеется собствен-ное КБ и производство. Примерно 200 человек работают на производ-стве, около 100 человек — в КБ и столько же — обслуживающий пер-сонал.

В Москве находится главный офис компании; в Петербурге — подраз-деление, которое занимается, в основном, морской тематикой специ-ального назначения. Годовой оборот компании за прошлый год составил свыше 1 млрд руб.

По имеющимся у нас данным, кото-рые были предоставлены на НАВИТЕХ-2010, «КБ Навис» занимает порядка 73% рынка ГЛОНАСС/GPS в России. Всего мы выпустили более 100 тыс. навигационных модулей для коммер-ческого сегмента. Наши основные потребители — разработчики систем мониторинга транспорта, в т.ч. для нужд МВД и МЧС. В прошлом году было выпущено около 50 тыс. моду-лей, остальные — за период 2004—2008 гг. Первые коммерческие моду-ли (модуль GNSS) стали выпускаться с 2001 г. Модули Navior 24 следующего поколения были уже более пригод-ны для использования в портатив-ных системах и также применяются в

системах синхронизации времени, в т.ч. в станциях CDMA, Wi-Fi. Затем был выпушен модуль СН-4706, который также стал выпускаться серийно. На нем разрабатывается большинство отечественной коммерческой аппа-ратуры с использованием сигналов ГЛОНАСС/GPS. Это наиболее коммер-чески успешное изделие на отече-ственном рынке.

— В России есть перспективы

выпуска отечественных конструктив-

но и функционально законченных

приборов размером с сотовый теле-

фон или встраиваемых в него?

— В настоящее время у нас есть прототип трехдюймового навигатора. Он сделан совместно с партнерами из фирмы «Вобис компьютер» (систем-ный интегратор на отечественном рынке навигаторов и коммуникато-ров) на основе нашего нового модуля NV08C.

Серия модулей NV08C в настоящее время существует в двух модифика-циях (NV08C-MCM и NV08C-CSM). Это модули полностью нашей разработ-ки. Кристаллы выполнены по техно-логии 90 нм. В России таких заводов нет, поэтому мы делаем их в Японии. Это полностью законченное изделие состоит из аналоговой и цифровой части и не требует установки допол-нительных компонентов — т.н. «обвяз-ки». Потребление модуля NV08C-МСМ (позиционируемом нами для носи-мых устройств) в режиме поиска — 150 мВт. В стандартном режиме работы его потребление составляет порядка 100 мВт. Имеется возможность снизить его потребление за счет перевода в импульсный режим работы, когда по всем системам ГЛОНАСС/GPS/GALILEO энергопотребление составляет 20 мВт.

— Зачем предусмотрен дифферен-

циальный режим? Разве он востребо-

ван?

— Наши модули принципиально отличаются от всех остальных ком-мерческих модулей тем, что в них реализован режим дифференциаль-ного приема (в соответствии с реко-мендациями RTCM) и прием широ-козонных поправок SBAS. Также в модулях реализован режим Assisted GNSS. Дифференциальный режим позволяет повысить точность нави-гационных определений до 1 м. А при использовании режима A-GNSS возможно снижение времени стар-та модуля до 3 с при «холодном» старте (когда у приемника нет сохра-ненной информации). В настоящее время проходит тестирование пилот-ной зоны режима A-GNSS в Москве и Петербурге.

— NV08C — это классический

модуль для потребительской аппара-

туры? Какова его цена? Сколько вре-

мени вы его разрабатывали?

— Стоимость модуля от 15 долл., цена зависит от объема партии. Разработка началась в конце 2008 г., а в ноябре 2009 г. были получены первые образцы. Сейчас мы тестируем третью (инженерную) версию этого модуля.

В дальнейшем мы перейдем на модули серии NV08C в разных испол-нениях: корпусные NV08C-CSM и бес-корпусные NV08C-MCM. В этом году мы продолжим выпускать модули СН-4706 и в 2011 г. будем обеспечивать поставки и поддержку этих модулей. С 2011—2012 гг. все разрабатываемые изделия будут производиться на моду-лях серии NV08C.

В настоящее время мы подготовили и передали техническую документа-

Интерес к компании «КБ Навис» у нас возник не случайно. Выступая на организованной ИД «Электроника» конференции «Датаком — передача данных», которая состоялась в начале июня этого года, Георгий Шульгин, руководитель направления коммерческих модулей «КБ Навис», заявил, что в 2011 г. «КБ Навис» намеревается выпустить 1 млн навигационных моду-лей. Эта цифра горячо обсуждалась в кулуарах конференции. Учитывая крайне противоречивые сообщения прессы о состоянии дел по развитию системы ГЛОНАСС, мы решили получить информацию из первых уст, встретившись с Георгием в стенах «КБ Навис».

Page 7: Электронные компоненты №6/2010

РЫ

НО

К

7

Электронные компоненты №6 2010

цию более чем 200 фирмам, которые уже начали разработку своей аппа-ратуры на основе наших модулей. Некоторым особо перспективным про-изводителям мы передали образцы устройств NV08C для ознакомления, а также дилерам. К концу года, когда будет получена первая серийная пар-тия, уже будет разработано несколько типов оборудования с использовани-ем этих устройств.

— Какую часть доходов компании

занимает военное направление?

— Сейчас это основное направление деятельности компании. Коммерческое направление начало развиваться с начала 2000-х гг., но основное коли-чество модулей было продано в тече-ние 2008—2009 гг. С выходом модулей серии NV08C мы планируем серьезно расширять коммерческое направле-ние.

— Как вам удалось опередить дру-

гие компании?

— «КБ Навис» с момента своего образования занимается разработ-кой и производством навигационной аппаратуры в соответствии с высоки-ми требованиями качества и надеж-ности. Эти же критерии мы заклады-ваем при разработке коммерческих изделий. «КБ Навис» — это одна из немногих компаний на российском рынке навигационных устройств, которая занимается серийным выпу-ском изделий. Другие фирмы, занима-ющиеся навигационными изделиями, как правило, делают мелкосерийные, штучные партии модулей. У нас каж-дое изделие проходит полный цикл тестирования, поэтому мы выпуска-ем качественную аппаратуру и обе-спечиваем минимальное количество брака.

В настоящее время конкурен-ты только осваивают производство модулей — аналогов нашего моду-ля СН-4706, разработку которого мы начали 5 лет назад и уже серийно выпускаем его несколько лет. Кроме того, нашим преимуществом являет-ся то, что все используемые в модулях чипсеты — собственная разработка «КБ Навис». Мы полностью контро-лируем их качество и производим любое количество, необходимое для выпуска модулей. Наши модули также отличает то, что они могут работать с дифференциальными сервисами, которые устройства других произво-дителей не поддерживают.

— Вы вложили большие деньги

в разработку, теперь их надо воз-

вращать. Вы собираетесь выпускать

полностью законченный навигатор

или у вас есть партнеры, с которыми

вы станете работать над конечным

изделием? На чем основана ваша уве-

ренность в востребованности своей

продукции?

— В настоящее время «КБ Навис» выпускает не законченную коммер-ческую аппаратуру, а коммерческие модули. Что касается специального оборудования, это отдельное направ-ление деятельности компании, где мы занимаемся как разработкой, так и выпуском законченных изде-лий. Коммерческие модули мы будем поставлять партнерам для исполь-зования в их навигационных устрой-ствах. По нашим оценкам, в этом году мы сможем реализовать порядка 300 тыс. устройств. Основные потреби-тели продукции «КБ Навис» — на внутреннем рынке, хотя с прошлого года к нам достаточно часто стали обращаться зарубежные фирмы, в т.ч. из Сев. Европы, Сев. и Латинской Америк, Ближнего Вос тока. Разработка и сборка устройств для массового потребительского рынка на модулях NV08C будет проходить в Юго-Восточной Азии. Эти устройства станут поставляться в Россию, но у нас есть уверенность в том, что они будут востребованы и в мире.

Мы тесно сотрудничаем с заводами-изготовителями навигаторов и комму-никаторов, оказываем им техническую поддержку по внедрению модулей. В настоящее время мы плотно сотрудни-чаем с компанией Explay, объем продаж которой на российском рынке нави-гаторов составляет около 30%. Кроме того, нашими партнерами являются «Вобис» и достаточно молодая ком-пания Lexand. Эти российские фирмы продают в России конечную аппарату-ру, изготавливаемую на заводах в Китае и на Тайване. Мы ведем с этими ком-паниями переговоры об изготовлении первой опытной партии навигаторов в количестве нескольких десятков тысяч штук.

По рыночным оценкам, в России в прошлом году было продано около миллиона GPS-устройств. Цены на наши модули NV08C практически не отличаются от цен на GPS-модули. При этом GPS — это чаще всего только модуль, который требует установки дополнительных компонентов, а наш модуль — полностью законченное изделие. Но объемы GPS-модулей исчисляются миллионами штук, а у нас — десятками или, в случае с моду-лями NV08C, сотнями тысяч модулей. Поэтому в настоящее время невозмож-но полностью сравнять по цене наши модули с модулями GPS. При этом у нас есть и конкурентные преимущества перед односистемными решениями — при сопоставимых ценах наши модули будут более функциональными. Мы

уверены в том, что потесним одно-системные модули на российском и мировом рынках.

Мы развиваем направление муль-тисистемных модулей, и это дает нам конкурентные преимущества перед отечественными и зарубежными кол-легами.

— У вас нет конкурентов на рос-

сийском рынке…

— На отечественном рынке в настоящее время нет фирм, кото-рые могли бы выпускать навигаци-онные модули подобного качества и характеристик (это касается и новых модулей NV08C, и предыдущих серий СН-4706 и Navior 24). В мире, в прин-ципе, тоже аналогов нашему модулю NV08C нет. Сигналы ГЛОНАСС в спе-циальных геодезических и авиацион-ных системах поддерживают многие фирмы, и довольно давно. Это специ-ализированный рынок с дорогостоя-щими приборами. По объемам про-даж он небольшой, а по стоимости изделий — приличный. На этом рынке мы также предлагаем пользователям модули, которые при сравнимых тех-нических характеристиках стоят в несколько раз дешевле импортных аналогов. Недавно на модуле Navior 24 австрийская фирма разработала геодезическую аппаратуру. В настоя-щее время эта компания полностью переходит на использование наших модулей, т.к. они имеют лучшие тех-нические характеристики при более низкой стоимости. На потребитель-ском рынке в настоящее время нет продукции, которая могла бы конку-рировать с нашими модулями.

— Некоторые независимые экс-

перты утверждали, что не создано ни

одного приемника с ГЛОНАСС, кото-

рый по количеству потребителей, раз-

мерам и цене был бы эквивалентен

GPS-приемникам. Если GPS-чип имеет

размеры 3×4 мм, то в приемнике

ГЛОНАСС этот кристалл в 10 раз боль-

ше. Соответственно, энергопотребле-

ние у него выше. GPS-приемник про-

дают уже за 7 долл., а совмещенный

ГЛОНАСС/GPS — за 60 долл. Вы могли

бы прокомментировать такие мне-

ния?

— До недавнего времени так и было. Но после выпуска модулей серии NV08C это технологическое отставание было преодолено. Модули NV08C и по габаритам, и по потребле-нию приблизились к односистемным модулям. По цене, как я уже гово-рил, наши модули также максималь-но приближены к GPS-решениям. Также хочу обратить внимание на то, что когда говорят про габариты GPS-модуля 3×4 мм, то имеют в виду

Page 8: Электронные компоненты №6/2010

8

РЫ

НО

К

WWW.ELCP.RU

только его аналоговую часть. А если учесть, что для ее использования необходимо устанавливать допол-нительные компоненты и цифровую микросхему (обычно она представ-ляет собой мультизадачный процес-сор), то габариты такого решения уже становятся значительно больше — около 20×30 мм (без процессора), а цена возрастает с 7 до 10—12 долл., и тоже без учета процессора. Если сравнивать законченное решение на GPS и наш модуль NV08C-MCM с габа-ритами 9×12 мм и ценой порядка 15 долл., имеющий при этом изначально лучшие технические характеристики за счет возможности работы по всем видимым спутникам, можно гово-рить, что мультисистемное решение NV08C-MCM не уступает импортным аналогам.

— «Навис» — явный сторонник

аппаратной реализации приемни-

ка. Как Вы относитесь к программ-

ной реализации приемника, которую

предлагают некоторые разработчики

навигационных модулей?

— На самом деле, такой прием-ник был сделан и у нас. Микросхемы, на которых он был реализован, уже серийно изготавливается — это СБИС К1879ХК1Я, достаточно мощная трехъядерная система. Что касается потребительского рынка, то в настоя-щее время не ясно, кем и в какие устройства может быть установлен такой приемник. Во-первых, софтвер-ные приемники ориентированы на достаточно специализированные применения; во-вторых, те компании, которые серьезно занимаются раз-работкой навигационных устройств, цифровую и аналоговую схемы делают сами. Поэтому мы не видим рынка для коммерческого использования такого софтверного решения и ориентируем его на специализированный рынок.

— Почему в модулях используются

32 канала, а не 48, например?

— Количество каналов в настоя-щее время перестало быть опреде-ляющим фактором качества работы приемника. Мы кардинально пере-работали модули относительно предыдущих поколений, реализова-ли в нем блок быстрого поиска. Этот блок менее чем за секунду позволя-ет захватить сигналы всех видимых спутников. Кроме того, каждый канал приводит к дополнительным энер-гозатратам и усложнению схемных решений.

— В вашей компании есть государ-

ственная доля?

— В настоящее время ЗАО «КБ Навис» — частная компания. Мы уча-

ствуем в ОКР, получаем госзаказы, изготавливаем продукцию для гособо-ронзаказа. Часть прибыли от этой дея-тельности направляется на разработку новой техники, новые модули NV08C были разработаны за счет собственной прибыли компании, полученной в т.ч. от деятельности на государственном сегменте рынка.

— Кризис сыграл на руку частным

компаниям?

— Оценки противоречивые. Коли-чество компаний, занимающихся разработкой конечных устройств, по-прежнему около 200. Их костяк составляет несколько десятков солид-ных фирм.

Госзаказы позволили выдержать кризис, хотя поначалу пришлось сни-зить затраты. Сильные компании, зани-мающиеся производством и поставкой востребованной техники, устояли. Мы уверенно пережили кризис, не испытав больших потрясений. С середины про-шлого года компания начала активно расти.

— Каково Ваше понимание терми-

на «российская электроника»? Какой

смысл Вы в него вкладываете? Завод

Samsung по производству телевизо-

ров в России — это российское про-

изводство? Можно причислить его к

российской электронике, если разра-

ботка корейская?

— Сборочное предприятие ино-странной компании гарантирует рабочие места в России. Безусловно, это хорошо, т.к. если будут заводы, которые смогут реализовать раз-работку перспективной техники, то перенести опыт сборки и изготовле-ния аппаратуры, полученный на ино-странных сборочных производствах, на уже существующие отечественные заводы будет проще. Но необходи-мо развивать и инженерную мысль. Если мы занимаемся только сборкой, то разработка на таком предприятии минимальна или полностью отсут-ствует. В этом случае не развивается инженерный потенциал. Если россий-ская компания занимается разработ-кой и производством, то то она может считаться отечественной электрон-ной компанией.

— Насколько эффективны госу-

дарственная помощь и инвестиции

в капитал? Нам не известны при-

меры того, когда государственные

инвестиции дали бы серьезную

отдачу.

— Мы выполняем ОКР и изготав-ливаем аппаратуру по госзаказу. Часть из полученной прибыли мы вкладываем в разработку новой техники, в т.ч. и коммерческой. Это

можно считать примером успешной коммерциализации, т.е. переводом специализированных технологий в потребительскую отрасль промыш-ленности.

— Считается, что GPS-микросхемы

достигли предела своего развития и

навигационные приемники исчерпа-

ли возможности совершенствования.

Дальнейший путь — совмещение

функционала.

— Действительно, основной функ-ционал навигационных систем уже описан в теории. Известны теорети-ческие исследования, изложившие то, что сейчас осуществляется на аппарат-ном уровне. Например, та чувствитель-ность, которую мы реализовали в своих модулях, является теоретическим пре-делом выделения информации из сиг-нала. Но говорить о том, что возможно-сти дальнейшего совершенствования модулей нет, преждевременно. Можно совершенствовать режимы работы модулей, повышать качество слеже-ния за сигналом, снижать время стар-та и т.д.

— То есть дальнейшая деятель-

ность «Нависа» вполне определена?

— В принятой Федеральной целе-вой программе достаточно подробно описано направление деятельности компаний, которые занимаются раз-работкой навигационной техники. У нас есть понимание того, чем мы будем заниматься — разработкой и совершенствованием модулей и спе-циальной техники. Нам потребуется усовершенствовать модули, а также алгоритмы их работы. Имеется и дру-гое широкое поле деятельности — борьба с помехами.

— Как вы решаете проблему с ква-

лифицированными кадрами?

— В настоящее время на рынке большой спрос на хороших инже-неров. У нас есть контакты в вузах, мы работаем с кафедрами. Поддерживаем контакты с МГТУ им. Баумана, МАИ, МИФИ, с военными академиями. У нас работает много студентов. Они остаются у нас после окончания институтов. Мы обеспе-чиваем людей хорошей работой, что достаточно редко по нынешним вре-менам. Наша компания обеспечива-ет нормальный цикл разработки и производства, а сотрудники видят результат своей деятельности. Мы даем конкурентоспособную зар-плату, предоставляем возможность карьерного роста специалистов.

Материал подготовили Леонид Чанов и Владимир Фомичёв.

Page 9: Электронные компоненты №6/2010

9

Электронные компоненты №6 2010

Основное направление деятельности ЗПП – произ-водство и разработка металлокерамических корпусов, в том числе повышенной сложности с числом выводов в корпусах планарного типа до 300 и шагом выводов 0,5 мм и матричных корпусов с количеством выводов до 600 и шагом выводов до 1,27 мм. Металлокерамические корпуса позволяют обеспечить высококачественную сборку, защи-ту и функционирование сложных интегральных микросхем и микропроцессоров, в т.ч. сверхбольших интегральных микросхем и больших матричных кристаллов.

Инженерные службы завода постоянно совершенству-ют выпускаемую продукцию, повышая ее надежность и качество и проводят разработки новых изделий по зака-зам клиентов. Срок разработки и выпуска первой опытной партии составляет не более 3-х месяцев.

В настоящее время на предприятии развивает-ся направление производства силовых корпусов.

ОАО «Завод полупроводниковых приборов» успешно работает на рынке металлокерамичческих корпусов с 1976 года и на сегодня является современным, динамично развивающимся предприятием, владеющим пере-довыми технологиями в области производства металлокерамических корпусов для микроэлектроники. Современное оборудование и технологии, высококвалифицированный персонал, использование передовых методов управления предприятием, научно-техническая и испытательная база для проведения иссле-дований и разработок в области металлокерамики, радиоэлектроники и машиностроения позволяют обеспечить потребителей продукцией высокого качества. Предприятие сертифицировано по системе «Военэлектронсерт».

Знакомьтесь: ОАО «Завод

полупроводниковых приборов»

Предприятие готово провести разработку и освое-ние любой номенклатуры корпусов для силовойэлектроники, имеющих спрос на рынке. Ведутся ра-боты по освоению корпусов SMD 0,5, SMD 1, КТ-43, 4601.3-1. Работы планируется завершить в IV квартале 2010 г.

Обладая уникальной технологией по выпуску металло-керамических корпусов, предприятие стало крупнейшим производителем в России и Европе.

В настоящее время среди партнеров предприятия много известных компаний: ОАО «Ангстрем», ООО «Интеграл», ЗАО «ПКК «Миландр», ОАО «ВЗПП-С», ФГУП «НПП «Пульсар», ЗАО «Микрон. Передовые технологии» и другие.

ОАО «ЗПП» вышло на международный уровень, уста-навливая деловые связи со странами ближнего и дальнего зарубежья.

Page 10: Электронные компоненты №6/2010

10

WWW.ELCP.RU

СОБЫТИЯ РЫНКА

| КОНФЕРЕНЦИЯ «СОВРЕМЕННАЯ СВЕТОТЕХНИКА — УКРАИНА» | 17-го июня в Киеве состоялась 1-я Международная конфе-

ренция «Современная светотехника — Украина». Ей предшествовала 1-я Всероссийская конференция «Современная свето-

техника», состоявшаяся 18-го марта в Москве. На мероприятие приехали 180 участников из России и Украины.

До обеда прошло пленарное заседание, а затем участники продолжили

работу в секциях «Светильники. Оптика»; «Силовая электроника для свето-

техники» и Thermal Management. После окончания работы в секциях про-

шел круглый стол, посвященный украинскому рынку светотехники. Всего

на конференции были прочитаны 30 докладов, в фойе работала мини-

выставка компаний-производителей светотехнической продукции.

На конференции были затронуты практически все аспекты светотехни-

ческой отрасли. Выступали представители государственных организаций

и ведомств; представители эксплуатирующих организаций и испытатель-

ных центров, компании-производители и разработчики, а также дистри-

бьюторы. Практически все доклады были посвящены светодиодной свето-

технике — направлению, переживающему бум на мировом рынке.

Обе прошедшие конференции — и московская, и киевская — показали,

что рынок светодиодной светотехники в обеих странах практически не сформирован. Да и на мировом рынке сегодня

происходят существенные перемены. Так, все активнее на нем появляются OLED-приборы и, хотя они еще не доведены

до стадии коммерческого применения, их нашествие не за горами, что на, наш взгляд, довольно существенно изменит

технологию освещения в ряде приложений.

Проникновение светодиодного освещения идет очень быстрыми темпами и во многих странах мотивируется законо-

дательными мерами. За столь стремительными темпами не успевают сертифицирующие органы. Серьезность проблемы

стандартизации проявилась и на конференции — этим вопросам были посвящены 3 выступления, после которых в зале

возникла оживленная дискуссия.

Во всех докладах в той или иной степени подчеркивалась энергоэффективность светодиодных источников света.

Действительно, величина светоотдачи около 150 лм/Вт у лучших светодиодов выглядит весьма впечатляющей по срав-

нению с 12…15 лм/Вт у ламп накаливания и даже по сравнению с 90 лм/Вт люминесцентных ламп. Отрезвляющую нотку

внесло заключающее пленарную часть выступление представителей компании «Светотроника». Они совершенно спра-

ведливо указали на потери во вторичной оптике и источниках питания законченных светотехнических изделий. С учетом

этих потерь картина выглядит уже не столь радужно.

Доклады в секциях были посвящены конкретным проблемам, возникающим при разработке и производстве светотех-

нического оборудования. А таких проблем немало. Это и вопросы охлаждения, и разработки энергоэффективных источ-

ников питания, и вторичная оптика и др.

И все же очевидно — хотя светодиодные источники света в ближайшем будущем не вытеснят все прочие, но займут

значительное место на рынке.

www.russianelectronics.ru

| 10 ИЮНЯ 2010 г. СОСТОЯЛСЯ ТЕХНИЧЕСКИЙ СЕМИНАР XILINX, КОТОРЫЙ БЫЛ ОРГАНИЗОВАН КОМПАНИЯМИ «МАКРО

ГРУПП» И SILICA — ПАРТНЕРАМИ XILINX В РОССИИ | Интерес к продукции Xilinx растет, поэтому компания «Макро Групп»

выступила с инициативой по организации семинара, на котором участники могли бы приобрести новые сведения и получить

ответы на накопившиеся вопросы по применению ПЛИС Xilinx. С этой целью были приглашены ведущие инженеры Xilinx

Томас Клейн (Thomas Klein) и Кэй Мигг (Kay Migge) из европейского офиса компании. К участию в семинаре на бесплатной

основе были приглашены специалисты различных отраслей, которые используют ПЛИС Xilinx.

Семинар вызвал большой интерес. Число участников семинара составило 125 человек из пяти федеральных округов.

Программа семинара включала:

обзор новых семейств Spartan-6 и Virtex-6, знакомство с программным обеспечением ISE 12.1; демонстрацию аппаратного и программного обеспечения: применение высокоскоростных портов ввода/вывода с

использованием платы ML605 с кристаллом Virtex-6 и специализированного ПО IP-Core IBERT (SW/HW Demo: Virtex-6 High-Speed I/Os using ML605 board and IBERT IP-Core);

работу в PlanAhead: ПО для разработок проектов на ПЛИС; разработку c DSP на ПЛИС: CoreGen, System Generator для DSP, проектирование на примере отладочной платы SP605 с

кристаллом Spartan-6;обзор IP-блоков и примеров проектирования для телекоммуникационных приложений. «Мы не только выполнили программу семинара, но и, что, уверен, еще важнее, получили обратную связь. Участники

семинара использовали все возможности, чтобы задать вопрос по продукции, ее использованию, особенностям про-

граммного обеспечения и т.п. Нам было особенно важно и приятно, что в семинаре принимали участие именно специали-

сты», — сказал по завершению семинара Томас Клейн.

Организаторы семинара получили многочисленные положительные отзывы участников, что подтвердило исключи-

тельную его пользу. Компания «Макро Групп» намерена продолжить работу по созданию технической обучающей базы

Xilinx в России. В рамках этой программы в сентябре планируется открытие Xilinx Lab на базе математико-механического

факультета СПбГУ. Идея создания лаборатории состоит в создании центра обучения и технической поддержки Xilinx в

России. Таких лабораторий будет несколько. Созданные на базе ведущих технических ВУЗов, лаборатории Xilinx Lab станут

не только центром повышения квалификации опытных инженеров и программистов, но также хорошей базой подготовки

современных специалистов для российской электроники.

www.russianelectronics.ru

Page 11: Электронные компоненты №6/2010
Page 12: Электронные компоненты №6/2010

12

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

WWW.ELCP.RU

В условиях борьбы за каждый процент КПД всё большее внимание уделяет-ся способам его повышения. В статье показано преимущество синхрон-ного выпрямления перед традиционными решениями, использующими диоды Шоттки на вторичной стороне AC/DC-преобразователей, проана-лизирован ряд управляющих микросхем и силовых MOSFET, разработан-ных специально для данного режима компанией International Rectifi er.

ПРИМЕНЕНИЕ КОМПОНЕНТОВ INTERNATIONAL RECTIFIER ДЛЯ СИНХРОННОГО ВЫПРЯМЛЕНИЯМАКСИМ СОЛОМАТИН, бренд-менеджер, «Компэл»

Можно с уверенностью сказать, что во всех областях деятельности современного общества применяется электрическая энергия. Для передачи энергии от генератора к потребителю используется переменное напряжение, в то время как большинство электрон-ных схем, содержащихся в бытовых приборах, требует питания постоян-ным напряжением.

По этой причине весомую долю в огромном разнообразии ежедневно разрабатываемых и производимых преобразователей энергии составля-ют AC/DC-преобразователи, топология которых подразумевает использование в выходном каскаде диодов и/или поле-вых транзисторов.

AC/DC-преобразователи часто име-ют следующие структуры: «корректор коэффициента мощности — резонанс-ный полумост — выпрямительный каскад с выводом средней точки транс-форматора» (см. рис. 1); «корректор

коэффициента мощности — полумо сто-вой преобразователь — выпрямитель-ный каскад» (см. рис. 2), «корректор коэффициента мощности — обратнохо-довой преобразователь» и т.д.

В любом случае в подобных пре-образователях присутствует выход-ное звено с выпрямительными диодами Шоттки. В данных схемах также осуществляется замена диодов полевыми транзисторами для умень-шения потерь в выходном каскаде. Необходимо добавить, что несуще-ственное усложнение управления в данном случае успешно нивелирует-ся ростом КПД, снижением стоимо-сти конечного устройства и умень-шением площади теплоотводящего элемента.

Несмотря на то, что режим син-хронного выпрямления обеспечивает снижение потерь мощности в полупро-водниковых элементах выходных каска-дов AC/DC-преобразователей, вопрос

реализации управления полевыми транзисторами для работы в данном режиме остаётся открытым.

До выхода на рынок специали-зированных микросхем управле-ния синхронными выпрямителями для управления силовым ключом в выходном каскаде преобразователя использовалась топология с транс-форматором тока, представленная на рисунке 3.

Однако построение синхронных схем приводило к усложнению сило-вого трансформатора и к введению дополнительного трансформато-ра тока для определения моментов включения транзистора, что неизбеж-но вело к росту числа компонентов, увеличению стоимости конечного устройства, а также к уменьшению его КПД.

Именно поэтому компания Interna-tional Rectifier, являющаяся одним из лидеров на рынке электронных ком-

Рис.1. Преобразователь на основе резонансного полумоста

Page 13: Электронные компоненты №6/2010

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

13

Электронные компоненты №6 2010

понентов, представила высокоэффек-тивное решение для организации син-хронного выпрямителя на вторичной стороне преобразователей. Линейка контроллеров Smart Rectifier ориен-тирована на управление транзистора-ми на вторичной стороне полумосто-вых резонансных и обратноходовых преобразователей, работающих в режимах прерывистого, граничного и

Рис. 2. Преобразователь на основе полумостового инвертора

Рис. 3. Синхронный выпрямитель, построенный с использованием трансформатора тока в цепи обратной связи

Page 14: Электронные компоненты №6/2010

14

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

WWW.ELCP.RU

непрерывного тока дросселя выход-ного каскада. В таблице 1 приведен перечень контроллеров для схем синхронного выпрямления, предо-ставляемых компанией International Rectifier.

В представленном семействе при-сутствуют как универсальные микро-схемы, подходящие для работы в резонансных и обратноходовых схе-мах в любом из перечисленных выше режимов, так и микросхемы для узко-специализированного применения. Например, контроллер IR1166S пред-

назначен для работы в схемах с обеи-ми топологиями и при любой форме тока вторичной обмотки трансформа-тора, тогда как IR1168S работает лишь в прерывистом и граничном режимах и только в схеме на основе резонанс-ного полумоста.

Все микросхемы данного семей-ства способны поддерживать высо-кую частоту преобразования вплоть до 500 кГц, за исключением IR11682S (400 кГц), и широкий диапазон выходно-го тока управления в диапазоне 1…–4 А для семейств IR1166 и IR1168 и в преде-

лах 2…–7 А для семейства IR1167 при максимальном напряжении сток-исток 200 В. Вход разрешения работы драй-вера позволяет использовать данную микросхему для реализации сторонних функций.

Также всю линейку микросхем, предложенных IR, выгодно отличают относительно невысокая стоимость; возможность управления как одним, так и параллельно соединёнными полевыми транзисторами за счёт уве-личенной мощности каскада управле-ния; компактный корпус; минималь-

Рис. 4. Типовая схема включения контроллера IR1167S

Таблица 1. Перечень контроллеров для схем синхронного выпрямления от компанией International Rectifi er

IR11682S IR1166S IR11662S IR11672AS IR1168S IR1167BS IR1167AS

Корпус SOIC-8 SOIC-8 SOIC-8 SOIC-8 SOIC-8 SOIC-8 SOIC-8

Топология Резонансный полумост +

Обратноходовой преоб-

разователь- + - +

Режим работы

Прерывный +

Критический +

Непрерывный - + - +

Vcc, Вmin 8,6 11,4 8,6 11,4

max 18

Максимальное напряжение сети, В 200

Диапазон выходного тока, А 1…–4 2…–7 1…–4 2…–7

Частота коммутации, кГц До 400 До 500

Вход разрешения работы

Нет

Да

Нет

ДаЗадание минимального времени работы транзистора

Защита по минимальному времени работы транзистора НетДа

Нет

Программируемость Да Да

Стоимость, долл. 1412 1300 1212 0,938 1875 1625 1625

Page 15: Электронные компоненты №6/2010

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

15

Электронные компоненты №6 2010

ное количество внешних элементов и наличие функции программируе-мого задания минимального време-ни открытого состояния транзистора 200 нс…3 мкс (за исключением семей-ства IR1168).

Принцип действия всех контрол-леров предложенного ряда сводится к слежению за напряжением «сток-исток» полевого транзистора для определения направления тока в нём и моментов его включения и выклю-чения. Более подробно принцип дей-ствия предлагается рассмотреть на примере микросхемы IR1167AS, типо-вое включение которой представлено на рисунке 4.

Контроллер IR1167S — это управля-ющий драйвер полевого N-канального транзистора, установленного на вто-ричной стороне полумостового резо-нансного или обратноходового пре-образователя с трансформаторной развязкой, который обеспечивает его работу в режиме синхронного выпрямления. Микросхема способна управлять как одним, так и нескольки-ми параллельно включёнными MOSFET для снижения уровня потерь в них. Она обеспечивает работу в режимах прерывистого, граничного и непре-рывного тока вторичной обмотки трансформатора.

Микросхема выполнена в корпусе SOIC-8. Назначение каждого её вывода описано ниже.1. VCC: напряжение питания. На пря-

жение на данном входе микросхемы осуществляет питание всех модулей внутренней структуры и контроли-

Рис. 5. Структурная схема контроллера IR1167S

руется встроенным блоком UVLO (under voltage lockout). Данный блок обеспечивает мониторинг питаю-щего напряжения и выключение микросхемы при его снижении до порогового значения.

2. OVT: настройка напряжения сра-батывания драйвера. Выход OVT позволяет установить пороговый уровень напряжения сток-исток, по достижению которого происходит включение транзистора. При выбо-ре одного из 3-х уровней сравнения этот вывод подключается к напря-жению питания, земляной шине или остается неподключенным. Эта функция позволяет использовать транзисторы с различными сопро-тивлениями каналов в открытом состоянии.

3. MOT: минимальное время включе-ния. С помощью входа MOT уста-навливается минимальное время открытого состояния транзисто-ра. Это необходимо для предот-вращения ложного отключения транзистора при возникновении колебаний токов или при реги-страции шумов в силовой схеме. Минимальное время открытого состояния определяется номи-налом внешнего резистора, под-ключенного между входом MOT и землей, и может варьироваться в диапазоне 200 нс…3 мкс.

4. EN: разрешение работы. При подаче на вход EN напряжения ниже 2,5 В осуществляется переход микро-схемы в спящий режим, в котором потребление энергии сводится к

минимуму и микросхема перестаёт управлять транзистором.

5. VD: сток транзистора. Напряжение стока транзистора подается на один из входов внутреннего ком-паратора, который определяет направление протекания тока в схеме. Дополнительные фильтрую-щие звенья, а также ограничите-ли тока на данном входе не реко-мендуются, поскольку они могут повлиять на работоспособность микросхемы.

6. VS: исток транзистора. Напряжение истока транзистора подаётся на другой вход внутреннего компара-тора микросхемы, который опре-деляет направление протекания тока в схеме. Инженеры компании International Rectifi er рекомендуют подключать этот вывод максималь-но близко к истоку силового транзи-стора.

7. GND: земляная шина. Управляющее напряжение затвора на выходе Gate формируется относительно данной шины.

8. GATE: выход затвора транзистора. Ток управления ограничен значе-ниями 2…–7 А. Несмотря на то, что данный вывод может быть напрямую подключён к затвору транзистора, для обеспечения наилучших дина-мических свойств рекомендуется устанавливать в цепь затвора огра-ничивающее сопротивление.Режим работы обратноходово-

го преобразователя с синхронным выпрямлением определяется, в основ-ном, моментом отключения силово-

Page 16: Электронные компоненты №6/2010

16

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

WWW.ELCP.RU

Рис. 7. Временные диаграммы напряжения и тока силового транзистора при работе в режиме непрерыв-ных токов

го транзистора. Момент включения транзистора совпадает с моментом выключения силовой схемы первич-ной стороны преобразователя и не зависит от контроллера синхронного выпрямителя.

В момент времени, когда силовая схема формирует условия для откры-тия внутреннего диода силового тран-зистора, образуется отрицательное напряжение сток-исток. Это напряже-ние регистрируется внутренним ком-паратором драйвера, и при достиже-нии порогового значения происходит включение силового транзистора. В этот момент могут возникнуть выбросы в силовой схеме, и возможны случаи, когда напряжение на входах компара-тора станет меньше порогового, что приводит к преждевременному запи-ранию силового ключа. Для предот-вращения данной ситуации с помощью входа MOT контроллера устанавливает-ся минимальное время его открытого состояния.

После включения транзистора через него начинает протекать силовой ток, который создает на нём падение напряжения. Отключение транзистора произойдет при снижении напряже-ния сток-исток ниже порогового зна-чения Vth1 (см. рис. 5, 6). Этот момент однозначно определяется режимом работы схемы.

При работе устройства в гранич-ном режиме или в режиме прерыви-стых токов отключение транзистора происходит в момент, когда падение напряжения на сопротивлении кана-ла станет по модулю меньше поро-гового значения Vth1, однако в этот же момент откроется внутренний структурный диод силового транзи-стора. Падение напряжения на диоде по модулю больше, чем пороговое напряжение Vth2. В результате фор-мируются условия для повторно-го открытия транзистора. Однако встроенная защита от повторного включения не позволяет произойти данному событию, формируя времен-ную задержку, которая не позволяет включить транзистор в течение 10 мкс после выключения или до тех пор, пока напряжение сток-исток не превысит порогового напряжения Vth3. Временные диаграммы процес-сов включения и выключения транзи-стора показаны на рисунке 6.

При работе схемы в непрерыв-ном режиме падение напряжения на переходе силового транзистора не превысит порогового значения Vth1. Соответственно, отключение тран-зистора произойдет без задержек при превышении напряжения сток-исток порогового напряжения Vth3. Временные диаграммы процессов пере ключения транзистора при работе

Рис. 6. Временные диаграммы напряжения и тока силового транзистора при работе в режиме прерыви-стых токов или в граничном режиме

в режиме непрерывных токов показа-ны на рисунке 7.

Следует также отметить, что появ-ление специализированных управ-ляющих микросхем для реализации режима синхронного выпрямления наложило свои требования на при-меняемые полупроводниковые ключи. Транзисторы MOSFET, пред-лагаемые компанией International Rectifier, с малым сопротивлени-ем открытого канала (например, у IRFB4310 RDS(on) = 7 мОм) и улуч-шенным обратным диодом являют-

ся идеальными устройствами для использования в схемах синхронного выпрямления. Использование подоб-ных транзисторов позволяет заметно повысить эффективность схем AC/DC-преобразователей, а также уве-личить удельную мощность конечных устройств. Помимо этого, транзисто-ры компании International Rectifier идеально подходят для использо-вания в синхронных схемах AC/DC-преобразователей, например, для двигателей постоянного тока, рабо-тающих при невысоких напряжениях.

Page 17: Электронные компоненты №6/2010

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

17

Электронные компоненты №6 2010

Рис. 8. Схема обратноходового преобразователя

Рис. 9. Зависимость КПД, количества элементов и температуры силовых ключей от топологии построения схемы

Среди преимуществ транзисторов семейства SR MOS можно особенно выделить следующие:

– они идеально подходят для использования в синхронных выпря-мителях с выходным напряжением в диапазоне 12…24 В;

– транзисторы IRFB4310 (с макси-мальным сопротивлением открытого канала RDS(on) = 7 мОм и максималь-ным напряжением сток-исток 100 В) и IRFB3207 (RDS(on) = 4,5 мОм V = 75 В) имеют очень малые значения сопро-

тивлений открытого канала, что позво-ляет значительно снизить потери при их использовании в схемах синхронно-го выпрямления;

– исполнение приборов в различ-ных корпусах.

В таблице 2 представлены модели транзисторов в самых широко исполь-зуемых популярных корпусах. Более подробную информацию см. на веб-сайте компании International Rectifi er по адресу www.irf.com/product-info/smps/fs1167.html.

Как было сказано выше, микросхе-мы IR1166 и IR1167A используются для синхронного управления силовым MOSFET-транзистором на вторичной стороне преобразователя энергии. Одно из основных применений рас-смотренных выше драйверов и тран-зисторов специалисты компании Inter na tional Rectifi er видят в схемах обратноходовых преобразователей (см. рис. 8)

На базе данной схемы выполняются повсеместно применяемые преобразо-

Page 18: Электронные компоненты №6/2010

18

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

WWW.ELCP.RU

Таблица 2. Модели транзисторов IR семейства SRMOS в различных корпусах

НапряжениеRDS(on) max.,

мОм TO-220AB» TO-262 D2Pak D2Pak 7-pin SO-8DirectFet

Medium Can

40

1,25 IRFS3004-7P

1,6 IRF2804S-7P

1,75 IRFB3004 IRFSL3004 IRFS3004

2,3 IRF2804 IRF2804L IRF2804S

3,6 IRF2204 IRF2204L IRF2204S

3,7 IRF1404Z IRF1404ZL IRF1404ZS

5,5 IRF4104 IRF4104L IRF4104S

55

2,6 IRF3805S-7P

3,3 IRF3805 IRF3805L IRF3805S

4,7 IRF2805 IRF2805L IRF2805S

4,9 IRF1405Z IRF1405ZL IRF1405ZS

6,5 IRF3205Z IRF3205ZL IRF3205ZS

60

2,1 IRF3006S-7P

2,5 IRFB3006 IRFSL3006 IRFS3006

3 IRFB3206 IRFSL3206 IRFS3206

4,2 IRFB3306 IRFSL3306 IRFS3306

7 IRF6648

9.4 IRF7855

75

2.6 IRFS3107-7P

3 IRFSL3107 IRFS3107

3.3 IRFB3077

3.8 IRF2907ZS-7P

4.1 IRFB3207Z IRFS3207Z

5.8 IRFB3307Z IRFSL3307Z IRFS3307Z

8.8 IRFB3507 IRFSL3507 IRFS3507

9 IRFB3607 IRFSL3607 IRFS3607

80

10 IRF6646

13.4 IRF7854

15 IRF7493 IRF6668

100

4 IRFS4010-7P

4,7 IRFB4110 IRFSL4010 IRFS4010

6 IRFB4310Z IRFSL4310Z IRFS4310Z

9 IRFB4410Z IRFSL4410Z IRFS4410Z

14 IRFB4610 IRFSL4610 IRFS4610 IRF6644

18 IRF3710Z IRF3710ZL IRF3710ZS IRF7853

22 IRF7495 IRF6662

150

12 IRFB4115 IRFSL4115 IRFS4115 IRFS4115-7P

15 IRFB4321 IRFSL4321 IRFS4321

34,5 IRF6643

20022 IRFB4127 IRFSL4127 IRFS4127

26 IRFB4227 IRFSL4227 IRFS4227

Page 19: Электронные компоненты №6/2010

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

19

Электронные компоненты №6 2010

а)

б)

Рис. 10. Физические различия между двумя типами синхронных выпрямителей

ватели мощностью 120 Вт для заряда аккумулятора ноутбуков со следую-щими параметрами: напряжение на выходе преобразователя — 19,5 В; ток нагрузки — 6,15 А.

На рисунке 9 представлены зна-чения температуры силовых ключей, а также эффективность выходного каскада данного зарядного устрой-ства в зависимости от ее топологии и применяемых компонентов. Исходя из графика, можно понять, что исполь-зование микросхемы управления синхронными выпрямителями компа-нии International Rectifi er семейства SmartRectifi er позволяет уменьшить

количество элементов схемы до 6 шт., а также увеличить эффективность всего каскада до 88,7%.

Помимо этого, по сравнению со схемой на рисунке 5, т.е. с исполь-зованием в выходном каскаде схемы одного MOSFET-транзистора и токово-го трансформатора в качестве петли обратной связи, удалось увеличить КПД устройства более чем на 1% и уменьшить количество элементов с 21 до 6. Температура силового ключа уменьшилась со 110 до 90°С при иден-тичном охлаждении.

Среди преимуществ данной схемы можно выделить: простоту реализации;

высокую эффективность; отсутствие зависимости вторичной стороны схемы от первичной.

При использовании понижающего преобразователя с компонентами IR для синхронного выпрямления в источ-нике питания мощностью 120 Вт КПД схемы увеличивается на 1%, темпера-тура силовых ключей снижается на 10°, уменьшается количество элементов схемы на 75—80%, а стоимость всего устройства — на 20%.

На рисунке 9 приведены физиче-ские различия между двумя типами синхронных выпрямителей мощно-стью 120 Вт. На рисунке 9а пред-

Page 20: Электронные компоненты №6/2010

20

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

WWW.ELCP.RU

ставлен внешний вид устройства, построенного на схеме с транс-форматором тока в цепи обратной связи. Как видно, такой блок питания имеет массивный радиатор, большое количество транзисторов в корпу-се ТО-220, а также включает транс-форматор тока. Плата с микросхе-мой управления IR1167 (см. рис. 10б) имеет компактный размер и повы-шенную плотность мощности при меньшем количестве элементов.

Для уменьшения сроков, а также для упрощения разработки схем син-хронных выпрямителей компания International Rectifi er предлагает мощ-ный инструмент, позволяющий быстро и точно рассчитать элементы схемы. Его можно найти на веб-сайте по адре-су www.irf.com/design-center/mypower/index_syncrec.html.

Дружественный интерфейс, а также высокий функционал приложения позволяет всего за 3 шага рассчитать элементы схемы источника питания, построенного на базе обратноходово-

го преобразователя для обеспечения заданных параметров.

Как показывает практика, исполь-зование синхронных схем выпрями-телей позволяет заметно повысить КПД схемы по сравнению с тради-ционными схемами выпрямителей. Развитие синхронных схем прошло множество этапов, среди которых особо значимым можно считать раз-работку автоматических систем управления синхронными транзисто-рами. Компания International Rectifi er создала семейство управляющих микросхем синхронными схемами, которые уверенно заняли свою нишу на рынке управляющих драйверов.

Применение микросхем семейства Smart Rectifi er позволяет при уменьше-нии числа элементов схемы повысить КПД конечного устройства и снизить температуру силовых ключей. Среди особенностей микросхем управления компании international Rectifi er можно выделить отсутствие необходимости дополнительного контура обратной

связи по току, поскольку микросхема имеет функцию слежения за напря-жением сток-исток силового ключа. Помимо системы управления синхрон-ными выпрямителями, инженерами компании International Rectifi er была разработана серия силовых ключей, идеально подходящих для примене-ния в синхронных выпрямителях.

ЛИТЕРАТУРА.1. International Rectifier Application

Note AN-1087//www.irf.com/technical-info/appnotes/an-1087.pdf.

2. Smart Rectifier™ ICs: Simple, high effi ciency Synch Rec//www.irf.com/product-info/smps/fs1167.html.

3. 75V/100V HEXFET® Power MOSFETs for AC-DC Synchronous Rectification//www.irf.com/product-info/smps/syncrecmosfets.html.

4. Smart Rectifier™ ICs: Simple, high effi ciency Synch Rec//www.irf.com/product-info/smps/fs1167.html.

5. SmartRectifi erTM CONTROL IC Data-sheet//www.irf.com/product-info/datasheets/data/ir1167aspbf.pdf.

НОВОСТИ ТЕХНОЛОГИЙ

| АВТОМОБИЛЬНАЯ ЭЛЕКТРОНИКА ЗАВТРАШНЕГО ДНЯ | Высокие технологии всё чаще являются неотъемлемой частью

современного автомобиля, которые постепенно превращаются в самые настоящие компьютеры на колёсах. Ресурс Popular

Mechanics обобщил самые интересные автомобильные новшества, которые могут получить наибольшее распространение в

ближайшем будущем.

Система активного управления

Активное управление (Emergency Steer Assist, ESA) во многом похоже на систему аварийного торможения, которая

уже сейчас устанавливается на многие автомобили. Разница в том, что при экстренной ситуации она не тормозит, а кор-

ректирует крутящий момент рулевого механизма, чтобы облегчить водителю маневры. Например, если требуется уйти

влево, чтобы избежать столкновения, система увеличивает крутящий момент при повороте руля по часовой стрелке так,

что повернуть руль вправо становится сложно, а влево, наоборот, просто. Система аварийного торможения взаимодей-

ствует с электронной системой стабилизации, которая в некоторых странах станет обязательной со следующего года.

Педаль с активной обратной связью

Многие производители сейчас всё больше внимания обращают на системы невизуальной обратной связи в различ-

ных органах управления, например в педали газа. В зависимости от дорожной ситуации такая педаль может вести себя

по-разному. Скажем, если водитель едет слишком неэкономично, она может начать пульсировать; при спуске с горы она

становится тугой, чтобы не было соблазна слишком разогнаться, а при подъеме в гору управление педалью, наоборот,

облегчается.

Программная начинка

Всё больше компаний устанавливает на автомобили не просто навигационно-развлекательные системы, а программ-

ные платформы, способные синхронизироваться с телефонами и компьютерами, выполнять различные приложения и т.д.

Например, Ford продвигает систему Sync, немецкий концерн Continental — AutoLinQ.

Система AutoLinQ интересна тем, что она создана на базе Android, благодаря чему сторонние разработчики могут

свободно писать для неё свои приложения. Это уже делают такие известные компании и сайты как Deutsche Telekom,

Navigon, Navteq, Shazam, Pandora и др. Некоторые приложения читают вслух входящую почту и RSS-ленты. В Continental

ведётся активная работа над полностью голосовым управлением, чтобы водителю не приходилось отвлекаться от

дороги.

Система контроля шин

В шины устанавливается специальный датчик, измеряющий давление, температуру, показатели нагрузки. При выходе

этих показателей за рамки допустимого (например, автомобиль сильно перегружен) система сигнализирует водителю,

что необходимо предпринять соответствующие меры. Она также определяет, что водитель едет слишком быстро для

зимних шин, что покрышки стали слишком «лысыми» или пора сменить зимние покрышки на летние. Эта система взаи-

модействует с ABS и ESC.

Режимы вождения

В автомобилях с автоматической КПП уже сейчас имеются спортивный, нормальный и комфортный режимы.. Ряд

производителей решил пойти дальше и создать режимы с большим числом разных характеристик: настройки подвески,

рулевого управления и т.д. Например, у Continental имеется специальный экологичный режим, за продолжительную

езду в котором водитель получает вознаграждение от дилера. Управление режимами осуществляется на ЖК-экране с

помощью удобного интерфейса.

www.russianelectronics.ru

Page 21: Электронные компоненты №6/2010

21

Электронные компоненты №6 2010

Во многих современных импульсных источниках пита-ния для улучшения эффективности используются синхрон-ные выпрямители. В изолированных топологиях контрол-лер источника питания обычно включается в первичной цепи изолирующего барьера, а синхронные выпрямите-ли — во вторичной цепи. Управляющие сигналы должны передаваться через изолирующий барьер с минимальной задержкой.

Наиболее популярным методом реализации такого подхода является применение трансформаторов управле-ния затвором. Менее широко используются оптопары. В некоторых топологиях применяются синхронные выпря-мители, в которых сигналы управления затвором пере-даются через силовой трансформатор. Новым решением для управления синхронными выпрямителями на базе твердотельных схем является применение гальванической развязки для цифровых сигналов (ГРЦС) с емкостным изо-ляционным барьером.

На рисунке 1 показана функциональная схема ГРЦС ISO721M компании Texas Instruments. Она содержит логиче-ский входной и выходной буфер, которые разделены изо-ляционным барьером из диоксида кремния. ГРЦС преоб-разует входной сигнал и передает его через изоляционный барьер с помощью емкостной связи.

В статье показано, как с помощью гальванической развязки для цифровых сигналов можно улучшить схему управления синхронными выпрямителями. В качестве примера рассмотрена схема прямоходового преобразо-вателя. Рассмотрены основные параметры этого проекта, а также проведено сравнение различных методов управления синхронными выпрямителями. Статья представляет собой перевод [1].

БРАЙАН КИНГ (BRIAN KING), инженер по применению, Texas Instruments

Управление синхронными

выпрямителями с помощью

гальванической развязки

для цифровых сигналов

Во вторичной цепи ГРЦС дифференциальный компа-ратор принимает сигнал и передает его на логический выход. Диэлектрическая и емкостная симметрия внутри интегральной схемы обеспечивает хорошее согласование емкостей и невосприимчивость к быстрым изменениям напряжения в переходном процессе на входной и выход-ной земляной шине. В микросхеме предусмотрена диагно-стика: из первичной цепи во вторичную передается перио-дический сигнал оповещения. Если этот сигнал отсутствует во вторичной цепи в течение более чем 4 мкс, то выход переходит в безопасный режим, когда выходное напряже-ние переходит в состояние высокого логического уровня. Устройства заключены в 8-выводном компактном корпусе для поверхностного монтажа.

Эксплуатационные характеристики данного ГРЦС отвечают требованиям импульсных источников питания. Изоляционный барьер типового ГРЦС обеспечивает галь-ваническую развязку 4000 В. В большинстве изолирован-ных источниках питания требуется изоляция от 1500 до 3000 В. Кроме того, ГРЦС невосприимчивы к переходным процессам со скоростью до 50 кВ/мкс, что необходимо для работы в условиях высокого уровня помех (dV/dt), ха рак терного для импульсных источников питания. Мак-симальная скорость передачи данных обычно составляет

Рис. 1. ГРЦС с емкостным барьером использует симметричный сигнал для того, чтобы обеспечить надежность функционирования при высокой скорости измене-ния напряжения dV/dt

Page 22: Электронные компоненты №6/2010

22

WWW.ELCP.RU

Рис. 2. Входной логический сигнал ГРЦС требует дополнительного преобразо-вания

Рис. 3. В схеме прямоходового преобразователя ГРЦС управляет синхронными выпрямителями

около 150 Мбит/с, в то время как импульсные источники питания работают на частоте от 100 кГц до 1 МГц.

Задержки распространения сигнала являются критич-ными параметрами для систем управления синхронными выпрямителями. Слишком большая задержка может при-вести к возникновению сквозных токов (shoot-through currents). Когда это происходит, вторичные обмотки транс-форматора, по сути, шунтируются накоротко вторичными синхронными выпрямителями, что приводит к возникно-вению сильных сквозных токов и чрезмерному рассеива-нию мощности. Максимальное время задержки ГРЦС имеет величину порядка 10 нс.

ГРЦС предназначены для таких приложений, как про-мышленные сети, интерфейсы компьютерной периферии, интерфейсы сервоприводов и системы сбора данных. Для питания внутренней логики в первичной цепи ГРЦС требуется напряжение питания 3,3 или 5 В. Некоторые кон-троллеры источников питания содержат вспомогательный источник опорного напряжения, который можно исполь-зовать для питания, однако, нельзя превышать их допу-стимую нагрузочную способность. ГРЦС могут потреблять до 4 мА от источника первичной цепи (VCC1). В качестве варианта, можно использовать линейный стабилизатор для питания ГРЦС.

Входной логический сигнал должен быть предвари-тельно преобразован и сформирован. Выходные сигналы управления затвором контроллера источника питания, как правило, имеют амплитуду 12 В и более. Преобразование этого сигнала может быть осуществлено с помощью нескольких дискретных компонентов, как показано на

рисунке 2. Когда сигнал управления затвором находится в состоянии высокого уровня, диод D2 поддерживает на входе напряжение, превышающее VCC1 на несколько сотен милливольт, а R1 ограничивает ток от контроллера затвора. Но сопротивление R1 и паразитная емкость вход-ного контакта приводят к возникновению значительной задержки. Для уменьшения задержки в схеме включен конденсатор C1, который позволяет ускорить передачу нарастающего фронта сигнала на входе ГРЦС. Диод D1 обеспечивает очень быстрый спадающий фронт сигнала управления затвора.

Вторичная цепь ГРЦС также требует дополнительную схему для связи с аналоговыми цепями. Для питания вто-ричных цепей требуется источник питания с напряжением либо 3,3, либо 5 В, что может обеспечить маломощный линейный стабилизатор. Логический выход ГРЦС не под-ходит для непосредственного управления затворами синхронных выпрямителей. Управляющий сигнал необхо-димо передать на микросхему драйвера MOSFET, которая должна иметь минимальную задержку распространения сигнала и достаточную нагрузочную способность.

На рисунке 3 изображена схема прямоходового преоб-разователя (6 В/120 Вт) с изолированным входом на 48 В с использованием ГРЦС. MOSFET в первичной цепи (Q4 и Q5) управляются контроллером UCC2897A. Сигнал управления затвором UCC2897A (на схеме обозначен как DRV) преоб-разуется и передается на логический вход ISO721M. Два маломощных линейных стабилизатора TPS71550 обеспе-чивают питание ISO721M. ГРЦС передает свой логический выходной сигнал на драйвер MOSFET TPS28225, который формирует сигналы LGATE и UGATE для управления двумя парами синхронных полевых транзисторов.

В данном прямоходовом преобразователе мощность передается со входа на выход, когда транзисторы Q4 и Q5 находятся во включенном состоянии. Для правиль-ной работы схемы транзисторы Q1 и Q2 должны быть включены в то же самое время, что и Q4 и Q5. Во время выключения Q4 и Q5 другая пара синхронных выпря-мителе, Q7 и Q8, находятся во включенном состоянии. Общая задержка распространения сигнала от UCC2897A до затвора синхронных MOSFET составляет примерно 50 нс.

Page 23: Электронные компоненты №6/2010
Page 24: Электронные компоненты №6/2010

24

WWW.ELCP.RU

Рис. 4. ГРЦС занимает минимум места на плате 120-Вт прямоходового преоб-разователя (фото лицевой и обратной стороны платы)

В схему включен 20-Ом резистор затвора (R3) для замедле-ния включения полевых транзисторов в первичной цепи, чтобы компенсировать эту задержку.

Схема управления затворами на ISO721M потребляет минимальную мощность для передачи управляющего сигнала на драйвер MOSFET. Использование синхронных выпрямителей позволяет этому 20-А источнику питания достичь максимального КПД более 94%. На рисунке 4 показана плата данного эталонного проекта. Высокая эффективность и высокая степень интеграции позволили разместить это устройство в форм-факторе quarter brick без дополнительного радиатора. Возможно также масшта-бирование этой схемы для широкого диапазона выходных напряжений.

В таблице 1 сравниваются четыре метода управления синхронным выпрямителем. Синхронные выпрямители с автоматическим управлением предлагают наиболее привлекательное решение, но их использование ограни-чено по топологии, входному и выходному напряжению, а также защищено патентами. Трансформаторы управле-ния затвором обеспечивают достаточно экономически эффективную альтернативу синхронным выпрямителям с автоматическим управлением, но физические размеры трансформаторов иногда могут вызывать проблемы. При использовании ГРЦС задержка распространения меньше, чем для трансформаторов управления затво-ром или для схемы управления затворами с оптической изоляцией. Кроме того, устройство на ГРЦС имеет низ-

Таблица 1. Сравнение методов управления синхронным выпрямителем

ПараметрОптическая

изоляция (оптрон)

Трансфор-маторы

управления затвором

Синхронные выпрямители с автоматиче-ским управле-

нием

ГРЦС

Напряжение изоляции, В 3750 4000 более 4000 4000

Минимальная задержка

распространения, нс25* 50* 0 10*

Невосприимчивость к

помехам dV/dt, кВ/мкс10 более 25 более 25 25

Дополнительные ком-

поненты

2 микросхемы

и 5 дискретных

элементов

2 трансфор-

матора и 12

дискретных

элементов

От 0 до 10

дискретных

элементов

3 микро-

схемы и 7

дискретных

элементов

Сравнительная стои-

мость$$$ $$ $ $$$

Габаритная высота, мм 3 5 - 3

* Требуется схема преобразования сигнала с дополнительной задержкой 25…50 нс.

кую габаритную высоту и требует минимальную пло-щадь на плате.

ГРЦС обеспечивают твердотельное решение для управ-ления синхронными MOSFET в изолированных импульсных источниках питания. Кроме того, схемы на ГРЦС потребля-ют менее 100 мВт, что минимизирует потери мощности. Хотя в качестве примера в данной статье рассмотрен про-стой прямоходовый преобразователь, ГРЦС имеют боль-шие потенциальные возможности для применения в раз-личных топологиях, в которых требуется более сложная логика управления синхронными выпрямителями, напри-мер, в мостовой топологии и топологии с чередованием.

ЛИТЕРАТУРА1. Brian King. Controlling synchronous rectifi ers with digital isola-

tors// www.power-eetimes.com.

НОВОСТИ ДАТАКОМА

| ТРАНСПОРТ АРХАНГЕЛЬСКА ПОДКЛЮЧИЛИ К ГЛОНАСС | К единой спутниковой навигационной системе ГЛОНАСС под-

ключился Архангельск.

Абонентскими телематическими терминалами M2M-Cyber GLX оборудованы более сотни транспортных средств.

Благодаря системе мониторинга и управления транспортом достигнут полный контроль над соблюдением маршрутов

движения, скоростью передвижения транспортных средств, несанкционированным использованием техники, работой

навесных механизмов.

В рамках сотрудничества с Федеральным дорожным агентством (Росавтодор) по итогам 2009 г. «М2М телематика» поста-

вила Управлениям дорог 3688 комплектов оборудования на базе ГЛОНАСС.

«Впервые предприятия дорожно-строительной отрасли России объединяются единой автоматизированной системой

навигационно-диспетчерского контроля. На данный момент осуществляется установка ГЛОНАСС-оборудования на транс-

портные средства компаний, обслуживающих сеть дорог федерального значения, во многих городах и регионах РФ», —

сообщила пресс-служба ГК «М2М телематика».

www.russianelectronics.ru

Page 25: Электронные компоненты №6/2010

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

25

Электронные компоненты №6 2010

В статье приведены уравнения для расчета силового каскада повышаю-щего преобразователя, построенного на базе микросхемы с интегриро-ванным ключом и работающего в режиме с непрерывным током через индуктивность (Continuous Conduction Mode — CCM). Статья представ-ляет собой перевод [1].

РАСЧЕТ СИЛОВОГО КАСКАДА ПОВЫШАЮЩЕГО ПРЕОБРАЗОВАТЕЛЯ

БРИГИТТА ХАУКЕ (BRIGITTE HAUKE), Texas Instruments

БАЗОВАЯ КОНФИГУРАЦИЯ

ПОВЫШАЮЩЕГО ПРЕОБРАЗОВАТЕЛЯ

На рисунке 1 приведена базовая кон-фигурация повышающего преобразова-теля со встроенным ключом. Часто в преобразователе с меньшей потребляе-мой мощностью вместо диода использу-ется второй ключ. В этом случае можно использовать все уравнения, приведен-ные в данной статье, кроме выражения для рассеиваемой мощности диода.

Необходимые параметры силового

каскада

Для расчета силового каскада требу-ются следующие четыре параметра.

1. Диапазон входного напряжения: VIN(min) и VIN(max).

2. Номинальное выходное напряже-ние: VOUT.

3. Максимальный выходной ток: IOUT(max).

4. Для построения повышающего пре об разователя используется интег-ральная микросхема. Поэтому некото-рые параметры для расчета следует взять из документации на эту микро-схему.

РАСЧЕТ МАКСИМАЛЬНОГО ТОКА

КЛЮЧА

Первым шагом в расчете тока ключа является определение коэффициента заполнения D для минимального вход-ного напряжения. При минимальном входном напряжении обеспечивается максимальный ток ключа.

Коэффициент заполнения можно определить по формуле:

, (1)

где VIN(min) — минимальное входное напряжение, VOUT — заданное выходное напряжение, η — КПД преобразовате-ля, приблизительно равный 80%.

Преобразователь рассеивает мощ-ность, и расчет с учетом КПД позволя-ет получить более реальное значение коэффициента заполнения.

Можно использовать любое значе-ние КПД, например 80% (которое явля-ется вполне реальным значением КПД для наихудшего случая повышающего преобразователя), или взять значение КПД из раздела «Типовые характери-стики» в документации на выбранный преобразователь [4, 5].

Следующим шагом в расчете мак-симального тока ключа является определение тока пульсаций катуш-ки индуктивности. В документации на преобразователи обычно указывают определенную катушку индуктивности или несколько типов катушек, кото-рые могут использоваться совместно с микросхемой. Поэтому для расчета тока пульсаций следует либо исполь-зовать рекомендованное значение индуктивности, либо величину сред-ней индуктивности из рекомендован-ного диапазона значений, либо, если ничего не указано в документации, величину, рассчитываемую по урав-нениям, указанным в разделе «Выбор катушки индуктивности» данной ста-тьи.

Ток пульсаций катушки индуктивно-сти определяется по формуле:

, (2) где VIN(min) — минимальное входное напряжение, D — коэффициент запол-нения, рассчитанный из уравнения 1, fS — минимальная частота коммутации преобразователя, L — выбранное зна-чение индуктивности.

Теперь следует определить, может ли выбранная микросхема обеспечить максимальный выходной ток:

, (3)

где ILIM(min) — минимальная величина предельного тока встроенного ключа (дана в документации), ΔIL — ток пуль-саций катушки, рассчитанный из урав-нения 2, D — коэффициент заполнения, рассчитанный из уравнения 1.

Рис. 1. Силовой каскад повышающего преобразо-вателя

Если рассчитанное значение макси-мального выходного тока выбранной микросхемы IMAX OUT меньше максималь-ного значения выходного тока, тре-бующегося в системе, следует исполь-зовать микросхему с более высоким предельным током ключа.

Только если полученная величина IMAX OUT лишь немного меньше нужного значения, можно использовать выбран-ную микросхему с катушкой, которая имеет более высокую индуктивность, если она находится в рекомендуемом диапазоне. Более высокая индуктив-ность снижает ток пульсаций и, кроме того, увеличивает максимальный выходной ток.

Если рассчитанное значение выше максимального выходного тока прило-жения, то максимальный ток ключа в системе вычисляется по формуле:

, (4)

где ΔIL — ток пульсаций катушки, рас-считанный из уравнения 2, IOUT(max) — максимальный выходной ток, необхо-димый в приложении.

Это пиковый ток, который должны выдерживать катушка индуктивности, встроенные ключи и внешний диод.

ВЫБОР КАТУШКИ ИНДУКТИВНОСТИ

Часто в документации задан диапа-зон рекомендуемых величин индуктив-ности. В этом случае следует выбрать индуктивность из данного диапазона. Чем выше величина индуктивности,

Page 26: Электронные компоненты №6/2010

26

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

WWW.ELCP.RU

тем выше максимальный выходной ток из-за сниженного тока пульсаций.

Чем меньше индуктивность, тем меньше габариты устройства. Заметим, что катушка должна всегда иметь номи-нальный ток выше максимального тока из уравнения 4, потому что ток увели-чивается при уменьшении индуктив-ности.

Если для микросхемы не указан диапазон индуктивностей, можно вос-пользоваться следующим уравнением, которое дает достаточно точную оцен-ку величины индуктивности:

, (5)

где VIN — типовое входное напряжение, VOUT — заданное выходное напряжение, fS — минимальная частота коммутации преобразователя, ΔIL — приблизитель-ная величина тока пульсаций катушки (см. ниже).

Ток пульсаций катушки индуктив-ности нельзя рассчитать с помощью уравнения 2, так как индуктивность неизвестна. Ток пульсаций катушки индуктивности можно оценить как приблизительно равный величине 20—40% от выходного тока:

, (6)

где ΔIL — приблизительная величина тока пульсаций катушки, IOUT(max) — мак-симальный выходной ток приложения.

ВЫБОР ВЫПРЯМИТЕЛЬНОГО ДИОДА

Для снижения потерь следует использовать диод Шоттки. Но ми-нальная величина прямого тока диода равна максимальному выходному току:

IF = IOUT(max), (7)

где IF — средний прямой ток выпрями-тельного диода, IOUT(max) — максималь-ный выходной ток приложения.

Диоды Шоттки имеют номинальное значение пикового тока намного выше средней величины. Поэтому более высокое значение пикового тока в системе легко реализуется.

Другим параметром, который следу-ет проверить, является рассеиваемая мощность диода. Она не должна превы-шать величину:

PD = IF VF, (8)

где IF — среднее значение прямого тока выпрямительного диода, VF — прямое напряжение выпрямительного диода.

УСТАНОВКА ВЫХОДНОГО

НАПРЯЖЕНИЯ

Почти все преобразователи уста-навливают выходное напряжение с помощью резистивного делителя (который встроен в микросхему, если преобразователь имеет фиксирован-ное выходное напряжение).

Делитель напряжения можно рас-считать при заданном напряжении цепи обратной связи VFB и токе сме-щения цепи обратной связи IFB.

Ток через резистивный делитель должен быть, по крайней мере, в 100 раз больше тока смещения цепи обрат-ной связи:

IR1/2 ≥ 100 IFB, (9)

где IR1/2 — ток через резистивный дели-тель на GND, IFB — ток смещения цепи обратной связи из документации.

Это вносит погрешность в измере-ния напряжения, не превышающую 1%. Ток также может быть немного выше. Единственным недостатком меньшей величины сопротивления являются более высокие потери мощности в резистивном делите-ле, однако точность будет немного выше.

При указанных выше допущениях резисторы можно рассчитать следую-щим образом:

, (10)

, (11)

где R1, R2 — резистивный делитель (см. рис. 2), VFB — напряжение цепи обрат-ной связи из документации, IR1/2 — ток через резистивный делитель на GND, рассчитанный из уравнения 9, VOUT — заданное выходное напряжение.

ВЫБОР ВХОДНОГО КОНДЕНСАТОРА

Минимальная величина входной емкости обычно дается в докумен-тации на микросхему. Конденсатор минимальной емкости необходим для стабилизации входного напряжения в соответствии с требованиями по пико-вому току импульсного источника пита-ния.

Рекомендуется использовать кера-мические конденсаторы с низкой

величиной эквивалентного после-довательного сопротивления (ESR). Материал диэлектрика должен быть X5R или лучше. В противном случае элемент конденсатора теряет часть своей емкости из-за постоянного сме-щения или при изменении темпера-туры [8, 9].

Величина емкости может быть уве-личена, если входное напряжение содержит помехи.

ВЫБОР ВЫХОДНОГО КОНДЕНСАТОРА

Рекомендуется использовать кера-мические конденсаторы с низкой вели-чиной ESR для минимизации пульса-ций выходного напряжения. Лучше выбирать керамические конденсаторы с материалом диэлектрика X5R или лучше [8, 9].

Если преобразователь имеет внеш-нюю компенсацию, можно использо-вать любой номинал конденсатора выше минимального значения, реко-мендуемого в документации, но ком-пенсацию необходимо отрегулировать в соответствии с используемой выход-ной емкостью.

В преобразователе с внутренней компенсацией использовать рекомен-дуемые номиналы индуктивности и конденсатора или следует придержи-ваться рекомендаций по выбору выход-ного конденсатора для данного прило-жения исходя их соотноше ния LC.

При внешней компенсации можно использовать следующие уравнения для емкости выходного конденсато-ра для заданного уровня пульсаций выходного напряжения:

, (12)

где COUT(min) — минимальная выход-ная емкость, IOUT(max) — максимальный выходной ток приложения, D — рабо-чий цикл, рассчитанный из уравнения 1, fS — минимальная частота коммутации преобразователя, ΔVOUT — заданная величина пульсаций выходного напря-жения.

Эквивалентное последовательное сопротивление выходного конденса-тора увеличивает уровень пульсаций, который можно найти из следующего уравнения:

, (13)

где ΔVOUT(ESR) — дополнительные пуль-сации выходного напряжения из-за ESR, ESR — эквивалентное последо-вательное сопротивление исполь-зуемого выходного конденсатора, IOUT(max) — максимальный выходной ток приложения, D — рабочий цикл, рассчитанный из уравнения 1, ΔIL — величина тока пульсаций катушки из уравнений 2 или 16.

Рис. 2. Резистивный делитель для установки выходного напряжения

Page 27: Электронные компоненты №6/2010

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

27

Электронные компоненты №6 2010

УРАВНЕНИЯ ДЛЯ РАСЧЕТА СИЛОВОГО КАСКАДА

ПОВЫШАЮЩЕГО ПРЕОБРАЗОВАТЕЛЯ

Максимальная величина рабочего цикла:

, (14)

где VIN(min) — минимальное входное напряже-ние, VOUT — заданное выходное напряжение, η — КПД преобразователя, приблизительно равный85%.

Ток пульсаций катушки индуктивности:

, (15)

где VIN(min) — минимальное входное напряжение, D — коэффи-циент заполнения, рассчитанный из уравнения 14, fS — мини-мальная частота коммутации преобразователя, L — выбран-ное значение индуктивности.

Максимальный выходной ток выб ран ной микросхемы:

, (16)

где ILIM(min) — минимальная величина предельного тока встро-енного ключа (дана в документации), ΔIL — ток пульсаций катушки, рассчитанный из уравнения 15, D — рабочий цикл, рассчитанный из уравнения 14.

Максимальный ток ключа для данного приложения:

, (17)

где ΔIL — ток пульсаций катушки, рассчитанный из урав-нения 15, IOUT(max) — максимальный выходной ток для при-ложения, D — коэффициент заполнения, рассчитанный из уравнения 14.

Расчет индуктивности:

, (18)

где VIN — типовое входное напряжение, VOUT — заданное выходное напряжение, fS — минимальная частота коммута-ции преобразователя, ΔIL — приблизительная величина тока пульсаций катушки (см. уравнение 19).

Оценка величины тока пульсаций катушки индуктивно-сти:

, (19)

где ΔIL — приблизительная величина тока пульсаций катушки, IOUT(max) — максимальный выходной ток прило-жения.

Средний прямой ток выпрямительного диода:

IF = IOUT(max), (20)

где IF — средний прямой ток выпрямительного диода, IOUT(max) — максимальный выходной ток приложения.

Мощность, рассеиваемая на выпрямительном диоде:

PD = IF VF, (21)

где IF — среднее значение прямого тока выпрямительного диода, VF — прямое напряжение выпрямительного диода.

Ток через резистивный делитель для установки выходно-го напряжения:

IR1/2 ≥ 100 IFB, (22)

где IR1/2 — ток через резистивный делитель на GND, IFB — ток смещения цепи обратной связи из документации.

Величина резистора между выводом FB и GND:

, (23)

Величина резистора между выводом FB и VOUT:

, (24)

где VFB — напряжение цепи обратной связи из докумен-тации, IR1/2 — ток через резистивный делитель на GND, рассчитанный из уравнения 22, VOUT — заданное выходное напряжение.

Минимальная выходная емкость (если не приведена в документации):

, (25)

где IOUT(max) — максимальный выходной ток приложения, D — рабочий цикл, рассчитанный из уравнения 14, fS — минималь-ная частота коммутации преобразователя, ΔVOUT — заданная вели чина пульсаций выходного напряжения.

Дополнительное выходное напряжение пульсации из-за ESR:

, (26)

где ESR — эквивалентное последовательное сопротивле-ние используемого выходного конденсатора, IOUT(max) — мак-симальный выходной ток приложения, D — рабочий цикл, рассчитанный из уравнения 14, ΔIL — величина тока пульса-ций катушки из уравнений 15 или 19.

ЛИТЕРАТУРА1. Brigitte Hauke. Basic Calculation of a Boost Converter's Power

Stage//Application Report SLVA372A, November 2009, Revised April2010.

2. Understanding Boost Power Stages in Switchmode Power Sup-plies//SLVA061.

3. Voltage Mode Boost Converter Small Signal Control Loop Analysis Using the TPS61030//SLVA274.

4. Datasheet of TPS65148//SLVS904).5. Datasheet of TPS65130 and TPS65131//SLVS493).6. Robert W. Erickson: Fundamentals of Power Electronics//Kluwer

Academic Publishers, 1997.7. Mohan/Underland/Robbins: Power Electronics//John Wiley & Sons

Inc., Second Edition, 1995.8. Improve Your Designs with Large Capacitance Value Multi-Layer

Ceramic Chip (MLCC) Capacitors by George M. Harayda, Akira Omi, and Axel Yamamoto, Panasonic.

9. Comparison of Multilayer Ceramic and Tantalum Capacitors by Jeff rey Cain, Ph.D., AVX Corporation.

Page 28: Электронные компоненты №6/2010

28

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

WWW.ELCP.RU

В статье рассмотрены характеристики микросхемы преобразователя напряжения 1273ПН1Т, относящейся к классу импульсных понижающих асинхронных регуляторов. Эта микросхема с большим КПД и высокой стабильностью выходного напряжения предназначена для создания ста-билизированных источников питания бортовых систем специального и общего назначения. Подобные системы используются в большегрузной автомобильной технике, авионике, робототехнических комплексах и средствах автоматизации. К числу прототипов преобразователя напря-жения 1273ПН1Т можно отнести одну из микросхем семейства LM267х фирмы National Semiconductor.

ПРЕОБРАЗОВАТЕЛЬ НАПРЯЖЕНИЯ 1273ПН1Т ДЛЯ СТАБИЛИЗИРОВАННЫХ ИСТОЧНИКОВ ПИТАНИЯ БОРТОВЫХ СИСТЕМВАЛЕРИЙ ИВАНОВ, вед. инженер-конструктор ФГУП «НИИЭТ»ИЛЬЯ СУРОВ, инженер-конструктор 1 категории ФГУП «НИИЭТ»ВЛАДИМИР ГОРОХОВ, к.т.н., зам. главного инженера ФГУП «НИИЭТ»ИГОРЬ ПОТАПОВ, к.т.н., нач. отдела ФГУП «НИИЭТ» .

Интегральные микросхемы преобра-зователей напряжения для построения стабилизированных источников пита-ния электронной аппаратуры имеют достаточно много разновидностей. Среди них широкое распространение

получили импульсные понижающие асинхронные стабилизаторы напряже-ния. К числу мировых лидеров на рынке таких электронных компонентов отно-сится фирма National Semiconductor. За время, прошедшее с начала разработок

в 1989 г., ею создано пять поколений ИС импульсных понижающих асинхронных стабилизаторов напряжения со встро-енными силовыми ключами [1].

Среди отечественных предприятий, работающих в области создания и про-

Рис. 1. Структурная схема ИМС преобразователя напряжения 1273ПН1Т

Page 29: Электронные компоненты №6/2010

МИ

КР

ОС

ХЕМ

Ы С

ИЛ

ОВ

ОЙ

ЭЛ

ЕКТР

ОН

ИК

И

29

Электронные компоненты №6 2010

Таблица 1. Основные параметры ИМС преобразователя напряжения 1273ПН1Т

Параметр, единица измерения Обозначение Величина

Входное напряжение, В UIN 8…40

Выходное напряжение (регулируемое), В UOUT 1,21…37

Выходной ток нагрузки, А ILOAD 1 (макс)

Нестабильность по напряжению, %/В KUI ±1,5

Коэффициент полезного действия, % η 70 (мин.), 90 (тип.)

Сопротивление открытого переключателя выхода VSW, Ом RON 0,25 (тип.), 0,5 (макс.)

Выходной ток ограничения, А IOCL 1,2…2,2

Частота задающего генератора коммутации выхода VSW, кГц fS 225…275

Ток холостого хода на входе VIN, мА IQ 3,6 (макс.)

Рассеиваемая мощность, Вт Ptot 1,0 (макс.)

Минимальная температура окружающей среды, °С Tокр –60

Максимальная температура корпуса, °С Tcase 110

Предельно допустимая температура кристалла, °С Tj 125

Рис. 2. Зависимость КПД микросхемы 1273ПН1Т от температуры окружающей среды (UADJ = 5 В,

UIN = 12 В, ILOAD = 1 А)

Рис. 3. Типовая схема включения ИМС 1273ПН1Т

изводства регулируемых стабилизато-ров напряжения (в основном аналого-вого типа), можно назвать ЗАО «Группа Кремний Эл», ОАО «Ангстрем», ОАО НПП «ЭлТом», ОАО «ВЗПП-С». Несколько типов импульсных понижающих стаби-лизаторов напряжения выпускает НПО «Интеграл» (Минск) — по классифика-ции National Semiconductor они отно-сятся к изделиям второго поколения.

В настоящей работе представлена микросхема преобразователя напря-жения 1273ПН1Т, разработка которой была закончена ФГУП «НИИЭТ» в ноя-бре 2009 г. Микросхема 1273ПН1Т — понижающий стабилизатор, предна-значенный для вторичных источников питания, работающих в диапазоне входного напряжения 8…40 В с регу-лируемым выходным напряжением от 1,21 до 37 В при токе нагрузки до 1 А. Помимо построения импульсных ста-билизаторов напряжения, микросхема 1273ПН1Т может также использоваться в качестве эффективного предвари-тельного стабилизатора (pre-regulator) для линейных стабилизаторов.

Микросхема имеет внутренний генератор с довольно высокой фик-сированной частотой — 260 кГц, что позволяет уменьшить размеры ком-понентов фильтра. При этом диапазон частоты задающего генератора комму-тации выхода VSW находится в преде-лах 225…275 кГц. Важное достоинство преобразователя 1273ПН1Т — высо-

кая точность стабилизации выходного напряжения UОUТ: отклонение от уста-новленного значения не превышает 1,5%. Сопротивление RON открытого силового ключа (ДMOП-транзистора) в типовом случае составляет 0,25 Ом. В сводном виде основные параметры ИМС 1273ПН1Т представлены в табли-це 1.

Микросхема 1273ПН1Т имеет внеш-ний выключатель (shutdown), который срабатывает при входном напряже-нии UON/OFF менее 5 В и переводит ее в режим пониженного потребления. Выходной каскад имеет ограничитель тока и тепловую защиту, что обеспечи-вает полную защиту ИМС от неправиль-ных режимов работы.

Структурная схема 1273ПН1Т показа-на на рисунке 1. Кроме перечисленных выше функциональных блоков, микро-схема имеет внутренний стабилизатор напряжения 5 В, источник опорного напряжения (ИОН) 1,21 В, широтно-импульсный компаратор, блок компен-сации усиления, блок контроля, схему управления (драйвер) и др.

Внутренний стабилизатор напря-жения 5 В обеспечивает стабильное напряжение питания блоков ИС при напряжении 8…40 В на входе VIN, а внутренний ИОН служит для созда-

ния необходимого входного опорного уровня для усилителя сигнала ошибки на ОУ1 и ОУ2.

Широтно-импульсный компара-тор (модулятор) формирует импульсы переменной скважности, получаемые сравнением исходного пилообразно-го напряжения и выходного сигнала усилителя ошибки. Блок компенсации усиления предназначен для выработ-ки сигналов управления, которые обе-спечивают выравнивание (коррекцию) усиления «усилителя сигнала ошибки» в зависимости от напряжения на входе VIN. Блок контроля служит для обра-ботки поступающих на его входы сиг-налов о работоспособности схемы и формирования сигналов управления выходным каскадом, а драйвер управ-ляет выходным ДМОП-транзистором в зависимости от режима работы ИМС.

Использование в качестве силового ключа ДМОП-транзистора обеспечива-ет высокий КПД микросхемы. Типовая зависимость КПД от температуры окру-жающей среды представлена на рисун-ке 2. Благодаря высокому КПД при мон-таже микросхемы нет необходимости в применении специальных теплоот-водов — достаточно использовать мед-ные дорожки на плате.

ИМС преобразователя напряжения 1273ПН1Т выпускается в 8-выводном металлокерамическом корпусе 4112.8-1. Типовая схема включения ИМС приве-дена на рисунке 3.

В заключение следует сказать, что в планах ФГУП «НИИЭТ» — создание и других микросхем импульсных стаби-лизаторов (преобразователей) напря-жения — с фиксированными выходны-ми напряжениями (1,5; 2; 3; 5 В и др.), с более высокими рабочими токами (до 3…5 А) и рабочими частотами до 500 кГц.

ЛИТЕРАТУРА1. Д. Иванов. LM2267x — Simple Switcher

в пятом поколении//Компоненты и техно-логии, №1, 2009, с. 78—81.

Page 30: Электронные компоненты №6/2010

30

WWW.ELCP.RU

Высоковольтные ИС, удовлетворяющие требованиям к драйверам затворов полупроводниковых прибо-ров, все чаще применяются в силовых каскадах схем управления электроприводом. Обеспечивая высокий уровень интеграции, эти высоковольтные ИС позво-ляют удовлетворить требованиям проекта, сведя к минимуму количество компонентов в таких приложе-ниях как кондиционеры воздуха, вентиляторы, насосы, преобразователи общего назначения и автомобильная электроника.

Новейшие высокоскоростные и мощные драйверы MOSFET и IGBT, например, не требуют ни ограничи-тельного диода, ни токоограничивающего резистора и имеют зависимые или независимые выходные каналы верхнего и нижнего уровней с управляющим напряже-нием затвора в диапазоне 10…20 В. Драйверы (начиная с семейства International Rectifier IRS260xD) имеют выходной буферный каскад высокого импульсного тока, предназначенный для минимизации перекрест-ной проводимости. Плавающий канал используется для управления N-канальными мощными MOSFET или IGBT в конфигурации верхнего уровня при рабочем напря-жении до 600 В. Эти устройства обеспечивают согласо-ванную задержку при прохождении сигнала для обоих каналов и усовершенствованный входной фильтр для повышения помехоустойчивости. Устойчивость к выбросам отрицательного напряжения защищает систему при переключении больших токов и в условиях возникновения КЗ.

Помимо функций блокировки при пониженном напряжении питания, которыми обладают почти все драйверы компании IR, новые семейства устройств для управления электроприводом отличаются очень малым током потребления, что позволяет их использовать даже в самых требовательных приложениях. Для уменьшения числа компонентов, упрощения схемы и повышения ее надежности новейшие драйверы оснащены встроен-ной бутстрепной схемой, которая реализуется за счет высокого внутреннего высоковольтного MOSFET, обе-спечивающего протекание тока на верхний уровень через питающую сеть низкого уровня (замена внешнего бутстрепного диода).

ХЕННИНГ ХАУЭНШТАЙН (HENNING HAUENSTEIN), вице-президент отдела сбыта и приложений, International Rectifi er

Высоковольтные ИС для надежных

платформ управления

электроприводом

БЕЗОПАСНЫЙ РЕЖИМ

Разрабатывая схему инвертора, необходимо убедиться в том, что конкретная высоковольтная ИС обеспечит тре-буемое быстродействие как в нормальном режиме, так и при перегрузке. Устойчивость к отрицательным всплескам напряжения особенно важна.

Элементы драйвера верхнего уровня высоковольтной ИС изолированы от остальной цепи p-n-переходом с обратным смещением. Каждый раз, когда отрицательный импульс переходного напряжения уменьшает потенциал цепи верхнего уровня ниже земли, изолирующий переход смещается в обратном направлении. Вследствие этого эле-менты верхнего уровня могут воздействовать на другие цепи из-за наличия внутренних паразитных биполярных структур.

На рисунке 1 показана стандартная структура высоко-вольтной ИС с диодом (D7) на эпитаксиальной подложке и с n-p-n-транзистором (Q7), которые являются наиболее заметными паразитными элементами. При отрицательном всплеске напряжения Vs диод D7 и транзистор Q7 могут включиться, что приведет к изменению поведения цепи и созданию условий защелкивания. В результате могут возникнуть временная неустойчивость работы или даже выход прибора из строя.

Чтобы избежать этих затруднений, International Rectifi er создала метод, который использует концепцию NTSOA (Negative Transient Safe Operating Area — область безопас-ной работы при отрицательных переходных напряжениях) для определения работоспособности драйвера при отри-цательных выбросах напряжения.

На рисунке 2 приведен график NTSOA для ИС драйвера. Как видно из иллюстрации, устройство гарантированно работает при возникновении любого отрицательного выброса напряжения, если его длительность и амплиту-да соответствуют области, расположенной выше кривой NTSOA. Например, устройство продолжает работу при –40-В выбросе длительностью 100 нс.

В случае выбора высоковольтных ИС компании International Rectifi er устойчивая работа каждого нового драйвера затвора в указанных пределах области NTSOA проверяется специализированными тестами, во время которых эти компоненты подвергаются воздействию

Рис. 1. Структура высоковольтной ИС

Page 31: Электронные компоненты №6/2010

31

Электронные компоненты №6 2010

импульсов отрицательного напряжения переменной амплитуды и длительности. При этом также определяются условия возникновения отказа для обеспечения безопас-ной работы компонентов в указанных границах. Помимо соответствия условиям работы в области NTSOA, каждый новый компонент тестируется в составе конечного устрой-

ства и подвергается воздействию условий КЗ. Печатная плата преобразователя проектируется таким образом, чтобы воспроизвести наихудший вариант возникновения паразитных условий в реальной сборке, а драйвер тести-руется при КЗ на выходе инвертора при управлении IGBT c различными параметрами и при разных токах нагрузки.

Рис. 2. Область безопасной работы при отрицательном переходном напряжении Vs для драйверов затвора IR (при VBS = 15 В)

СОБЫТИЯ РЫНКА

| МИРОВЫЕ ПРОДАЖИ ЧИПОВ ПРЕВЫСИЛИ ОЖИДАНИЯ | Средний мировой объем продаж полупроводников в мае соста-

вил 25,65 млрд долл, что на 4,5% больше показателя предыдущего месяца и на 47,6% больше, чем в том же месяце прошлого

года. Усредненные показатели намного превзошли ожидания экспертов и стали рекордными за последние три месяца. Рост

продаж полупроводников был самым высоким в Америке и Китае. В Америке средний рост продаж за три месяца составил

8,2% (4,265 млрд долл) и 52,9% в год. В Китае трехмесячный рост составил 8% (5,33 млрд долл) и 8% в год.

Более половины мирового рынка полупроводников сосредоточено в Азиатско-Тихоокеанском регионе, включая

Китай. Трехмесячные средние продажи в этом регионе составили 5% (13,51 млрд долл) и 50,1% в год.

Японские и европейские регионы — отстающие на мировом рынке продаж микросхем. Средние трехмесячные про-

дажи чипов в Японии составили 3,72 млрд долл., в Европе — 3,15 млрд долл. Ежемесячный рост в Японии составил 1,3% ,

в Европе — 1,7%, а годовой рост, соответственно, — 34,7 и 43,8%.

www.russianelectronics.ru

| НИС ДОШЛИ ДО УКРАИНЫ | Федеральное космическое агентство (Роскосмос) назначило ОАО «Навигационно-ин фор ма-

ционные системы» (НИС) поставщиком навигационных услуг для потребителей России и Украины. В ближайшее время НИС

и харьковское ОАО «НИИ радиотехнических измерений» подпишут соглашение о взаимодействии, в рамках которого, воз-

можно, создадут совместное предприятие.

Как сообщает пресс-служба НИС, это решение утвердил руководитель Роскосмоса Анатолий Перминов в рамках реа-

лизации соглашения между кабинетом министров Украины и правительством РФ о сотрудничестве в области использова-

ния и развития ГЛОНАСС. Со своей стороны, Национальное космическое агентство Украины возложило на харьковское

ОАО «НИИ радиотехнических измерений» (НИИ РИ) исполнение обязанностей оператора по предоставлению навигаци-

онных услуг на территории Украины.

«НИС определен «назначенной организацией» с российской стороны, а НИИ РИ — с украинской. В дальнейшем НИС

и «НИИ РИИ» подпишут детальное соглашение о сотрудничестве, возможно, будет создано совместное предприятие, —

комментирует руководитель отдела по работе со СМИ ОАО «НИС» Игорь Фрумкин. — Конкретные направления сотруд-

ничества НИС и «НИИ РИ» прорабатываются. Готовятся предложения, которые после обсуждения и согласования лягут в

основу совместного соглашения. Руководством России поставлены многоплановые задачи по продвижению технологий

на базе ГЛОНАСС за рубежом».

ОАО НИС образовано в 2007 г. «Системой» (50,1% акций) и Роскосмосом. Основные задачи НИС — создание массового

рынка сбыта коммерческих услуг на базе ГЛОНАСС, оптимизация нормативно-правовой базы для использования системы

ГЛОНАСС и эксплуатация навигационной инфраструктуры для развития коммерческих услуг спутниковой навигации. В

ближайшее время «НИС» инвестирует в развитие около 6 млрд руб. В первую очередь компания намерена создать ком-

мерческий рынок ГЛОНАСС в России, а затем — выйти на мировой рынок. Приоритетными направлениями распростране-

ния за пределами России навигационных решений и услуг на базе ГЛОНАСС станут страны СНГ, Индия, а также Ближний

Восток, Южная и Центральная Америка.

www.russianelectronics.ru

Page 32: Электронные компоненты №6/2010

32

WWW.ELCP.RU

Микросхема IL9910D (IL9910N, IL9910DH, IZ9910) — уни-версальный высоковольтный LED-драйвер. Микросхема предназначена для управления цепочками светодиодов и светодиодными панелями.

ИМС IL9910 представляет собой микросхему управле-ния высокоэффективным LED-драйвером, позволяющим работать со светодиодными панелями и цепочками светодиодов с напряжением питания 8…450 В посто-янного тока. Микросхема управляет внешним MOSFET-транзистором с фиксированной частотой до 300 кГц. Данная частота может быть установлена при помощи внешнего резистора. Цепочки светодиодов управля-ются постоянным током, что обеспечивает стабильную яркость свечения светодиодов и высокую надежность устройства. Выходной ток цепочки светодиодов может задаваться в диапазоне от нескольких миллиампер до более 1 А. Величина данного тока может быть установ-лена на любое значение от 0 до своего максимального значения при помощи внешнего управляющего напря-жения, подаваемого на вход линейного затухания. Микросхема IL9910 также имеет вход низкочастотной ШИМ-подстройки, позволяющей управлять яркостью свечения светодиодов ШИМ-сигналом с коэффициентом заполнения импульсов 0—100 % и частотой до несколь-ких кГц.

Отличительной чертой микросхемы IL9910 является наличие вывода PWM_D, позволяющего осуществлять регулировку яркости свечения светодиодов при помощи ШИМ-сигнала. ИМС IL9910 позволяет также регулировать яркость свечения светодиодов, изменяя выходной ток через светодиоды путем линейного изменения напряже-ния, подаваемого на вход LD (так называемое линейное затухание).

Основные характеристики:

– входное напряжение на входе VIN 8…450 В;– ток на выводе VDD, который можно применять для

внешнего потребления IDD(ext) не более 1 мА;– величина тока в светодиодной цепочке задается от

нескольких миллиампер до более 1 А;– управление яркостью свечения 1—100 светодиодов;– возможность подстройки яркости свечения све-

тодиодов при помощи низкочастотного ШИМ-сигнала;– возможность линейной подстройки яркости свечения

светодиодов;– диапазон рабочих температур –40…85°C;– допустимое значение потенциала статического элек-

тричества 1000 В.Индекс D — SO-корпус; индекс N — пластмассовый DIP-

корпус; индекс H — пластмассовый SO-корпус; IZ9910 —

Универсальный высоковольтный

LED-драйвер IL9910 ОАО «Интеграл»(функциональный аналог HV9910 компании Supertex Inc.)

кристалл.Область применения:

– в качестве LED-драйвера DC/DC или AC/DC;– LED-драйвер для подсветки светодиодных RGB-па-

нелей;– подсветка ЖК-панелей;– в универсальных источниках постоянного тока;– декоративная светодиодная иллюминация;– автомобильная электроника.ИМС IL9910 применяют в схемах ключевых вольтодо-

бавочных преобразователей по пиковому току (buck, boost или buck-boost converter) для управления ярко-стью свечения цепочек светодиодов или светодиодных панелей. Данные преобразователи могут работать от сети переменного тока или постоянного напряжения в диапазоне 8…450 В. Для осветительного оборудования, имеющего входную мощность менее 25 Вт, дополнитель-но может применяться схема компенсации коэффици-ента мощности, фильтрующая пульсации переменного тока. Микросхема IL9910 может управлять высокояр-кими светодиодами числом до нескольких сотен или совокупностью цепочек высокоярких светодиодов. Светодиодные матрицы (панели) могут состоять из соединенных последовательно или последовательно-параллельно светодиодов.

ИМС IL9910 позволяет управлять всеми основными типами ключевых вольтодобавочных преобразовате-лей как с изолированным выходом, так и с неизоли-рованным. Данные преобразователи могут работать как в непрерывно включенном, так и в периодически отключаемом режимах. Когда на затвор внешнего MOSFET-транзистора поступает разрешающий сигнал, LED-драйвер начинает накапливать внутреннюю энер-гию на катушке индуктивности или первичной обмотке трансформатора. Далее эта энергия различными путями, в зависимости от типа вольтодобавочного преобра-зователя, поступает непосредственно на светодиоды. Энергия, накопленная в магнитном элементе, поступает в выходную цепь в течение времени отключения мощ-ного MOSFET-транзистора, задающего ток в цепи свето-диодов.

Подробную информацию о микросхеме и ее применении можно получить по адресу:

Филиал «Завод полупроводниковых приборов» ОАО «ИНТЕГРАЛ»220108, г.Минск, ул. Корженевского, 12Тел./факс: 212-20-31Эл. почта: dzumс@integral.by.

Page 33: Электронные компоненты №6/2010
Page 34: Электронные компоненты №6/2010

34

WWW.ELCP.RU

ВВЕДЕНИЕ

Такие мощные низковольтные цифровые микросхемы как программируемые логические интегральные схемы (ПЛИС), включая программируемые пользователем вентиль-ные матрицы (ППВМ), цифровые сигнальные процессоры (DSP), микропроцессоры и другие специализированные микросхемы входят в состав практически любых встраи-ваемых электронных систем. Встраиваемые электронные системы различного назначения очень часто встречаются в изделиях промышленной электроники, аппаратуры связи, серверах, медицинской аппаратуре, игровых приставках и консолях, бытовой аудио/видеотехнике и во многих других изделиях. Применение ПЛИС позволяет реализовать в этих системах самые передовые технические идеи. Согласно маркетинговым исследованиям фирм In-Stat и Gartner, в 2010 г. объем продаж ПЛИС достигнет 2,5 млрд долл. Примечательно, что одним из применений ПЛИС в автомо-билестроении является возможность создания аппаратуры, позволяющей избежать последствия т.н. человеческого фак-тора. Это системы активной безопасности, исключающие возможность столкновения. Кроме того, такие системы без-опасности, как например антиблокировочная система (АБС), управление устойчивостью и электронно-управляемая независимая подвеска, также используют ПЛИС.

Сочетание больших токов потребления, малых напря-жений питания и повышенные требования к скорости нарастания импульсной характеристики для нового поко-ления ПЛИС и специальных процессоров предъявляет строгие требования к источникам электропитания ядра, устройств ввода-вывода и каналов приёмопередатчика. Эти цифровые микросхемы, с точки зрения электропита-ния, являются и мощными, и динамичными. Как правило, для их питания используются относительно более эффек-тивные импульсные преобразователи. Однако применение этих преобразователей связано с такими недостатка-ми как влияние шумов, не очень хорошая импульсная характеристика и чувствительность к качеству разводки печатной платы. В результате, зачастую предпочтение отдаётся линейным стабилизаторам с малым падением напряжения (LDO), хотя такие стабилизаторы и имеют свои ограничения в применении. Однако благодаря новым техническим идеям в этой области параметры новых LDO-стабилизаторов перестали быть компромиссными.

ЗАДАЧИ ПРОЕКТИРОВАНИЯ

Точно следуя закону Мура, размеры выпускаемых крем-ниевых подложек постоянно уменьшаются, что позволяет снижать напряжение питания произведенных микросхем. Работа с большими токами потребления и меньшими напряжениями накладывает определенные ограничения на трассировку и отвод тепла. Следовательно, необходимо применять особые корпуса, обеспечивающие надёжный отвод тепла от кристалла микросхемы. В случае с LDO-стабилизаторами их способность работать с малым пря-мым падением напряжения позволяет уменьшить разницу между входным и выходным напряжениями, сохранив возможность поддерживать/стабилизировать выход-

СТИВ НОС (STEVE KNOTH), ст. инженер по сбыту, Linear Technology Corporation

Обеспечение электрического питания

ПЛИС с помощью мощных линейных

LDO-стабилизаторов

ное напряжение и стабильность выходных параметров. Следовательно, появляется возможность снизить рассеи-ваемую микросхемой мощность и частично решить про-блему отвода тепла.

Требование к коэффициенту подавления пульсаций по напряжению (PSRR), а также допустимый уровень шума выходного напряжения являются основными требования-ми. Напряжение, получаемое от прибора с хорошим коэф-фициентом стабилизации, легко фильтруется, что снижает уровень шумов на входе. Это, в свою очередь, приводит к «чистому» и стабильному напряжению на выходе. Кроме того, стабилизатор с малыми шумами выходного напряже-ния в широкой полосе частот является более предпочти-тельным для применения в качестве источника питания, где уровень шумов чётко оговаривается условиями техни-ческого задания. Поскольку требования к быстродействию современных ПЛИС возрастают, чувствительность к шумам выходного напряжения также растёт. Их необходимо сни-жать, чтобы уменьшить ошибку разрядности. Подобные ошибки, обусловленные шумами, значительно снижают скорость обработки данных быстродействующих ПЛИС.

Более высокие скорости приёмопередатчиков, всё чаще интегрируемых в ПЛИС, обуславливают более высо-кие токи, потребляемые для сохранения хорошей формы импульсов данных. Поскольку эти микросхемы являются быстродействующими, ток потребления может возрас-тать почти от нуля до нескольких ампер в течение сотен наносекунд. Данное обстоятельство требует применения преобразователей с очень хорошей импульсной характе-ристикой.

НОВЫЙ ТИП СТАБИЛИЗАТОРОВ ДЛЯ ПИТАНИЯ ЦИФРО-

ВЫХ МИКРОСХЕМ

Линейный стабилизатор с правильными характеристи-ками является идеальным решением для питания новей-ших ПЛИС. Однако LDO-стабилизаторы должны удовлетво-рять следующим требованиям:

– быстродействие (малое время нарастания импульс-ной характеристики);

– работа в широком диапазоне входных и выходных напряжений;

– большой ток нагрузки;– низкий уровень шума;– очень малое прямое падение напряжения;– хорошие тепловые свойства;– большой коэффициент стабилизации на высоких

частотах;– автоматическое выявление неисправностей/ошибок

может быть дополнительным преимуществом.Недавно компания Linear Technology представила

микросхему LT3070 — стабилизатор серии LDO, обладаю-щий всеми указанными свойствами.

СВЕРХБЫСТРОДЕЙСТВУЮЩИЙ МАЛОШУМЯЩИЙ

LDO-СТАБИЛИЗАТОР

Микросхема LT3070 представляет собой сверхбы-стродействующий линейный стабилизатор с цифровой

Page 35: Электронные компоненты №6/2010
Page 36: Электронные компоненты №6/2010

36

WWW.ELCP.RU

установкой выходного напряжения. Из всех доступных на сегодняшний день стабилизаторов LDO с высокой степенью интеграции и током нагрузки не менее 5 А это устройство имеет минимальный уровень шумов выход-ного напряжения и наибольшую скорость нарастания импульсной характеристики. Стабилизатор выполнен на основе МОП-транзистора, что обеспечивает минимальное прямое падение напряжения — всего 85 мВ при макси-мальном токе нагрузки. Кроме того, ток общего вывода стабилен и не зависит от изменения входного и выходного напряжений. Шумы выходного напряжения не превыша-ют 25 мкВ среднеквадратического значения в диапазоне частот 10 Гц…100 кГц при токе нагрузки 5 А. Коэффициент стабилизации равен 30 дБ на частоте 1 МГц. Имея поло-су пропускания входного сигнала до 1 МГц, LT3070 при использовании выходных керамических конденсаторов ёмкостью чуть более 15 мкФ обеспечивает перерегули-рование не более 30 мкВ при броске тока нагрузки 4,5 А. Столь небольшая величина ёмкости выходных конденса-торов позволяет уменьшить габариты разрабатываемого источника питания и стоимость изделия. Как видно из представленной на рисунке 1 схемы, LT3070 является хорошим решением для питания мощных низковольтных устройств.

Выходное напряжение микросхемы LT3070 устанавлива-ется цифровыми сигналами в диапазоне 0,8…1, 8 В с шагом 50 мВ. Погрешность установки выходного напряжения составляет не более ± 1% во всём диапазоне изменения входного напряжения, тока нагрузки и температуры. С помощью системы цифровой подстройки границ выход-ного напряжения можно осуществить точную подстройку в пределах ±1%, ±3% или ±5%, что удобно при отлад-ке системы. Сигнал PowerGood отображает состояние микросхемы LT3070: осуществляется ли регулирование

выходного напряжения, не сработала ли защита от пони-женного входного напряжения. Этим сигналом выдается также предварительное предупреждение о перегреве микросхемы. Диапазон входных напряжений микросхемы LT3070 составляет 0,95…3,0 В, а диапазон вспомогательных напряжений равен 2,2…3,6 В. Вспомогательное напряже-ние предназначено для управления затвором встроенного регулирующего МОП-транзистора с N-каналом.

Кроме того, несколько микросхем LT3070 можно соединить параллельно и тем самым обеспечить больший ток в нагрузку или равномернее распределить тепло на печатной плате. С помощью алгоритма слежения можно управлять импульсным преобразователем, который обе-спечивает напряжение питания для LDO. Такое решение позволяет при любом входном напряжении подавать на вход LDO-стабилизатора ровно на 300 мВ больше, чем составляет выходное напряжение. Таким образом, умень-шается рассеиваемая в тепло мощность, но при этом сохраняется коэффициент стабилизации. Если же входное напряжение меняется во времени, с помощью алгоритма слежения выходное напряжение импульсного преобра-зователя будет автоматически поддерживаться на 300 мВ больше выходного напряжения LDO. Это означает, что при любых входных напряжениях КПД будет максимально воз-можным. Встроенные цепи защиты обеспечивают защиту от пониженного входного напряжения, обратного тока, прецизионное ограничение тока, а также отключение с гистерезисом при перегреве. Микросхема LT3070 изготав-ливается в низкопрофильных (0,75 мм) 28-выводных корпу-сах QFN с габаритами 4×5 мм, улучшенным отводом тепла и работает в диапазоне температур кристалла –40…125°С.

СВЕРХБЫСТРАЯ РЕАКЦИЯ НА ВОЗДЕЙСТВИЕ

Широкая полоса пропускания входного сигнала микро-схемы LT3070 обеспечивает высокую скорость нарастания импульсной характеристики. При этом на выходе применя-ются недорогие и малогабаритные керамические конден-саторы с малым эквивалентным последовательным сопро-тивлением (ESR). Помимо того, что такие конденсаторы удешевляют стоимость готового изделия на базе LT3070, они занимают мало места на печатной плате, позволяя соз-давать малогабаритные источники питания. Применение конденсаторов с объёмным диэлектриком (т.е. алюми-ниевых электролитических и танталовых устройств) не рекомендуется, т.к. они обладают существенно большей эквивалентной последовательной индуктивностью, а это уменьшает динамические характеристики стабилизатора.

Схемотехнически N-канальный МОП-транзистор (регу-лирующий элемент) включён как истоковый повторитель. Такая схема включения обеспечивает предельно малое падение напряжения на регулирующем элементе, высокую скорость нарастания импульсной характеристики и боль-шой коэффициент стабилизации в широкой полосе частот. Микросхема LT3070 имеет широкую полосу частот регули-рования, а также прекрасную импульсную характеристику (см. рис. 2) за счет отказа от дорогостоящих танталовых или алюминиевых электролитических конденсаторов. Указанные выше свойства позволяют применять микросхе-му LT3070 для питания большинства современных микро-процессоров.

Топология LDO-стабилизаторов с N-канальным истоковым повторителем является принципиально более быстродействующей, чем с P-канальными МОП-транзисторами. Низкое сопротивление канала типа N снижает выходное сопротивление LT3070. Высокое сопро-тивление стока P-канального МОП-транзистора требует применения дорогостоящих электролитических конденса-торов на выходе микросхемы. Кроме дороговизны, у таких конденсаторов имеется ещё один существенный недоста-

Рис. 1. Типичная схема включения LT3070

Рис. 2. Динамические возможности LT3070

Page 37: Электронные компоненты №6/2010

37

Электронные компоненты №6 2010

ток: они ограничивают быстродействие схемы и, следова-тельно, скорость нарастания импульсной характеристики. Низкоимпедансный выход микросхемы LT3070 позволяет отвести 1 МГц на полосу пропускания электрической схемы стабилизатора, чтобы установить высокую скорость нарастания импульсной характеристики.

УВЕЛИЧЕНИЕ КПД ЗА СЧЕТ УПРАВЛЕНИЯ

С ВХОДА НА ВЫХОД

У микросхемы LT3070 имеется уникальная функция сле-жения, предназначенная для управления установленным перед ней по схеме импульсным преобразователем. Вывод VIOC (Input-to-Output Voltage Control) является выходом встроенного усилителя сигнала, пропорционального про-водимости канала регулирующего элемента, выход кото-рого, в свою очередь, является источником или потребите-лем тока величиной 250 мкА. Этот сигнал может управлять работой большинства импульсных преобразователей серий LTC или LTM через вывод ITH.

Функция VIOC предназначена для управления работой импульсного преобразователя, обеспечивающего входное напряжение микросхеме LT3070 на 300 мВ больше выход-ного. Эта величина прямого падения напряжения выбрана исходя из условий обеспечения высокой скорости нарас-тания импульсной характеристики и приемлемого коэф-фициента стабилизации в широкой полосе частот. Кроме того, при такой величине прямого падения напряжения существенно снижается рассеиваемая мощность и, соот-ветственно, увеличивается КПД. Например, рассеиваемая мощность при непрерывном выходном токе 5 А и преоб-разовании с помощью LDO напряжения из 1,5 в 1,2 В или из 1,3 в 1,0 В составляет всего 1,5 Вт.

На рисунке 3 показаны цепи резисторов обратной связи, с помощью которых устанавливается максимальное выходное напряжение импульсного преобразователя, если LDO отключен. Однако как только LT3070 включится, цепь обратной связи VIOC понизит выходное напряжение преобразователя до величины Uвых + 300 мВ.

Функция VIOC представляет собой петлю обратной связи между LT3070 и импульсным преобразователем. Петля обратной связи должна быть частотнокомпенсиро-ванной, чтобы обеспечить стабильность работы. К счастью, подключение VIOC к импульсным преобразователям Linear Technology через вывод ITH происходит по высокоимпе-дансному каналу, что является идеальным случаем для осуществления частотной компенсации обратной связи, т.к. изменения величины уже установленных компенси-рующих компонентов минимальны.

Суммируя все преимущества LT3070, можно обобщить её характеристики и особенности:

– ток нагрузки 5А;– прямое падение напряжения 85 мВ (типовая вели-

чина);

– цифровая установка выходного напряжения в диапа-зоне 0,8…1,8 В;

– цифровая точная установка выходного напряжения: ±1%, ±3%, ±5%;

– низкие шумы выходного напряжения: 25 мкВ среднеквадратического значения в диапазоне частот 10 Гц…100 кГц;

– возможность параллельной работы и обеспечение тока в нагрузке до 10 А;

– прецизионное ограничение тока: ±15%;– погрешность установки выходного напряжения ±1%

во всех диапазонах изменения входного напряжения, тока нагрузки и температуры;

– стабильность работы при использовании керамиче-ских конденсаторов на выходе (минимальная ёмкость — 15 мкФ);

– высокий коэффициент стабилизации при высокой частоте: 30 дБ при 1 МГц;

– функция подключения/отключения нагрузки;– выводы цепей управления VIOC для совместной

работы с импульсным преобразователем, что уменьшает рассеиваемую мощность и повышает КПД;

– сигналы PWRGD/UVLO;– ограничение обратного тока;– тепловое отключение с предварительным предупре-

ждающим сигналом;– низкопрофильный (0,75 мм) 28-выводный QFN корпус

с габаритами 4×5 мм.

ЗАКЛЮЧЕНИЕ

Тенденцией развития высокопроизводительных цифро-вых микросхем является постоянно увеличивающийся ток потребления при одновременном снижении напряжения питания, что стало возможным благодаря постоянно улуч-шающейся технологии производства. Однако из-за этой тенденции происходит повышение требований к таким параметрам как скорость нарастания импульсной харак-теристики, шумы источника питания и КПД. Традиционно питание таких цифровых микросхем осуществлялось с помощью импульсных преобразователей, работающих на основе индуктивности. Однако для решения проблем, возникающих при работе подобных преобразователей, компания Linear Technology предлагает новое поколение низковольтных линейных стабилизаторов, не требующих индуктивности. Новый стабилизатор LT3070 — это линей-ный стабилизатор со сверхвысокой скоростью нарастания импульсной характеристики, возможностью цифровой установки выходного напряжения, минимальным прямым падением напряжения, минимальными шумами и самой высокой из всех доступных на сегодняшний день 5-А LDO скоростью нарастания импульсной характеристики. Кроме того, этот стабилизатор идеален для работы совместно с импульсным преобразователем.

Рис. 3. Блок-диаграмма работы VIOC, реализованная в LT3070

Page 38: Электронные компоненты №6/2010
Page 39: Электронные компоненты №6/2010

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

39

Электронные компоненты №6 2010

На рынке пассивных компонентов мечи никогда не убираются в ножны. Для выживания в этом высококонкурентном сегменте компании должны быть гораздо более агрессивными и настойчивыми, быстрее реагиро-вать на происходящие перемены, чем на любом другом рынке хай-тека.

НЕ ТАКИЕ УЖ И ПАССИВНЫЕ КОМПОНЕНТЫБОЛАДЖИ ОДЖО (BOLAJI OJO), журналист

С середины 1990-х гг., когда на рынке появилась небольшая горстка произ-водителей с низкими издержками из Тайваня и Китая, цены на продукцию сегмента пассивных компонентов зна-чительно снизились, и она стала массо-вой. Необузданная конкуренция при-вела к вытеснению с этого сегмента каждой десятой западной компании, оставив более сильных игроков на рынке, где прибыль легко не дается.

Жесткие условия конкуренции сохранятся, по крайней мере, в бли-жайшей перспективе. Несмотря на то, что крупнейшие производители (вен-доры) пассивных компонентов быстро адаптировались к новым рыночным условиям, остались нерешенные про-блемы. Ценовое давление стало более интенсивным с ростом цен на сырье, вынуждая оставшиеся на рынке ком-пании совершать сложные маневры, чтобы оградить себя от возможных потерь за счет диверсификации своих предложений и даже путем добавле-ния в ассортимент активных компо-нентов.

Чтобы выгодно выделить свои изделия на фоне дешевой массовой продукции с низким барьером входа на рынок такие компании как AVX, Kyocera, Murata и Vishay стали актив-нее вкладывать средства в научно-исследовательскую деятельность и в другие разработки. Они также стали работать в тех областях рынка, куда не могут проникнуть более слабые конкуренты. Речь идет об авионике, оборонной промышленности и рынке медицинской техники.

Дорогостоящие изменения в страте-гических планах пришлись на то время, когда OEM-клиенты потребовали сни-зить цены на продукцию. Более того, OEM пожелали снизить т.н. «фактор касания», передав больше функций по управлению складскими запасами дис-трибьюторам, чтобы сэкономить на расходах. Поставщики пассивных ком-понентов однажды получили прибыль за счет такой оптимизации цепочки поставок, однако рост конкуренции нивелировал этот выигрыш.

В настоящее время объемы продаж поставщиков пассивных компонентов растут, а некоторым компаниям уда-ется даже упрочить позиции за счет нехватки компонентов в определенных сегментах рынка. Однако осторожные руководители фирм продолжают упор-но следовать программам реоргани-зации, принятым в конце 2008 г., когда разразился глобальный экономический кризис.

Похоже, производителям пассивных компонентов не приходилось так сни-жать стоимость на свою продукцию с 2001 г., несмотря на то, что она широко использовалась в электронном обо-рудовании. Пассивные компоненты — пехотинцы отрасли: каждый технологи-ческий продукт, простой или сложный, требует применения конденсаторов, диодов, дросселей или резисторов, и в немалом количестве. В таблице 1 пред-ставлено потребление пассивных ком-понентов в разных регионах мира.

Однако почти 10 лет спустя, после того как поставщики электроники прошли через то, что многие ветераны отрасли по-прежнему считают самым худшим экономическим спадом за все времена ее развития, практически все производители пассивных компонен-тов находятся в неустойчивом положе-нии, занимаясь рационализацией про-изводства, перемещением мощностей и сокращением числа служащих.

Компания Vishay Intertechnology — пример организации, решившей не снижать стоимость продукции в кри-зисное время. В 2009 г. доходы этой компании упали на 28% — с 2,8 до 2 млрд долл., несмотря на то, что Vishay в значительной мере диверсифициро-

вала свой бизнес за последние 10 лет и в настоящее время объемы годо-вых продаж пассивных компонентов составляют около половины ежегод-ных продаж полупроводников. В про-шлом году доходы от продажи пассив-ных компонентов упали на 24% — с 1,4 млрд долл. в 2008 г. до 1 млрд долл.

Схожая участь постигла и AVX — подразделение японской корпорации Kyocera. Ее доход упал на 14% за про-шлый финансовый год, который закон-чился в марте 2009 г. Доход от продажи пассивных компонентов упал на 9,4% по сравнению с прошлым годом.

Совокупный объем продаж пассив-ных компонентов за финансовый год, закончившийся в марте 2009 г., снизил-ся на 18%, а к марту 2010 г. упал еще на 4%, по оценке Дениса Зогби (Denis Zogbi), владельца и президента анали-тической компании Paumanok Research Group.

В 2001 г., когда Vishay начала пред-принимать меры по снижению рас-ходов, в состав этой компании входи-ло 43% персонала из стран с высокой оплатой труда и 57% — из регионов с низкой стоимостью рабочей силы. К концу 2009 г. процент персонала из таких стран как Китай, Чешская респу-блика, Индия, Малайзия, Мексика и Филиппины вырос до 75%. В долгосроч-ной перспективе Vishay намеревается увеличить эту долю до 80%, что связа-но с постоянным снижением отпуск-ных цен на утвердившиеся продукты отрасли.

Среди самых последних мер по кон-тролю расходов — перевод производ-ства из Бразилии в Индию и Чешскую республику, а также перевод несколь-

Таблица 1. Потребление пассивных компонентов, млрд долл.

Регион 2007 г. 2008 г. 2009 г. 2010 г. 2011 г.

ЮВА 10,78 12,82 11,26 11,61 12,19

Япония 3,97 4,08 3,67 3,30 3,17

Европа 3,95 3,95 2,55 2,08 2,39

Америки и остальные страны 3,30 3,12 2,16 1,89 2,19

Всего 21,98 23,96 19,64 18,88 19,94

* Примечание. В таблице 1 представлены округленные данные.

Источник: Paumanok Research Group.

Page 40: Электронные компоненты №6/2010

40

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

ких технологических процессов из Бельгии и США в сторон-ние компании. Vishay также перевела несколько производств из Нидерландов и США в Израиль.

Следуя стратегии снижения расходов, компания Vishay намеревается также улучшить логистику и поддержку сер-висов, а также расширить производство. Многие изделия высокого качества, разработанные AVX и Vishay, предназна-чены для западных рынков и обладают тем технологическим уровнем, который еще предстоит освоить многим азиатским производителям с низкими издержками.

По признанию Дэвида Валетты (David Valletta), испол-нительного вице-президента Vishay, компания пыталась позиционировать себя как низкозатратного производителя, переведя производство пассивных компонентов в Китай и Индию. В то же время Vishay стремилась не попасть в силь-ную зависимость от рынков более дешевой продукции. По мнению аналитиков, такие шаги лишь на некоторое время облегчают состояние отрасли, а затем старая проблема воз-никает с новой силой. В недавнем докладе Зогби, Paumanok Research Group, сообщается, что за время 2001—2010 гг. потребительская ценность товаров, производимых двумя американскими континентами, сократились вдвое, и ее боль-шая часть переместилась в Китай.

Зогби добавил, что потребление пассивных компо-нентов в Се ве ро американской зоне свободной торгов-ли продолжит снижаться, хотя маржа в этой зоне будет выше, чем на других рыночных площадях. Руководители отраслевых компаний считают, что для преуспевания в этой зоне вендорам пассивных компонентов придется тес-нее установить сотрудничество с OEM-производителями, поставщиками контрактных услуг (EMS) и другими сторон-ними фирмами. По мнению Валетты, это сотрудничество позволит всем участникам цепочки поставок уменьшить стоимость закупок.

При нынешнем сценарии управления цепочками поставок производители пассивных компонентов числят их на своем балансе, пока не получат заказ от клиента, даже если сами товары хранятся на складах OEM или поставщика контракт-ных услуг. Валетта считает, что поставщики должны иметь как можно меньшее количество компонентов на своем балансе, хотя до сих пор не ясно, как это осуществить.

До сих пор заказчики перекладывали бремя накладных расходов на поставщиков. Валетта считает, что необходимо найти лучший способ сократить расходы, как можно мень-ше имея дело с товарами в цепочке поставок пассивных компонентов. Иначе в цене продукции неизбежно появится дополнительная стоимость.

Возможно, для поставщиков компонентов настало то самое время, когда следует обсудить создавшуюся ситуацию с заказчиками. После двух горестных лет спрос на пассивные компоненты снова растет, а поставки некоторых изделий уже расписаны наперед. Такие производители как AVX, Kemet и Vishay набирают персонал, чтобы увеличить загрузку произ-водственных мощностей, которые еще год назад использо-вались в отдельных случаях менее чем на 50%. Например, на работу в компанию Kemet за последний год поступило более 1000 человек.

Поскольку большой спрос на рынке пассивных компо-нентов может за несколько недель полностью иссякнуть, Kemet не намеревается существенно наращивать произ-водственные мощности. Пер-Олоф Луф (Per-Olof Loof), глава Kemet, считает, что не стоит забегать дальше потребностей рынка, однако его компания готова удовлетворить спрос потребителей.

ЛИТЕРАТУРА1. Bolaji Ojo. IP&E: Passive in name alone//www.eetimes.com/

showArticle.jhtml?articleID=224400435.

НОВОСТИ ДИСПЛЕЕВ

| МОДУЛЬ ЦВЕТНОГО 1,7-ДЮЙМОВОГО OLED-ДИСПЛЕЯ

С ГРАФИЧЕСКИМ ПРОЦЕССОРОМ GOLDELOX-GFX | Ком-

па ния 4D SYSTEMS объявила о выпуске интеллектуаль-

ного модуля цветного OLED-дисплея со встроенным гра-

фическим процессором GOLDELOX-GFX. Модуль содер-

жит OLED-дисплей c диагональю 1,7 дюйма и пассивной

матрицей. Преимуществом OLED-дисплеев является боль-

шой угол обзора (близко к 180°). Дисплей имеет разреше-

ние 160×128 пикселов и воспроизводит 65 тыс. цветов.

Модуль способен работать в двух режимах — после-

довательного обмена и программирования на языке

4DGL (4D Graphics Language). В режиме последователь-

ного обмена модуль uOLED-160-G1 работает как ведо-

мое устройство, подключаемое к ведущему устройству,

в качестве которого может выступать компьютер или

какой-либо микроконтроллер (AVR, PIC, ARM и т.д.). Это

позволяет разработчику отлаживать свои приложения

для выбранного микроконтроллера и среды программи-

рования.

Режим программирования на языке 4DGL позволяет

использовать преимущества языка программирования

графических приложений компании 4D SYSTEMS. Этот

язык высокого уровня имеет большие библиотеки гра-

фики, а программы на нем могут выполняться процес-

сором GOLDELOX-GFX, установленном в модуле. Язык

4DGL включает процедуры управления периферийными

устройствами, имеющимися на плате: слот карты microSD,

графический дисплей, последовательный порт, линии I/O

и т.д. Загружать 4DGL-файлы можно через последователь-

ный интерфейс, а также через установленный на плате

модуля слот карты microSD.

www.russianelectronics.ru

Page 41: Электронные компоненты №6/2010
Page 42: Электронные компоненты №6/2010

42

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

НАНОКОНДЕНСАТОР: НОВЫЙ ПОДХОД К ПОЛУЧЕНИЮ УНИФИЦИРОВАННЫХ КЕРАМИЧЕСКИХ ЕМКОСТНЫХ ЭЛЕМЕНТОВБОРИС БОЛЬЩИКОВ, научный сотрудник, ООО «Барган Технолоджи»АЛЕКСЕЙ ХАЛЯВИН, научный сотрудник, ООО «Барган Технолоджи»ВАСИЛИЙ БАРГАН, рук. научно-технической группы, ООО «Барган Технолоджи»

В настоящее время потребность в конденсаторах чрезвычайно высока — доля всех производимых устройств, приходящаяся на емкостные эле-менты, составляет 25%. Причем, как известно, существующие типы кон-денсаторов (керамические, ионисто-ры, оксидно-полупроводниковые) не могут заменить друг друга из-за раз-личия в емкости, электрической проч-ности диэлектрика, температурной стабильности, а также тангенса угла диэлектрических потерь. Наибольший интерес среди всех пассивных эле-ментов представляют, несомненно, керамические конденсаторы, ввиду их большой диэлектрической проч-ности, малых частотных и темпера-турных потерь.

Керамические конденсаторы разде-ляют на три основных типа, в зависи-мости от перечисленных выше пара-метров.

Конденсаторы 1-го типа предна-значены для использования в резо-нансных контурах и других цепях радиоэлектронной аппаратуры. Для них важным условием являются малые диэлектрические потери (при частоте 1 МГц tgσ ≤ 6∙10–4); высокая стабиль-ность емкости, или низкое значение ТКЕ (наиболее широкое применение

Рис. 1. Устройство танталового оксидного конденсатора

имеют ди электрики М750 на основе оксида титана TiO2); высокое удельное сопротивление (ρ > 1011 Ом∙см).

Конденсаторы 2-го типа — низкоча-стотные конденсаторы для использова-ния в цепях фильтрации и блокировки. К ним предъявляются требования по высоким значениям диэлектрической проницаемости с немонотонной ее зависимостью от температуры, высокой стабильностью емкости (ТКЕ = ×100%, где ΔС — допустимое изменение емко-сти в рабочем диапазоне температур); tgσ ≤ 3,5∙10–2; удельным сопротивлени-ем ρ ≥ 109 Ом∙см.

Для данного типа конденсаторов принята следующая международная классификация диэлектриков:

– NPO: ε < 75; ТКε ≤ 30∙10–6, тем-пературный интервал эксплуатации –55…125°С;

– X7R: ε = 1000—4000, диэлектри-ческая проницаемость не изменяется более чем на 15% в температурном интервале –55…125°С;

– Y5V: ε = 10000—15000, диэлектри-ческая проницаемость не изменяется в температурном диапазоне –30…85°С более чем на 22—56% от значения при 25°С.

Конденсаторы 3-го типа применя-ются для работы в тех же цепях, что и

низкочастотные пассивные элементы, но имеют по сравнению с ними несколь-ко меньшее значение сопротивления изоляции и большее значение тангенса угла диэлектрических потерь, что огра-ничивает область их применения низ-кими частотами — полупроводниковая керамика с барьерными или оксидны-ми слоями.

Наряду с керамическим конденсато-рами широкое применение получили конденсаторы с оксидным диэлектри-ком (Al2O3; Ta2O5; Nb2O5) (см. рис. 1). По величине диэлектрической проницае-мости оксидные пленки уступают кера-мике, но превосходят ее по значениям электрической прочности: в то время как для керамики на основе оксида титана электрическая прочность не превышает 0,1 МВ/см, для пленок окси-да алюминия достигнуто значение 5 МВ/см. Однако к недостаткам подоб-ного вида устройств можно отнести полярность устройств, ограниченный частотный и температурный диапазон эксплуатации, сложность конструкции, высокую стоимость материала анодов (Ta, Nb), испарение катодного материа-ла — жидкого диэлектрика — и связан-ное с этим уменьшение емкости в тече-ние времени эксплуатации устройства.

Однако существующие технологи-ческие производства керамических конденсаторов не позволяют создавать компактные конденсаторы с высокой емкостью.

Тем не менее достигнуть большой емкости удается в случае суперконден-саторов или ионисторов, т.к. их емкость реализуется без участия диэлектрика. В основе принципа действия ионисто-ров — формирование на границе двух фаз двойного электрического слоя (ДЭС) тонкого (молекулярной толщины) слоя за счет двух пространственно раз-деленных слоев электрических зарядов разного знака. По этой причине изде-лия данного типа охватывают диапазон емкостей до 100 Ф. Высокая емкость устройства обусловлена применением

Page 43: Электронные компоненты №6/2010

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

43

Электронные компоненты №6 2010

Рис. 3. Электронная фотография оксидных столбча-тых структур на поверхности графита

Рис. 2. Электронная фотография микроструктуры графитовой фольги

материалов электродов с высокораз-витой поверхностью, таких как моди-фицированные активированные угли, в поры которых проникают ионы дис-социированных молекул электролитов, обеспечивающие формирование ДЭС. Ионисторы могут стать резервными или основными источниками энергии в различных технических устройствах. Однако существенным недостатком ионисторов является низкое рабочее напряжение (до 6 В), сложность кон-струкции, высокая стоимость использу-емых электролитов (RbAg4I5 — ионный суперпроводник). Стоит отметить, что существующие ионисторы не подда-ются компактированию ввиду необхо-димости заданного объема пористого материала, формирующего «электро-ды» конденсатора.

Использование пассивных эле-ментов емкости как в интегральной микроэлектронике, так и в дискретных пассивных элементах делает необходи-мым получение унифицированных ком-пактных конденсаторов, т.е. пассивных электронных компонентов с как можно более широким диапазоном характе-ристик.

Основной идеей создания сверх-ъемкого керамического конденсатора нового поколения стала необходи-мость совместить в одном изделии все преимущества перечисленных типов устройств — сделать универсальный конденсатор с возможностью его применения как во многих областях микроэлектроники, так и в электро-энергетике в качестве силового кон-денсатора. Керамические материалы характеризуются высокой диэлек-трической проницаемостью, низким значением потерь и ТКЕ на высоких частотах; оксидные ди электрики — высокой электриче ской прочностью, а в ионисторах реализован принцип использования пористых материалов с развитой поверхностью. Почему бы не объединить все эти качества в одном устройстве?

Так, исследовательская группа нашей компании провела разработку унифицированной технологии полу-чения нового класса конденсаторов, сочетающего в себе достоинства кера-

мических, электролитических конден-саторов и ионисторов.

Увеличить емкость конденсатора можно тремя основными способами — за счет использования диэлектрика с высоким значением диэлектрической проницаемости, уменьшением тол-щины слоя диэлектрика и большой поверхности обкладок.

В качестве основы для создания кон-денсатора (и одной из обкладок) нами рассматриваются различные пористые подложки — анодированный алюми-ний, пористый кремний, пористые угле-родные материалы и т.д., но наиболее перспективным, на наш взгляд, являет-ся графит и материалы на его основе, такие как графитовая фольга из термо-расширенного графита.

Почему графит?

Это электропроводящий материал, который может служить обкладкой. Он обладает развитой открытой пористой структурой, что позволяет увеличить емкость, а также высокой теплопро-водностью, что исключительно важно для устройств, работающих в высоко-частотном режиме. Графит радиацион-но-стоек, отличается необходимым комп лексом физико-механических харак теристик, а главное — широко распространен и как следствие дешев. К уникальным свойствам графита также следует отнести инертность и термо-стабильность до 450°С в окислительных средах и до 1000°С — в вакууме.

Электронная фотография структуры графитовой фольги представлена на рисунке 2.

Удельная поверхность материала составляет несколько десятков м2/г. При модификации поверхности графи-та получается ряд уникальных мате-риалов (см. рис. 3), которые могут стать основой для улучшения характеристик емкостных устройств.

Другой перспективный материал — анодированный алюминий — также обладает пористой структурой, причем упорядоченной (см. рис. 4). Поверх-ность пор образована диэлектриком — оксидом алюминия, что затрудняет использование материала в качестве обкладки конденсатора. Для того чтобы добиться значений удельной поверхно-сти, характерных для графитовой фоль-ги, необходимо 200-мкм анодирование алюминия (при диаметре поры 100 нм). Это долгий, сложный и дорогой про-цесс. То же самое касается и пористого кремния, за исключением того, что он образует проводящие структуры (при условии легирования исходного мате-риала).

Гораздо больший интерес для соз-дания конденсатора представляют проводящие кремниевые структу-ры (см. рис. 5), получаемые методом скользящего углового осаждения (GLAD — Glancing Angle Deposition). Они обладают большой удельной поверхностью (несколько сотен м2/г) и открытой упорядоченной пористой структурой.

Графитовая фольга не обладает упо-рядоченной структурой, но ее физиче-ские характеристики, простота полу-чения и низкая стоимость делают ее основой нашей разработки.

Рис. 4. Микроструктура анодированного алюминияРис. 5. Микроструктура тонкой пленки, полученной технологией GLAD

Page 44: Электронные компоненты №6/2010

44

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

Рис. 6. Емкостные характеристики диэлектриче-ских композитов на основе оксида титана и оксида алюминия

От природы диэлектрика зависят основные электрофизические свойства конденсатора. Мы не стали использо-вать керамику с высоким значением диэлектрической проницаемости (уве-личение емкости в устройстве осу-ществляется за счет поверхности), т.к. электрическая прочность таких мате-риалов низкая, а цена, наоборот, высо-кая. Кроме того, сегнетоэлектрики не отличаются стабильностью емкости и малыми диэлектрическими потерями.

Диэлектриком в нашем случае явля-ется композит оксида титана (в модифи-кации — рутила) с оксидом алюминия в аморфной модификации. Толщина слоя диэлектрика — несколько десят-ков нм, при этом рабочее напряжение

конденсатора 20 В, что достигается благодаря оксиду алюминия. За счет оксида титана повышается общая диэ-лектрическая проницаемость компо-зиции (см. рис. 6), улучшаются частот-ные характеристики.

Главной технической задачей по созданию керамического конденса-тора высокой удельной емкости был процесс нанесения диэлектрика на всю развитую поверхность материала. Решением стал метод атомно-слоевого осаждения (Atomic Layer Deposition), или метод молекулярного наслаива-ния (МН). Основы данной технологии были разработаны в нашей стране в 1980-е гг. Процесс заключается в пода-че газообразного прекурсора в рабо-

Рис. 8. Построение емкостного элемента на основе структурированной пористой системы (анодированный алюминий, GLAD-подложки, PVD-ALD-платформы)

Рис. 7. Схема метода молекулярного наслаивания

Таблица 1. Сравнение конденсаторов различных типов фирм — производителей Bargan Technology, Murata, CAP-XX

Параметры

Ёмкость, мкФ 8000 100 75000

Рабочее напряжение, В 20 6,3 4,5

Удельная емкость, мкФ/мм3

320 12 96

Удельная энергия, Дж/см3

100 0,238 0,97

Размеры, мм 5,5×5,5×1 3,2×1,6×1,6 20×15×2,6

Возможность работы на высоких частотах да да нет

Цена, руб. 160 50—80 510

чую камеру установки, его адсорбции на поверхности подложки, подачи гидролизующего агента, который при взаимодействии с адсорбированным прекурсором образует молекулу диэ-лектрика на поверхности. Нанесение заданной толщины диэлектрика состо-ит из большого числа повторяющихся циклов, поэтому толщину слоя можно регулировать с точностью 1 нм (см. рис. 7).

Принцип построения конденсато-ра на основе упорядоченно-пористой диэлектрической платформы показан на рисунке 8.

Вторая обкладка конденсатора также наносится методом атомно-слоевого осаждения и представляет собой тонкую конформную пленку нитрида титана на поверхности предваритель-но напыленного слоя диэлектрика. Напыление диэлектрика и «обкладки» происходит в одном технологическом цикле при подаче в камеру различных прекурсоров. Следует отметить, что данный метод решает серьезные про-блемы производства керамических конденсаторов — обжиг диэлектрика (материал получается необходимой модификации без дополнительной термической обработки) и вжигание обкладки из благородного металла в поверхность диэлектрика.

Преимуществом конструкции «по-ристая обкладка-диэлектрик-обклад-ка» перед существующими многослой-ными керамическими конденсаторами является отсутствие высокочастотных шумов, обусловленных тепловым рас-ширением диэлектрических слоев при работе в высокочастотном режиме. В настоящее время это актуальная про-блема для таких гигантов конденса-торостроения как фирма Murata. Для того чтобы увеличить емкость кера-мического конденсатора, необходи-мо увеличить число чередующихся проводящих и диэлектрических пла-стин, что, в свою очередь, увеличи-вает интенсивность «паразитных» шумов. При создании конденсатора на пористой графитовой подложке слой диэлектрика один и весьма тонкий, а графит за счет своей высокой тепло-проводности отводит выделяющееся в системе тепло.

Проведем сравнение нашего кон-денсатора с зарубежными аналогами по основным эксплуатационным параме-трам и стоимости изделия. Поскольку целью нашей работы было создание универсального конденсатора, способ-ного удовлетворять нуждам как микро-электроники, так и электроэнергетики, для сравнения взяты лучшие керамиче-ские конденсаторы, представленные на рынке (Murata, по нашей классифика-ции — конденсаторы 2-го типа) и элек-тролитические конденсаторы CAP-XX.

Page 45: Электронные компоненты №6/2010
Page 46: Электронные компоненты №6/2010

46

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

Рост скорости передачи сигналов, функциональной сложности и надеж-ности устройств различного класса требуют от разработчиков приме-нения разъемов с недостижимыми ранее характеристиками. В статье представлены основные направления совершенствования конструк-ции и технологии разъемов, а также приведен обзор новых решений в области разъемов для передачи высокоскоростных сигналов и силовых разъемов.

СОВРЕМЕННЫЕ ИННОВАЦИОННЫЕ РЕШЕНИЯ ДЛЯ ВЫСОКОКАЧЕСТВЕННЫХ РАЗЪЕМОВПАВЕЛ УСАЧЕВ, техн. консультант, ИД «Электроника»

В настоящее время значение выбо-ра качественного разъема для разра-батываемой электронной аппаратуры нельзя переоценить. Можно потра-тить годы на многомиллионный про-ект, однако его успех может оказаться под сомнением из-за недостаточного качества применяемых разъемов. В 2008 г. во время ажиотажа, вызван-ного появлением телефона iPhone 3G, многие специалисты по сервису и ремонту мобильных устройств среди наиболее проблемных узлов данного продукта отмечали ненадежное кре-пление гнезда для подключения нау-шников, слишком тугие ZIF-разъемы, слабую опору для разъемов док-станции и некачественные разъемы главной платы.

По оценкам специалистов, более 50% отказов электронных устройств происходит из-за недостаточного каче-ства разъемов. Поэтому мировые про-изводители в условиях усложнения продуктов и расширения их функцио-нальности тратят огромные усилия на повышение характеристик разъемов. Основными направлениями работ по

Рис. 1. Радиочастотные разъемы SMA, SMP/SMK и mini-SMP/GPPO/SSMP

совершенствованию качества разъе-мов являются:

– разработка новых конструктив-ных решений;

– выбор более качественных мате-риалов;

– разработка новых технологиче-ских процессов изготовления;

– разработка новых методов мон-тажа разъемов на плату.

Последние успехи в проектирова-нии конструкции, технологии изготов-ления и материаловедении позволили создать разъемы с беспрецедентными характеристиками. В данной статье рас-смотрены новые технические решения в области разработки разъемов для передачи высокоскоростных сигналов и силовых разъемов, которые позволи-ли существенно улучшить их основные качественные характеристики — дол-говечность, срок службы, наработка на отказ.

РАЗЪЕМЫ ДЛЯ ПЕРЕДАЧИ

ВЫСОКОСКОРОСТНЫХ СИГНАЛОВ

Увеличение скорости передачи дан-ных в системе, а также расширение

числа мобильных приложений стиму-лируют поиск инновационных реше-ний в области разъемов для передачи высокоскоростных сигналов. В насто-ящее время перед производителя-ми систем поставлена цель создания надежного 40-Гбит/с интерфейса, что требует нового подхода к разработке разъемов. Основными направления-ми развития разъемов для передачи высокоскоростных сигналов являются: миниатюризация, монтаж разъемов на плату с охватом с двух сторон (straddle mounting), улучшение заземления, использование избыточных контактов и др.

Миниатюризация. Поскольку часто-та передачи сигналов увеличивается, время нарастания и спада импуль-сов становятся более короткими. При уменьшении времени нарастания и спада сигнала до величины, сравни-мой с задержкой сигналов на разъ-еме, он начинает оказывать замет-ное влияние на качество сигнала. На частоте 100 МГц, например, при 2-нс времени нарастания и спада сигнала 50-пс задержка на выводе длиной 1,5 см составляет менее 2,5% от фронта сигнала. При таком отношении вре-мени нарастания фронта сигнала к задержке (40:1) вывод разъема почти не оказывает влияние на прохожде-ние сигнала. Однако при скоростях выше 1 Гбит/с контакты разъема могут существенно ухудшать распростране-ние сигнала.

Кроме того, на характеристики разъ-ема может отрицательно влиять пла-стик низкого качества, расположенный около сигнальных контактов. Большая диэлектрическая проницаемость пла-стика замедляет распространение сигналов, увеличивая задержку на разъеме. Производители высококаче-ственных разъемов используют пластик с малой величиной диэлектрической

Page 47: Электронные компоненты №6/2010
Page 48: Электронные компоненты №6/2010

48

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

Рис. 2. Разъем SMA захватывает обе стороны печатной платы, так что сигнальный вывод лежит в плоскости верхнего слоя платы

Рис. 3. В разъеме ErMet ZD компании ERNI Electronics сигнальные выводы чередуются с заземленными металлическими пластинами

Рис. 4. Для увеличения надежности соединения в гиперболоидном разъеме компании Sabritec используется множество контактных точек

проницаемости, который обеспечивает низкие диэлектрические потери.

Очевидным способом улучше-ния характеристик разъема явля-ется миниатюризация их размеров. Например, разъемы mini-SMP компа-нии Rosenberger рассчитаны на частоту до 64 ГГц (см. рис 1). По конструкции они не отличаются от других радиоча-стотных разъемов, только их размеры меньше.

Однако необходимо помнить, что размеры разъемов должны соот-ветствовать технологическим допу-скам автоматизированных сборочных машин. Переход со сборочного обо-рудования, рассчитанного на монтаж DIP-компонентов с шагом выводов 0,1 дюйм, на машины для SMT-монтажа с шагом 0,025 дюймов занял около 20 лет. За это время скорости передачи сигна-лов возросли в 100 раз. Ясно, что для миниатюризации разъемов требуется некоторый временной лаг, достаточ-ный для создания нового оборудова-ния для сборки.

Монтаж разъемов на плату с охва-том с двух сторон (straddle mounting). Рассмотрим угловой разъем, смонти-рованный на обычной печатной плате. В таком разъеме сигнальные выво-ды изгибаются под углом 90°, чтобы обеспечить их соединение с гори-зонтально расположенными прово-дниками платы. Если длина выводов разъема велика по сравнению с вре-менем нарастания и спада сигналов, то в таких разъемах трудно обеспечить

хорошее качество передачи сигнала. В обычном краевом разъеме эта пробле-ма только усиливается, т.к. его выводы должны быть изогнуты дважды.

Монтаж разъемов на плату с охва-том с двух сторон помогает решить эту проблему. Ось такого разъема совпа-дает с верхней поверхностью печатной платы, что позволяет исключить изгиб сигнальных проводников (см. рис. 2). В разъемах интерфейсов USB и FireWire используется подобный подход в мно-гоконтактной конфигурации.

Улучшение заземления. Для умень-шения перекрестных и электромаг-нитных помех важно обеспечить как можно более надежное заземление. Для разъемов это означает исполь-зование максимального количества выводов для заземления. В разъеме ErMet ZD компании ERNI Electronics сиг-нальные выводы чередуются с зазем-ленными металлическими пластина-ми, что положительно сказывается на характеристиках разъема (см. рис. 3). Размещенные рядом с сигнальными выводами металлические пластины создают геометрию, весьма похожую на полосковую конфигурацию печат-ных плат. Полосковые линии обеспе-чивают почти идеальную передачу сигнала. Разъемы, содержащие миниа-тюрные печатные платы, используют похожий принцип.

Избыточность контактов. Для военных и других приложений, требую-щих повышенную надежность, приме-няют разъемы, содержащие множество точек контакта. Если одна точка теряет контакт, множество других продолжает проводить ток.

Каждая точка контакта имеет намно-го меньшую массу, чем сравнительно крупная и жесткая конструкция выво-дного разъема. Это делает такой разъ-ем стойким к механическим вибрациям с высокой частотой и большой ампли-тудой, например, в случае падения портативного компьютера. Примером такого подхода может служить разра-

ботанный компанией Sabritec гипербо-лоидный разъем (см. рис. 4).

В настоящее время высоконад-ежные разъемы слишком дороги для использования в бытовой электро-нике, однако, в конечном итоге, про-изводители разъемов найдут способ использования избыточных точек кон-такта в продуктах широкого потре-бления.

РАЗЪЕМЫ ДЛЯ ПЕРЕДАЧИ

ВЫСОКОСКОРОСТНЫХ СИГНАЛОВ

В ОБЪЕДИНИТЕЛЬНОЙ ПЛАТЕ

STRADA WHISPER

Наиболее высокие требования предъявляются к разъемам, которые используются в системах с архитекту-рой на базе объединительной платы. Некоторые компании объявили о соз-дании разъемов, рассчитанных на ско-рость передачи сигнала до 40 Гбит/с, однако, в реальности, достижение этих показателей пока остается лишь в пер-спективных планах, т.к. пока нет даже эффективного метода измерения харак-теристик таких сигналов и их гармоник.

Тем не менее, компания Tyco Electronics представила техноло-гию разъемов для объединительной платы, которая рассчитана на скорость передачи сигнала более 25 Гбит/с и в перспективе — до 40 Гбит/с. Одним из инновационных решений этой тех-нологии, которая получила название Strada Whisper (см. рис. 5), являются выводы MAP (Micro Action Pins), кото-рые обеспечивают низкий уровень помех (менее 1% при 20-пс фронте сигнала). Для улучшения характери-стик разъема и увеличения гибкости выводов их диаметр был уменьшен с 0,6 до 0,22 мм. В разъеме использу-ется индивидуальное экранирование дифференциальных пар, что позволи-ло существенно улучшить целостность сигнала и снизить электромагнитные помехи. Технология обеспечивает отсутствие фазового сдвига между сигналами.

Page 49: Электронные компоненты №6/2010

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

49

Электронные компоненты №6 2010

Рис. 5. Разъемы для передачи высокоскоростных сигналов в объединительной плате Strada Whisper от Tyco Electronics

Рис. 6. В разъеме Tribotek медные проводники, навитые на волокна из кевлара, удерживаются в натяну-том положении для надежного контакта с сопрягаемым медным выводом

В ней также используется принцип избыточности контакта сопрягаемых поверхностей.

СИЛОВЫЕ РАЗЪЕМЫ

Создание разъемов, рассчитанных на малые потери и высокий ток (более 50 A), представляет серьезную техни-ческую задачу. Для таких разъемов требуется обеспечить малое контакт-ное сопротивление, что позволяет минимизировать падение напряжения для того, чтобы упростить схему ста-билизации напряжения. Более низкое сопротивление позволяет также сни-зить потери мощности (I2R), что обе-спечивает уменьшение температуры контактов, улучшает надежность и делает разъемы более компактными.

Кроме того, большое значение имеет малое усилие при сопряжении и разъединении разъемов. Уменьшение этого усилия оказывает положительное влияние на минимизацию износа рабо-чей поверхности контакта — главного фактора отказов в разъемах.

Работы по совершенствованию силовых разъемов направлены на разработку улучшенных покрытий и материалов рабочей поверхности кон-тактов, а также модификацию формы выводов для минимизации усилий при сопряжении разъемов.

Для того чтобы увеличить площадь поверхности контакта и уменьшить контактное сопротивление, произво-дители разъемов используют поли-ровку и различные покрытия сопря-

гаемых поверхностей разъемов. Однако ток не протекает через всю поверхность контакта. Под микроско-пом видно, что полированные сопря-гаемые поверхности состоят из пиков и впадин. Электрический ток прохо-дит именно по этим шероховатостям, которые на самом деле находятся в контакте. Процентное отношение площади поверхности, которая реаль-но проводит ток, к общей площади сопрягаемых поверхностей весьма мало.

Производители разъемов могут преодолеть эти ограничения, исполь-зуя следующие методы снижения кон-тактного сопротивления.

1. Увеличение площади сопряже-ния, что обеспечивает большее количе-ство микроскопических точек контакта. В результате размеры разъема и его стоимость увеличивается.

2. Увеличение нормальной состав-ляющей силы сжатия двух сопрягае-мых поверхностей, в результате чего слегка деформируются шероховатости и, тем самым, увеличивается площадь рабочей поверхности контакта. В итоге увеличивается коэффициент трения в разъеме, что затрудняет его сопря-жение или вынуждает использовать специальный механизм, который обе-спечивает дополнительное усилие при соединении разъема.

3. Использование специально-го производственного процесса для уменьшения шероховатости поверхно-сти.

Необходимость применения меха-нического усилия при сопряжении п о в е р х н о с т е й разъема застави-ла искать компро-миссные решения в конструкции разъема. Выбор меди в качестве материала для с о п р я г а е м ы х поверхностей был сделан из-за ее низкого сопротив-

ления и доступности по цене. Однако медь имеет плохую механическую упругость. Если бы обе контактные поверхности были из чистой меди, в разъеме необходимо было бы пред-усмотреть дополнительную пружину для сохранения контакта, что суще-ственно удорожает конечный продукт.

Более практичным решением явля-ется выбор материала одновременно с хорошей проводимостью и упруго-стью, такого как бериллиево-медный сплав или оловянно-медный сплав. Несмотря на то, что проводимость этих сплавов уступает чистой меди, их легко изготовить в виде пружины. Это реше-ние широко используется в настоящее время в недорогих разъемах.

Поиск оптимального решения, кото-рое позволило бы преодолеть ограни-чения существующих силовых разъе-мов, проводят многие компании.

В результате исследований в Массачусетском технологическом институте был разработан прототип силового разъема со значительно улуч-шенными свойствами. Разъем Tribotek, как он был назван позднее, имеет очень малое контактное сопротивление, а также весьма малые усилия сопряже-ния без существенного увеличения раз-меров разъема.

Малое контактное сопротивление разъема Tribotek обеспечено за счет максимального увеличения числа точек контакта, а не за счет увеличения пло-щади поверхности контакта или более

Page 50: Электронные компоненты №6/2010

50

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

Рис. 7. В разъеме PowerBud — два ряда контактов, каждый из которых имеет две контактные точки

тонкой полировки соприкасающихся поверхностей.

Гнездовой разъем состоит из мед-ной оплетки вокруг неэластичных нитей из кевлара, которые находятся в натянутом состоянии для создания множества точек контакта по всему периметру вставленного вывода (см. рис. 6). Как видно из рисунка, каждый медный проводник оплетки имеет, по крайней мере, четыре точки контак-та с сопрягаемым выводом. Струна из кевлара необходима только для того, чтобы обеспечить достаточное усилие при сопряжении контактных поверх-ностей.

Медная оплетка создает массив из параллельных точек контакта, что существенно снижает общее сопро-тивление разъема. Малое контактное сопротивление означает меньшее количество генерируемого тепла и меньшие потери мощности. Данная технология позволяет обеспечить ток через разъем до 500 А с очень малым падением напряжения и весьма небольшим усилием вставки.

Однако изготовление такого разъе-ма трудоемко и дорого, следовательно, оно не предназначено для широкого использования.

В результате дальнейшего развития технологии, которая использовалась при создании разъема Tribotek, был создан новый класс силовых разъемов PowerBud, которые позволили преодо-леть основные ограничения силовых разъемов.

В разъеме PowerBud используются два ряда проводников, расположен-ных один над другим для создания массива параллельных точек контак-та (см. рис. 7). Вместо чистой меди в

качестве контактов разъем PowerBud использует специально разработанный медный сплав, который по характери-стикам превосходит широко распро-страненный бериллиево-медный сплав. Проводники имеют большее попереч-ное сечение, чем в разъеме Tribotek, чтобы частично компенсировать более высокое сопротивление сплава.

Высококачественный медный сплав легко изготавливается с помо-щью автоматизированного процесса. Кроме того, каждый вывод из медно-го сплава содержит небольшое углу-бление для создания двойной точки контакта.

Как и Tribotek, технология PowerBud позволяет снизить как контактное сопротивление, так и нормальную составляющую силы контакта без уве-личения размеров разъема. В резуль-тате разъем демонстрирует меньшие усилия вставки, нагрев контактов, поте-ри мощности и больший циклический ресурс, чем обычные сильноточные разъемы (см. табл. 1).

ЛИТЕРАТУРА1. Howard Johnson. Data rates, mobile

drive high-speed connector innovation//www.embedded.com.

2. Patrick Mannion. Connector com-mo ditization belies massive innovation//www.embedded.com.

3. Strada Whisper High Speed Backplane Connector System. White Paper. March 2010//www.tycoelectronics.com.

4. Russ Larsen, Forrest Sass. A New Class of Power Connector//Power Systems Design North America. January/February 2010.www.powersystemsdesign.com.

Таблица 1. Сравнение характеристик разъема PowerBud и обычного силового разъема

ПараметрРазъем PowerBud

Обычный силовой разъем

Падение напряжения при

токе 240 A, мВ12 28

Нагрев при токе 240 A, ºC 30 43

Усилие сопряжения, N 4 21

Усилие разъединения, N 4 13

Срок службы, число

циклов10000 1000

НОВОСТИ МИКРОКОНТРОЛЛЕРОВ

| БЮДЖЕТНЫЙ КОМПЛЕКТ РАЗРАБОТЧИКА ДЛЯ MSP430 | MSP-EXP430G2 позволяет разработчикам осуществлять быструю

отладку и программирование измерительных, охранных, промышленных систем и других чувствительных к затратам прило-

жений. Компания Texas Instruments выпускает новый комплект разработчика MSP-EXP430G2 (LaunchPad) на базе микрокон-

троллеров MSP430™ Value Line. При стоимости чуть более 6 долл. США новый комплект включает все необходимое аппарат-

ное и программное обеспечение для легкого запуска проектов на базе МК MSP430G2xx Value Line. Эти микроконтроллеры

обеспечивают 10-кратный рост производительности и 10-кратное увеличение времени автономной работы по сравнению с

более дешевыми 8-разрядными МК. Поскольку все микроконтроллеры MSP430 совместимы по программным кодам, то раз-

работанные с применением комплекта MSP-EXP430G2 (LaunchPad) решения могут быть органично перенесены на любые

другие МК MSP430.

www.russianelectronics.ru

Page 51: Электронные компоненты №6/2010
Page 52: Электронные компоненты №6/2010

52

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

В статье обсуждаются методы построения полосового фильтра. Показано, как с помощью простой схемы пассивного полосового фильтра можно обеспечить низкую стоимость и малое энергопотребление раз-рабатываемого устройства. Статья представляет собой сокращенный перевод [1].

ПОЛОСОВОЙ ФИЛЬТР: НЕ ПРЕНЕБРЕГАЙТЕ КАТУШКОЙ ИНДУКТИВНОСТИКЕНДАЛ КАСТОР-ПЕРРИ (KENDALL CASTOR-PERRY)

Часто перед разработчиками встает задача получения заданных характе-ристик схемы при ограниченной стои-мости проекта и жестких требованиях по мощности потребления. Как пока-зывает практика, поиск оптимального варианта иногда приводит к решению, которое позволяет достичь поставлен-ной цели с минимальными затратами на базе хорошо известных, но уже отча-сти забытых принципов.

В данной статье показано, как можно построить схему полосового фильтра при весьма ограниченном бюджете мощности потребления. Речь идет о полосовом фильтре с различными цен-тральными частотами в ультразвуковой области, где должен работать устарев-ший пульт дистанционного управления. Для надежного функционирования устройства требуется передача сигна-лов на этих частотах с достаточно хоро-шей избирательностью.

Конечное оборудование серийного производства должно работать в широ-ких пределах изменения условий внеш-ней среды, что требует прогнозируемо-го поведения устройства в диапазоне температур при допустимом разбросе номиналов компонентов. Кроме того, устройство должно быть недорогим, поэтому нет возможности использовать какие-либо особые компоненты, напри-мер изготовленные из анобтаниума 1.

Требуемая избирательность предпо-лагает построение 4-полюсных филь-тров с 10-% полосой пропускания (т.е., ширина полосы пропускания примерно равна 10% от центральной частоты). Есть много способов реализации таких схем на базе довольно простого актив-ного фильтра. Однако данный фильтр должен потреблять мимимум энергии. По крайней мере один из этих фильтров постоянно находится во включенном состоянии, а максимально допустимый ток покоя системы, включая средний

ток потребления всех цифровых цепей, составляет 30 мкА. Поэтому построе-ние такого фильтра на базе операцион-ных усилителей, которые потребляют значительный ток, вызывало сильные сомнения. Чем ниже собственный ток потребления усилителя, тем ýже шири-на полосы пропускания при разомкну-той цепи обратной связи усилителя. А чем меньше отношение ширины поло-сы пропускания при разомкнутой цепи обратной связи к центральной частоте фильтра, тем больше проблем придет-ся решать при проектировании такого фильтра.

Есть полезное эмпирическое пра-вило, которое позволяет оценить каче-ство фильтра. Для этого нужно найти произведение f0Q и соотнести его с произведением коэффициента уси-ления на ширину полосы пропуска-ния усилителя. Допустим, мы строим фильтр с центральной частотой 40 кГц и 10-% полосой пропускания, следова-тельно, Q в этом диапазоне равно 10. Произведение f0Q получается равным 400 кГц (Q — безразмерная величина). Теперь разделим на эту величину про-изведение (коэффициент усиления ×

× ширина полосы пропускания усили-теля) и получим некоторый коэффици-ент отношения. Эмпирическое правило гласит: если полученный коэффициент меньше десяти, то при проектирова-нии такого фильтра могут возникнуть дополнительные проблемы.

Попытки построения фильтра на базе быстродействующего усилите-ля с достаточно низким током потре-бления предпринимались ранее. Произведение коэффициента усиления на ширину полосы пропускания (GBW) такого усилителя составляет величину, равную примерно 350 кГц. Однако опыт построения фильтров говорит о том, что нельзя добиться предсказуемого и стабильного функционирования филь-

тра, в котором используется усилитель с таким малым отношением GBW/f0Q. Хотя можно так подобрать номиналы компонентов, что отдельно взятый образец усилителя мог бы обеспечить частотную характеристику, похожую на желаемую кривую (по крайней мере, в полосе пропускания фильтра), откло-нения характеристик разных партий в условиях массового производства, а также при изменении температуры и питающего напряжения не позволят обеспечить надежную работу фильтра.

Может быть, найти более быстрый усилитель с достаточно низким током потребления? Однако даже самый лучший из представленных сегод-ня на рынке усилителей (MAX9914 с GBW, равным 1 МГц, и номинальным током потребления 20 мкА) все же не отвечает полностью данному крите-рию и, кроме того, учитывая, что для 4-полюсного фильтра нужно по край-ней мере два усилителя, он не позво-ляет обеспечить допустимые значения потребляемого тока.

Очевидно, в данном случае необ-ходим другой подход для построения фильтра. И решение было найдено в виде хорошо известной схемы пассив-ного фильтра, в котором используются катушки индуктивности, а также кон-денсаторы и резисторы, и нет ника-ких усилителей. Защитники активных фильтров в качестве аргументов про-тив пассивных фильтров обычно приво-дят стоимость, размеры и вес катушек индуктивности.

Предложена простая схема, кото-рая построена на базе узкополосного фильтра с емкостной связью. Ниже при-ведена последовательность шагов про-ектирования данной схемы.

1. Требуемая величина импедан-са Zin должна быть не менее 100 кОм, поэтому выберем значение импеданса 200 кОм, так как два фильтра должны

1 Анобтаниум — минерал из нашумевшего фантастического фильма Джеймса Камерона «Аватар», стоимость которого составляет 20 млн. долл. за один кг (прим. пер.).

Page 53: Электронные компоненты №6/2010
Page 54: Электронные компоненты №6/2010

54

ПА

СС

ИВ

НЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

быть постоянно включены параллель-но на сигнальном входе.

2. Ширина полосы пропускания составляет 10%, поэтому разделив 200 кОм на 10, получим требуемый импеданс катушки индуктивности на центральной частоте — 20 кОм.

3. Выбрав центральную часто-ту фильтра 30 кГц, мы можем рассчи-тать индуктивность катушки, разде-лив 20 кОм на (2π.30 кГц). В результате получим около 0,1 Гн. Поиск в каталоге DigiKey позволил найти катушки индук-тивности серии 70F фирмы Bourns с допуском 5% (www.bourns.com/data/

Рис. 1. Электрическая схема пассивного полосового фильтра

Рис. 2. Частотная характеристика фильтра

global/pdfs/70F_series.pdf). В данной серии была выбрана катушка 70F101AF-RC. Добротность этой катушки намного превышает 10, а собственная частота колебаний выше 30 кГц, поэтому она хорошо подходит к нашему проекту.

4. Изучив параметры катушек индуктивности данной серии, мы обнаружим, что ни одна из них не идеальна. Путем расчета получим, что для выбранной катушки индуктивно-сти величина межвитковой емкости Cpar равна 10,3 пФ при собственной частоте резонанса 157 кГц. Катушка имеет номинальную добротность 48 на частоте 79 кГц, при которой импеданс катушки составляет 49637 Ом. Если бы единственной причиной потерь было последовательное сопротивление Rser, равное 287 Ом, то мы получили бы добротность катушки 49637/287 = = 173. Поэтому добавим шунтирующее сопротивление, которое обеспечило бы добротность 1/(1/48 – 1/173) = 66,4. Это сопротивление Rshunt будет равно 66,4 . 49637 = 3,29 МОм.

5. Рассчитаем необходимую ем-кость резонанса для индуктивности 0,1 Гн на частоте 30 кГц и вычтем Cpar. Получим 271 пФ. Теперь возьмем два резонансных контура и объединим их вместе с конденсатором, равным

емкости резонанса, деленной на Q, или 28 пФ. Уменьшив рассчитанную емкость каждого резонансного конту-ра на половину этой емкости, получим 257 пФ. Полученная схема показана на рисунке 1.

На рисунке 2 показана частотная характеристика фильтра. Как видно, она достаточно хорошо соответствует требованиям, предъявленным к схеме.

Следует отметить, что выбранная катушка индуктивности имеет доволь-но большие физические размеры. Но в данном случае особых требований по объему разрабатываемого устройства нет. Цена катушек в небольшой партии немного выше стоимости резисторов и конденсаторов. Однако при массовом производстве устройств подходящие катушки можно найти по намного более низкой цене при поставках оптовыми партиями. Дальнейшая оптимизация схемы позволила бы подобрать катуш-ку с меньшей добротностью, что умень-шило бы ее размеры и стоимость.

Анализ методом Монте-Карло пока-зал, что схема достаточно устойчива к разбросу номиналов компонентов, а современные катушки с ферритовым сердечником, как правило, имеют весь-ма низкий температурный коэффици-ент магнитной проницаемости. Не сле-дует только забывать, что этот фильтр не рассчитан на постоянный ток.

Данный пример построения схемы полосового фильтра показывает, что иногда не следует пренебрегать хоро-шо знакомой катушкой индуктивности, которая позволяет создать работоспо-собную схему при ограниченном бюд-жете потребляемой энергии.

ЛИТЕРАТУРА1. Kendall Castor-Perry. «Fainting in Coils:

Filters and their Inductors»//www.planetanalog.com.

НОВОСТИ ТЕХНОЛОГИЙ

| В РОССИИ ПОЯВИТСЯ «НАЦИОНАЛЬНАЯ ОПЕРАЦИОННАЯ СИСТЕМА» | Удивительное рядом: наряду с «национальным

поисковиком» в России вскоре может быть создана «национальная операционная система», предназначенная для внедрения

в госсекторе.

Стало известно, что государственная корпорация «Ростехнологии» покупает долю в ООО «Альт Линукс», разработчике

программного обеспечения с открытым кодом и платформ на базе ядра Linux. Сообщается, что сделка по приобретению

блокирующего пакета в этой компании находится на завершающей стадии и уже имеется договорённость о доведении

доли госкорпорации до контрольной. Предполагается, что существующие проекты «Альт Линукса» будут развиваться для

использования в сфере образования и ввода в эксплуатацию «электронного правительства».

Одновременно появилась информация о том, что инвестиционный фонд NGI, имеющий российские корни, приобрёл

миноритарный пакет во французском разработчике Linux-систем Mandriva. По мнению экспертов, в обоих случаях речь

идёт о создании «национальной операционной системы». Организаторы проектов, разумеется, претендуют на хорошее

госфинансирование для последующего внедрения своих решений в органах власти, государственных учреждениях и

предприятиях оборонного комплекса.

В какую сумму может вылиться создание чрезвычайно важной для страны «национальной ОС», пока не ясно; объём

госзаказа на поставку ИТ-продукции оценивается в 12 млрд долл. ежегодно.

www.russianelectronics.ru

Page 55: Электронные компоненты №6/2010
Page 56: Электронные компоненты №6/2010

56

WWW.ELCP.RU

Множество производителей и пользователей пре-цизионного электронного оборудования вынуждено без особой нужды мириться с нестабильностью и дрей-фом параметров, вызванных шумовыми эффектами. Эти эффекты часто пытаются компенсировать постоянными подстройками и диагностикой, включая программируе-мую подстройку, требующую использования програм-мируемых логических компонентов, ЦАП и АЦП, а также создания дорогостоящего программного обеспечения. Неустойчивость работы часто является результатом неста-бильности резисторов. Если используемые резисторы ста-бильны в своих номиналах при различных воздействиях тока, напряжения и температуры, то для них не требуются дорогостоящие схемы контроля и компенсации. Только резисторы Bulk Metal® Foil компании Vishay могут удовлет-ворять всем требуемым характеристикам стабильности.

Следующие технические характеристики резисторов, выполненные по технологии Bulk Metal® Foil компании Vishay (см. рис. 1), уникальны и не повторяются у резисто-ров, изготовленных по другим технологиям:

– низкий температурный коэффициент сопротивле-ния — до 0,2 ppm/°C и менее;

– точность исполнения номинала — 0,001%;

КОНСТАНТИН КАЛАЕВ, инженер, компания «Экомаль» (Vishay)

Линейность и шумовые

характеристики резисторов Bulk

Metal® Foil компании Vishay

Рис. 1. Резисторы компании Vishay, изготовленные по технологии Bulk Metal® Foil

Рис. 2. Классификация изученных причин токовых шумов в резисторах

– отсутствие ёмкостных и индуктивных составляющих в сопротивлении;

– уход номинала под воздействием полной нагрузки в течение 2000 ч при температуре 70°C составляет ±0,005%;

– устойчивость к электростатическому разряду величи-ной выше 25 кВ;

– время термостабилизации после шоковых темпера-турных воздействий — менее 1 с;

– уровень наводимой термоЭДС — менее 1 мкВ/°C;– низкий уровень токовых шумов;– высокая линейность, низкий уровень ухода номинала

при воздействии напряжения.Низким уровнем токовых шумов и высоким уровнем

линейности резисторы Bulk Metal® Foil компании Vishay обязаны материалам, из которых они изготовлены, а имен-но — фольге из специального сплава, нанесенного мето-дом холодной прокатки толщиной в несколько мкм.

Каждый резистор на практике имеет некоторую нели-нейность номинала (нелинейность ВАХ). Степень нели-нейности зависит от микрофакторов (внутренняя микро-структура резистивного материала) и макрофакторов (неточность лазерной подгонки, микрорастрескивание резистивного элемента из-за лазерной подгонки, неудо-влетворительного качества контактов между резистивным элементом и выводами).

Среди микроструктур наибольшей линейностью харак-теризуются чистые металлы и их сплавы, такие как фольга в резисторах Bulk Metal® Foil. При нанесении одинаковых материалов слоями тонких плёнок линейность улучшается. Меньшей линейностью обладают композитные материалы, например, резистивная металлокерамика в толстоплёноч-ных резисторах или карбоновые композиты в карбоновых композитных резисторах.

Макрофакторы, являющиеся причиной нелинейности в других типах резисторов, не значимы для резисторов, изготовленных по технологии Bulk Metal® Foil. На самом деле, лазерная обрезка топологии фольговых резисторов требуется только для устранения «неправильных» эле-ментов топологии, изменяющих путь протекания тока по резистивному элементу. Выводы фольгового резистора являются неотъемлемой частью резистивного элемента. Такой подход к конструкции резистора надежно страхует от нежелательных контактных явлений.

На рисунке 2 представлены известные причины возник-новения токовых шумов в современных резисторах.

Причиной термического шума является тепловое движение электронов в резистивном материале. Этот параметр ухудшается по мере увеличения номинала и тем-пературы. Понизить уровень термического шума можно, снижая номинал и температуру.

Уровень флуктуационного шума зависит от количества заряженных носителей и их флуктуации в единице объёма. Такой шум можно понизить, уменьшая ширину топологиче-ского рисунка или увеличивая ток.

Спектральная плотность напряжения при шумах обоих типов равномерно распределена в полном диа-

Page 57: Электронные компоненты №6/2010

57

Электронные компоненты №6 2010

пазоне частот. Уровень шумов такого типа не зависит от типа резистивного материала. Фликкер-шум обратно пропорционален распределению напряжения по часто-те. Его уровень всегда зависит от типа резистивного материала. Остаточный шум можно снизить, уменьшив ширину полосы частот, ток или увеличив удельное сопротивление резистивного материала, т.е. за счёт резисторов большей мощности или менее шумящего резистивного материала.

Карбонокомпозитные резисторы имеют весьма высо-кий уровень шумности, как и любые другие толстоплёноч-ные или тонкоплёночные материалы. Наименьшей шумно-стью обладают металлы и их сплавы (фольга, проволока). По этой причине резисторы, изготовленные по технологии Bulk Metal® Foil, являются наилучшим выбором для приме-нений с жёстко ограниченным уровнем шума.

Высокая точность исполнения номинала, являющаяся неотъемлемой характеристикой резисторов Bulk Metal®

Foil компании Vishay, даёт большие преимущества в схемах с малошумящими дифференциальными усилителями, кото-рые страдают от синфазных шумов. Такие шумы понижают частотный диапазон работы дифференциальных усилите-лей. Коэффициент ослабления синфазного сигнала изме-ряется в децибелах, зависит от коэффициента усиления усилителя и подбора резисторов в резистивном делителе в цепи обратной связи усилителя. При сравнении усилите-лей с одинаковыми коэффициентами усиления и номина-лами резисторов в цепи обратной связи, изготовленных по толстоплёночной технологии (с точностью 1%), тонко-плёночной технологии (с точностью 0,1%), технологии Bulk Metal® Foil (с точностью 0,01%) величина коэффициента ослабления синфазного сигнала составила 46, 66 и 86 дБ, соответственно. В других случаях усилители, в которых используются резисторы Bulk Metal® Foil, имеют более высокие значения коэффициента ослабления синфазного сигнала.

НОВОСТИ ДАТАКОМ

| УТВЕРЖДЕНА СПЕЦИФИКАЦИЯ СТАНДАРТА BLUETOOTH 4 | Организация Bluetooth SIG утвердила спецификацию бес-

проводного стандарта Bluetooth 4.0. Новая версия Bluetooth будет ориентирована на пониженное потребление энергии,

сообщается в официальном пресс-релизе.

Теперь производители устройств с модулями Bluetooth смогут предоставить свои решения на базе нового стандарта

для тестирования и сертификации на предмет соответствия его требованиям. После этого устройства с Bluetooth 4 смогут

выйти на рынок. Новый стандарт найдет применение в новых видах электроники, которые ранее не оснащались модуля-

ми беспроводного доступа. В частности, Bluetooth SIG считает перспективным использование стандарта в медицинской

технике, спортинвентаре и устройствах для обеспечения безопасности.

Спецификация Bluetooth 4 была представлена в декабре 2009 г. Сообщалось, что новая версия стандарта увеличит

дальность беспроводной связи, снизит потребление энергии во всех режимах, а также позволит создать устройства,

которые будут работать на протяжении нескольких лет от одной небольшой батареи. Ожидается, что первые устройства

с поддержкой Bluetooth 4 появятся до конца 2010 г.

www.russianelectronics.ru

Page 58: Электронные компоненты №6/2010

58

ВС

ТРА

ИВ

АЕ

МЫ

Е С

ИС

ТЕ

МЫ

WWW.ELCP.RU

О НОВИЗНЕ ПРОЦЕССОРОВ INTEL CORE I3/I5/I7. Часть 2*

ЛЕОНИД АКИНШИН, к.ф-м.н.

КОМПЬЮТЕР-НА-МОДУЛЕ В

КОНСТРУКТИВЕ COM EXPRESS

BASIC (KONTRON ETXEXPRESS-AI)

Коротко продук т Kontron ETXexpress-AI можно охарактеризо-вать как компьютер-на-модуле фор-мата COM Express Basic, обладающий расширенными графическими воз-можностями, повышенной произ-водительностью, конфигурируемой шиной PCI Express и надежной двух-канальной памятью с функцией кор-рекции ошибок ECC. Этот продукт призван обеспечивать высокую про-изводительность вычислений и гра-фики в задачах класса High End (см. рис. 4).

Интегрированный видеокон-троллер обеспечивает для Kontron ETXexpress-AI поддержку интерфей-са DisplayPort, стандарта OpenGL 2.1 и аппаратное ускорение функ-ций DirectX 10, что позволяет орга-низовать на основе данного изде-лия быструю высококачественную визуализацию. Графическая произ-водительность данного компьютера-на-модуле более чем в 2,5 раза превышает графическую производи-тельность решений на базе мобиль-ных чипсетов Intel GM45 и Intel GS45. Для использования в ответственных задачах продукт Kontron ETXexpress-AI оснащается ECC-памятью объ-

* Начало см. в ЭК5.

емом до 8 Гбайт и опциональным защитным модулем TPM (Trusted Platform Module). Изделие Kontron ETXexpress-AI доступно в версиях с процессорами Intel Core i7-620UE (тактовая частота 1,06 ГГц), Intel Core i7-620LE (частота 2,00 ГГц), Intel Core i5-520E (2,40 ГГц) и Intel Core i7-610E (2,53 ГГц, самый быстрый вариант). Все модификации поддерживают до двух модулей двухканальной памя-ти DDR3 SO-DIMM с функцией ECC объемом до 4 Гбайт каждый и имеют разъем COM Express COM.0 Type 2, куда выведено множество различ-ных интерфейсов: порт PCI Express Graphics второй версии (может быть сконфигурирован как 2 порта PCI Express x8), 6 портов PCI Express x1, 4 канала Serial ATA, канал ATA, 8 пор-тов USB 2.0, порт Gigabit Ethernet, двухканальный интерфейс LVDS, выход VGA и входы/выходы звуковой подсистемы Intel HDA (High Definition Audio). Наличие интегрированных интерфейсов PCI 2.3 позволяет вклю-чать в состав системы старые ком-поненты, не поддерживающие шину PCI Express.

Благодаря ЦП нового поколения, способствующих более эффективно-му использованию электроэнергии и увеличению пропускной способ-ности, модуль Kontron ETXexpress-AI

поднимает планку производительно-сти на высоту, недоступную аналогич-ным решениям на базе встраиваемых процессоров Intel Core 2 Duo. Новый компьютер-на-модуле может быть очень полезен OEM-производителям, работающим на рынках игрового оборудования, решений типа digital signage (технология представления информации с помощью дисплеев, проекционных систем и т.д.), сетевых и телекоммуникационных систем, медицинской техники и средств про-мышленной автоматизации, а также в оборонном, аэрокосмическом и пра-вительственном сегментах. Для COM-модуля Kontron ETXexpress-AI пред-лагаются пакеты поддержки на базе операционных систем Windows 7, Windows XP, Linux (включая Red Hat Enterprise, SuSE, Red Flag и Wind River Linux) и VxWorks.

ПЛАТА В КОНСТРУКТИВЕ

COMPACTPCI 6U (KONTRON CP6002)

В отличие от рассмотренных выше изделий, продукт Kontron CP6002 позволяет использовать преимуще-ства процессоров Intel Core i5/i7 на классической платформе CompactPCI. Данная плата высоты 6U удовлет-воряет спецификации PICMG 2.16 и может использоваться для построе-ния мощных конфигураций с быстры-ми внутрисистемными соединения-ми Gigabit Ethernet. Процессор Intel Core i7 и современный экономичный чипсет мобильной серии обеспечи-вают для этого изделия уникальное сочетание высокой вычислительной и графической производительно-сти с низким энергопотреблением и богатой мультимедийной функцио-нальностью.

Довольствуясь пассивным охлаж-дением, плата Kontron CP6002 может нести до 8 Гбайт запаянной памяти DDR3 (частота 1066 МГц) с функцией ECC, имеет разъем CompactFlash для установки высоконадежных флэш-накопителей соответствующего фор-мата и доступна в трех классах испол-нения: R1, R2 и R3. Первая версия предназначена для стандартных при-ложений с воздушным охлаждением. Версия R2 может эксплуатироваться

Рис. 4. Компьютер-на-модуле Kontron ETXexpress-AI (конструктив COM Express Basic) поддерживает различ-ные процессоры серий Intel Core i5 и Intel Core i7 и обеспечивает многократный прирост производитель-ности в графических приложениях

Page 59: Электронные компоненты №6/2010
Page 60: Электронные компоненты №6/2010

60

ВС

ТРА

ИВ

АЕ

МЫ

Е С

ИС

ТЕ

МЫ

WWW.ELCP.RU

в расширенном температурном диа-пазоне –40...70°C и отвечает требо-ваниям VITA 47 EAC3/EAC6 по ударам и вибрации. Плата Kontron CP6002 в исполнении R3 имеет полностью кон-дуктивное охлаждение и удовлетво-ряет спецификации VITA 47 ECC4.

Благодаря процессорам Intel Core i7-610E (тактовая частота 2,53 ГГц) и Intel Core i7-620LE (2,0 ГГц), изде-лие Kontron CP6002 демонстрирует высочайшую производительность на ватт потребляемой мощности. Плата построена на контроллере-концентраторе ввода-вывода Intel Mobile QM57 и предоставляет для подключения дисплеев фронтальный разъем VGA плюс два дополнитель-ных тыльных интерфейса. В нали-чии имеется бортовой контроллер HDA. Развитая коммуникационная подсистема включает также 4 порта Gigabit Ethernet, 4 тыльных канала Serial ATA с поддержкой массивов RAID 0/1/5/10, наплатные разъемы для подключения жестких дисков и флэш-накопителей с интерфейсом Serial ATA, 6 портов USB 2.0 и 2 последова-тельных порта. Кроме того, возможна установка одного мезонина PMC/XMC и бортового жесткого диска формата 2,5 дюйма с интерфейсом Serial ATA. Для приложений с высокой интен-сивностью ввода-вывода доступны версии Kontron CP6002 с двумя соке-тами PMC/XMC.

Совок упность харак теристик платы Kontron CP6002 позволяет рекомендовать ее для сверхнадеж-ных систем, где требуются комплек-тующие с высокой устойчивостью к ударно-вибрационным нагруз-кам и память с коррекцией ошибок ECC. Дополнительную надежность данному продукту придают защит-ный модуль TPM (Trusted Platform Module) 1.2, продублированный концентратор встроенного кода и интерфейс интеллектуального управления IPMI (Intelligent Platform Management Interface, спецификация PICMG 2.9 R1.0). Кроме того, посколь-ку изделие Kontron CP6002 базиру-ется на компонентах из семейства Intel Embedded Roadmap, оно харак-

теризуется увеличенным жизненным циклом. Все перечисленные характе-ристики делают данную плату топо-вым CompactPCI-продуктом высшей производительности, рассчитанным на жесткие условия эксплуатации и ориентированным на рынки спец-применений.

Особенности развития

В настоящей статье мы попытались дать оценку такому неоднозначному рыночному явлению как Intel Core i3/i5/i7 и помочь читателям выработать собственное адекватное отношение к новому семейству процессоров Intel. А оно, как видно, подразумевает довольно широкий спектр отношений. Появление полупроводниковых изде-лий серии Intel Core i3/i5/i7 можно воспринимать как абсолютно есте-ственное предсказуемое событие, о котором компания Intel предупре-ждала достаточно давно и которое диктуется самой логикой развития современной индустрии микропро-цессоров. Горизонт планирования в этой отрасли составляет два года (см. рис. 5), и потому все, кто хочет под-готовиться к появлению новых про-цессоров на основе информации из открытых источников, такую возмож-ность имеют и активно ею пользуют-ся. Кроме того, хорошо известно, что, невзирая на экономические кризисы, корпорация Intel в своей деятель-ности неукоснительно следует прин-ципу «тик-так»: существующее ядро переводится, например, с 65 нм на 45 нм, т.е. на технологический про-цесс с более высоким разрешением (тик), затем специально под этот тех-процесс разрабатывается новое ядро (так), после чего оно переводится на техпроцесс с еще более высоким раз-решением (тик) и т.д. (см. рис. 5)2. Знание данного принципа теорети-чески позволяет даже заглянуть за горизонт планирования Intel и спрог-нозировать для себя характеристики будущих процессоров до того, как они будут анонсированы под самым первым рабочим названием.

Платформа Intel Core i3/i5/i7 демонстрирует не только рост коли-

чественных показателей, но и призна-ки перехода количества в качество. Развитие по такому важнейшему экс-плуатационному показателю как про-изводительность на ватт потребляе-мой мощности вывело процессоры Intel Core i3/i5/i7 на принципиально новый уровень, который позволяет, в частности, всерьез говорить о кон-куренции между x86-совместимыми чипами и чипами с микроархитекту-рой AltiVec в традиционных AltiVec-приложениях (см. ниже). Платформа Intel Core i3/i5/i7, таким образом, явля-ется сущностью глубоко диалектич-ной, содержащей в себе изначальное противоречие между претензиями на революционную новизну и фактической эволюционностью, огромной важностью процессоров Intel Core i3/i5/i7 для рынка Embedded как лучших на сегодняш-ний день ЦП с системой команд x86 и отсутствием каких-либо концепту-альных или же архитектурных про-рывов.

С профессиональной точки зре-

ния, процессоры Intel Core i3/i5/i7 —

это вершина инженерной, научной и

производственной мысли, это объ-

ективно лучшие серийные микро-

процессоры для абсолютно всех

рынков, включая рынок Embedded. Но появилась платформа Intel Core i3/i5/i7 не в результате революции, а как очередной этап быстро про-текающего эволюционного процесса. Поэтому, уважаемые читатели, если кто-либо будет рекомендовать вам процессоры Intel Core i3/i5/i7 как принципиально новые революци-онные продукты — не верьте раз-нузданной рекламе. В платформе Intel Core i3/i5/i7 заключена новиз-на особого свойства. Это новизна эволюционного толка, полностью лишенная эффекта неожиданности, но вместе с тем весьма масштабная по широте охвата встраиваемых платформ и приложений. Это новиз-на, закрепляющая и усиливающая существующий многоядерный тренд и даже осмеливающаяся угрожать самому факту существования таких важнейших альтернативных техно-логий как PowerPC (см. следующий раздел). Высокопроизводительные x86-совместимые процессоры сегод-ня можно найти в 19-дюймовых плат-формах, на слотовых платах PICMG 1.x, на модулях типа COM, в оборудовании стандартов CompactPCI, VME, VPX, MicroTCA/AdvancedMC, AdvancedTCA и др. Число конечных систем на базе таких ЦП постоянно растет. Ясно, что с течением времени данный перечень будет лишь расширяться. В частности, процессоры Intel Core i3/i5/i7 обязаны появиться на моду-лях PC/104 и разнообразных совме-Рис. 5. Модель «тик-так»

Page 61: Электронные компоненты №6/2010

ВС

ТРА

ИВ

АЕ

МЫ

Е С

ИС

ТЕ

МЫ

61

Электронные компоненты №6 2010

стимых с PC/104 форматов. Это неиз-бежно: коль скоро ЦП серии Intel Core i3/i5/i7 идут на смену чипам Intel Core 2, они будут использоваться как минимум во всех тех задачах, где сегодня используются процессоры Intel Core/Intel Core 2, а также в тех задачах, где потребность в высоко-производительных ЦП начинает под-вигать разработчиков к реальным дей-ствиям. Платформу Intel Core i3/i5/i7 во встраиваемых приложениях ждет не просто успех, а настоящий триумф. Но если бы мы назвали этот гряду-щий триумф революцией, мы погре-шили бы против истины.

Конкурентная борьба

А каких новостей в связи со всем сказанным следует ждать из лаге-ря конкурентов Intel? Прежде всего, там, как и прежде, не будет един-ства, поскольку у входящих в этот лагерь компаний очень мало точек соприкосновения. Компания AMD выпускает процессоры с архитекту-рой x86, ориентируясь в первую оче-редь на массовый рынок; компания Freescale — процессоры с архитекту-рой PowerPC, находящие спрос почти исключительно во встраиваемых сег-ментах. Объединяет их лишь наличие общего конкурента, с которым они не могут ничего поделать ни вместе, ни поодиночке. И если AMD, по край-ней мере, способна выдерживать задаваемый корпорацией Intel темп, то Freescale, увлекшаяся интеграци-онными играми, явно теряет инициа-тиву: отставание от Intel по такому банальному, но от того не менее важ-ному показателю как нанометры, гро-зит стать катастрофическим. А ведь нанометры, точнее, выраженное в нанометрах разрешение технологи-ческого процесса, определяет энер-гопотребление конечной системы в гораздо большей степени, чем сте-пень интеграции входящих в нее полупроводниковых устройств. Уже сегодня ничто не мешает реализо-вать в микросхеме центрального процессора весь чипсет и память в придачу, но если такая система-на-кристалле будет изготавливаться по нанометровым нормам 10-летней давности, покупателей на нее не найдется, поскольку ее энергопотре-бление окажется существенно выше, чем у решения традиционной компо-новки с энергоэффективным 32-нм процессором, чипсетом и ОЗУ в виде отдельных микросхем и модулей.

Преимущес тва процессоров x86 по сравнению с процессора-ми PowerPC очевидны: это и тес-нейшая связь с миром массовых систем, автоматически означающая низкую стоимость совместимых

базовых аппаратных и программных средств, и доступность гигантского количества готового ПО, и наличие огромного множества квалифици-рованных специалистов. Благодаря увеличению числа ядер в одном корпусе и переходу на технологиче-ские процессы со все более высоким разрешением, современные x86-совместимые ЦП уже не уступают устройствам PowerPC по удельному быстродействию, а по абсолютной производительности даже обгоняют их. В контексте оборонных и аэро-космических приложений, которыми сегодня во многом ограничивается сфера применимости процессоров PowerPC компании Freescale, недо-статок у x86-оборудования ровно один: консервативность соответ-ствующего рынка. Впрочем, благо-даря своим высочайшим потреби-тельским качествам, ЦП марки Intel теснят микроархитектуру PowerPC даже там, где она безраздельно вла-ствовала на протяжении десятиле-тий, и где перемены не очень-то приветствуются.

Заметим, что в связи с бурным про-грессом в секторе x86-совместимых устройств будущее компании Freescale и всей индустрии PowerPC становится весьма благодатной темой для спекуляций. Даже пред-ставители Intel, обычно ведущие себя по отношению к конкурентам вполне корректно, позволяют себе публично ставить под сомнение перспективы технологии AltiVec, на которой бази-руются все высокопроизводительные решения марки Freescale. Пожалуй, корпорацию Intel можно понять: в отличие от карманной конкурентки AMD, играющей на одном с Intel поле и постоянно проигрывающей, компа-ния Freescale является для нее чужа-ком. Конкурентная борьба между Freescale и Intel, по сути, только нача-лась и идет лишь в одном сегменте — спецприменений. Случись с Freescale что-нибудь плохое, американское антимонопольное ведомство и бро-вью не поведет, поскольку на рынке высокопроизводительных ЦП для оборонных и аэрокосмических при-ложений формально останутся еще целых два поставщика: Intel и AMD.

Тем не менее, на наш взгляд, конку-рентам Freescale еще рано радовать-ся. Оборонные и аэрокосмические приложения — рынок очень спец-ифический, и продукция Freescale пользуется на нем огромным ува-жением. Хотя x86-совместимые про-цессоры применяются в задачах данного типа все шире, зависимость рынка спецприменений от микроар-хитектуры PowerPC сильна настоль-ко, что полное ее вытеснение, если

оно когда-нибудь все же произойдет, представляется делом весьма отда-ленного будущего.

А вот о судьбе AMD можно выска-заться гораздо более определенно: несмотря на явное техническое и маркетинговое отставание от лиде-ра, этой компании ничего не угро-жает. Гарантией тому — все то же антимонопольное ведомство США, которое в случае исчезновения AMD немедленно обрушит свой гнев на Intel как на единственного оставше-гося в живых поставщика высоко-производительных процессоров для персональных компьютеров, сер-веров и ноутбуков, а также многих классов встраиваемых приложений. Поскольку наличие хотя бы одного конкурента для корпорации Intel жизненно необходимо, можно с уве-ренностью утверждать, что альтерна-тивные источники поставок на рынке x86-совместимых процессоров будут существовать всегда.

Правильное восприятие

По нашему мнению, восприятие процессоров Intel Core i3/i5/i7 как обновленных или принципиально новых, эволюционных либо рево-люционных неизбежно страдает однобокостью. Гораздо правильнее видеть в них реальные продукты, подходящие либо неподходящие для решения конкретных задач. И тогда все немедленно становит-ся на свои места. Если вам нужны по-настоящему высокопроизводи-тельные встраиваемые решения, совместимые с максимально широ-ким спектром аппаратных и про-граммных средств, существующих или будущих, вашей разработки или покупных, вы непременно выберете продукты на базе Intel Core i3/i5/i7, поскольку на сегодняшний день ука-занные качества выражены в них в максимально возможной степени.

Хотелось бы выразить надежду, что нам удалось в достаточной мере раскрыть эту мысль, показав «мас-штабы бедствия» под названием Intel Core i3/i5/i7. Не добавляя ничего принципиально нового к сделанно-му своими великими предшествен-ницами, платформа Intel Core i3/i5/i7 развивает достигнутый ими успех на всех направлениях как официально утвержденная продолжательница их дела, объективно достойная данного статуса по своим техническим и экс-плуатационным параметрам. А посему вопрос перевода высокопроизводи-тельных решений на рельсы Intel Core i3/i5/i7 является в настоящее время весьма злободневным не только для поставщиков базовых аппаратных средств, но и для их клиентов.

Page 62: Электронные компоненты №6/2010

62

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCP.RU

В статье дан обзор существующих спецификаций узкополосной PLC-технологии, а также рассмотрены их особенности. Кроме того, при-ведена информация о компонентах, предназначенных для создания PLC-модемов с использованием частотной модуляции. Этот вид модуляции обеспечивает скорость передачи данных 0,3…10 Кбит/с. В последние годы благодаря применению более эффективных видов модуляции сигнала, подтвердивших свою надежность в других проводных и беспроводных технологиях, удалось повысить скорость передачи до 128…576 Кбит/с.

FSK-МОДЕМЫ ДЛЯ PLC-СВЯЗИ: СТАНДАРТЫ, ПРОИЗВОДИТЕЛИ, КОМПОНЕНТЫВИКТОР ОХРИМЕНКО, техн. консультант, НПФ VDMAIS

ВВЕДЕНИЕ

Первые попытки использования силовых линий электроснабжения для передачи сигналов были пред-приняты еще в начале XX в. вскоре после того, как электросети получили широкое распространение. В 1930 гг. передача данных по линиям электро-передач основывалась на техноло-гии под названием RCS (Ripple Carrier Signaling). В ней использовалась моду-ляция сигнала вида ASK (Amplitude Shift Keying — амплитудная манипу-ляция), а передача сигналов осущест-влялась в полосе частот 125…3000 Гц. Скорость передачи данных была срав-нительно небольшой (всего несколь-ко бит в секунду), однако этого было вполне достаточно для дистанцион-

ного управления устройствами сети и ее реконфигурации. В последние годы все большее распространение, в т.ч. для высокоскоростного обмена информацией в офисных и промыш-ленных зданиях и сооружениях, нахо-дит широкополосная технология пере-дачи данных по электрическим сетям, обеспечивающая скорость передачи до 200 Мбит/с. В системах автоматиче-ского считывания показаний разного рода датчиков и в других приложени-ях находит применение узкополосная PLC-технология, которая обеспечива-ет скорость передачи до 128 Кбит/с и более в полосе частот 42…89 кГц (CENELEC A) и до 576 Кбит/с в полосе 9…500 кГц (FCC) [1–6].

На диаграмме, приведенной на рисунке 1, показаны виды модуля-ции сигнала, используемые в узко-полосной PLC-технологии. Каждая из них имеет свои недостатки и преимущества, однако модуляция с расширением спектра S-FSK и DCSK (Differential Code Shift Keying — диф-ференциальная кодовая манипуля-ция) обеспечивает более надежную передачу данных в условиях неста-бильности параметров канала связи. Поэтому системы, созданные на их базе, широко применяются в сетях типа AMR (Automatic Meter Reading — автоматическое считывание пока-заний счетчиков). Использование в узкополосной PLC-технологии моду-ляции вида FSK, S-FSK и DCSK обеспе-чивает приемлемую достоверность информации, однако недостаток этих видов модуляции — пока еще сравнительно невысокая скорость передачи данных. В последние годы пристальный интерес и повышенное внимание обращено к технологии на основе OFDM (Orthogonal Frequency

Division Multiplexing — мультиплекси-рование с ортогональным частотным разделением), использование кото-рой позволяет существенно увели-чить пропускную способность канала связи, поскольку расширение функ-циональности автоматизированных систем типа AMR/AMI/AMM требует, соответственно, увеличения скорости передачи данных.

СТАНДАРТЫ И СПЕЦИФИКАЦИИ

В PLC-технологии для передачи информационного сигнала исполь-зуется та же электропроводка, по которой осуществляется энергоснаб-жение. Как правило, частота информа-ционного сигнала значительно выше частоты промышленных электросетей переменного тока (50/60 Гц), а напря-жение сигнала во много раз ниже, чем 110/200/220/380 В. Упрощенно прин-цип передачи PLC-сигнала по силовым линиям электросетей частотой 50 Гц иллюстрирует рисунок 2. Выделение информационного сигнала обычно осу-ществляется с помощью ВЧ-фильтров, а созданные на их базе устройства, в общем случае, служат в качестве согла-сующего аппаратного интерфейса (coupling interface).

Поскольку провода электросети одновременно являются физической средой передачи информационного сигнала, при выборе рабочей полосы частот необходимо принимать во вни-мание следующие факторы.

Во-первых, необходимо учитывать затухание сигнала при его распростра-нении по электропроводке. Затухание имеет сильно выраженную зависи-мость от частоты сигнала и длины линии, что приводит, в конечном счете, к существенному ухудшению отноше-ния сигнал/помеха.

Рис. 2. Принцип передачи PLC-сигнала по силовым линиям (50 Гц)

Рис. 1. Виды модуляции, используемые в узкопо-лосной PLC-технологии

Page 63: Электронные компоненты №6/2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

63

Электронные компоненты №5 2010

Во-вторых, при выборе частотного диапазона для обеспечения электро-магнитной совместимости оборудо-вания следует также учитывать тре-бования существующих нормативных ограничительных документов. В США действует стандарт FCC, в Европе — CENELEC. В этих стандартах для пере-дачи данных по электросетям опреде-лены уровни напряжений PLC-сигнала и разрешенные диапазоны частот (см. рис. 3) в полосе 3…148,5 кГц (CENELEC) или 3…500 кГц (FCC). Нормы на допус-тимые уровни высокочастотных элек тромагнитных излучений приве-дены в соответствующих стандартах — FCC Part15 subpart B/C, EN/IEC 61131-2, EN 55011 и др.

В стандарте IEC 61334, который был утвержден в конце 1990-х гг., даны общие требования к системам авто-матики с распределенными канала-ми связи, в которых в качестве физи-ческой среды для передачи данных используются электросети среднего и низкого напряжения. В настоящее время имеют силу следующие техниче-ские спецификации и стандарты МЭК (IEC — International Electrotechnical Com mission) для узкополосной PLC-технологии [1]:

– IEC 61334-5-1: The spread frequency shift keying (S-FSK) profi le (частотная манипуляция с расширением спектра); Рис. 3. Распределение частот в стандарте CENELEC

– IEC 61334-5-2: Frequency shift keying (FSK) profi le (частотная манипу-ляция);

– IEC 61334-5-3: Spread spectrum adaptive wideband (SS-AW) profi le (адап-тивный широкополосной профиль с расширенным спектром);

– IEC 61334-5-4: Multi-carrier mo du-lation (MCM) profile (модуляция с несколькими несущими);

– IEC 61334-5-5: Spread spectrum-fast frequency hopping (SS-FFH) profi le (профиль быстрого скачкообразного изменения частоты).

При частотной манипуляции (FSK) значениям 0 и 1 информационной последовательности соответствуют определенные частоты синусоидаль-ного сигнала c постоянной амплиту-

дой. По сравнению с амплитудной манипуляцией ASK, модуляция типа FSK обеспечивает лучшую помехоустойчи-вость, поскольку помехи, как прави-ло, вносят искажения амплитуды, а не частоты сигнала. Спецификации физи-ческого уровня (PHY), а также каналь-ного подуровня MAC (Media Access Control) для PLC-систем, в которых для передачи данных используется модуля-ция вида S-FSK, приведены в стандарте IEC 61334-5-1. Основное отличие между FSK и S-FSK заключается в том, что при модуляции типа S-FSK разнос частот F(0) и F(1), кодирующих 0 и 1 информа-ционной последовательности, суще-ственно больше, чем при модуляции FSK. В системах с использованием модуляции с расширением спектра

Page 64: Электронные компоненты №6/2010

64

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCP.RU

вида S-FSK разнос частот составляет 10 кГц и не зависит от скорости пере-дачи [1, 2, 6]. В системах S-FSK, по сути, на физическом уровне используется та же бинарная частотная манипуля-ция, что и в FSK-системах. При этом больший разнос частот позволяет уве-личить помехоустойчивость при нали-чии узкополосных помех и вместе с тем сохранить простоту реализации метода. В стандарте IEC 61334-5-1 не регламентируются значения частот

F(0) и F(1), т.к. они должны выбирать-ся в соответствии с рекомендация-ми CENELEC. Предусматриваются две скорости передачи данных: 600 или 1200 бит/с, допускается также скорость передачи 2400 бит/с.

В технических спецификациях IEC 61334-5-4 описаны требования к системам, в которых для передачи данных применяется модуляция с несколькими несущими (Multi-Carrier Modulation — MCM). На физическом

уровне предусматривается исполь-зование одного из видов MCM-модуляции, а именно, модуляции OFDM. Частоты поднесущих и их коли-чество выбираются в соответствии с рекомендациями спецификаций IEC 61334-5-4, причем разнос частот под-несущих составляет 4,5 кГц. Для моду-ляции поднесущих рекомендуется применять относительную фазовую манипуляцию (Differential Phase-Shift Keying — DPSK). Чтобы увеличить надежность передачи при ухудшении параметров канала связи, в специфи-кациях IEC 61334-5-4 предусматри-вается возможность использования сверточного кодирования, что при-водит, соответственно, к снижению в два раза скорости передачи данных. Для сохранения целостности данных рекомендуется применять CRC-коды, а использование специальной пре-амбулы гарантирует надежную син-хронизацию даже в случае резкого ухудшения условий приема/переда-чи. Количество поднесущих (J) выби-рается из соотношения 1 ≤ J ≤ N/2 – 1, где N = 64. Максимальная частота поднесущей — 139,5 кГц. Следует отметить, что в отличие от стандарта IEC 61334-5-1, MCM-спецификации не являются полноценным международ-ным стандартом, а относятся к клас-су технических спецификаций. В этих спецификациях отсутствует множе-ство требований, и поэтому они нуж-даются в существенной доработке и уточнениях.

ПРОИЗВОДИТЕЛИ

Ниже приведена информация о компонентах, разработанных для передачи данных в узкополосных PLC-сетях с использованием манипуляции типов FSK, S-FSK и BPSK. Ведущая роль в разработке и производстве одно-кристальных PLC-модемов принад-лежит компаниям STMicroelectronics, ON Semiconductor и Advanced Digital Design S.A.

Компания STMicroelectronics (www.st.com) начала производство первых микросхем PLC-приемопередатчиков еще в 1990 гг. Для кодирования данных в них использовался метод частотной манипуляции (FSK), и они имели ско-рость передачи данных 1,2/2,4 Кбит/с. В последние годы компания предлага-ет микросхему PLC-модема ST7538Q и усовершенствованную модель ST7540 со скоростью передачи до 4,8 Кбит/с. ST7538Q и ST7540 — полудуплекс-ные синхронно-асинхронные FSK-приемопередатчики, разработанные для передачи данных через силовые линии электропередачи. Микросхемы предназначены для использования в системах управления средствами автоматизации зданий, в т.ч. в системах

Таблица 1. Основные параметры микросхем ST7540/ST7570

Наименование ST7540 ST7570

Стандарты EN50065 (CENELEC) EN50065 (CENELEC), FCC part 15

Скорость передачи данных, бит/с 4800 2400

Модуляция FSK S-FSK

Аппаратный ускоритель — AES (128 бит)

Процессорное ядро нет данных DSP + 8051

Встроенный протокол — IEC 61334-5-1 (PHY, MAC)

Интерфейсы UART, SPI

Напряжение питания, В 7,5…13,5/3,3/5,0

Диапазон рабочих температур, °С –40…85

Кол. выводов и тип корпуса (размеры, мм) HTSSOP-28 (9,7×6,4) QFN-48 (7×7)

Таблица 2. Основные параметры PLC-модемов AMIS-30585/49587

Наименование AMIS-49587 AMIS-30585

Стандарты EN50065 (CENELEC), IEC 61334-4-32, IEC 61334-5-1

Скорость передачи данных, бит/с 2400/2880 1200/1440

Модуляция S-FSK

Полоса частот, кГц 9…95 (CENELEC А)

Процессорное ядро ARM7 TDMI (16 бит)

Интерфейс SCI

Напряжение питания, В 3,3

Диапазон рабочих температур, °С –25…70 –40…85

Кол-во выводов и тип корпуса (размеры, мм) PLCC-28 (12,4×12,4)

Рис. 4. Структурная схема PLC-модема ST7570

Page 65: Электронные компоненты №6/2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

65

Электронные компоненты №5 2010

безопасности и контроля температуры и освещенности, системах дистанци-онного управления уличным освеще-нием, автоматизированных системах контроля и учета электроэнергии [4, 5]. В модемах ST7538Q/ST7540 преду-смотрено использование следующих несущих частот: 60/66/72/76/82,05/86/ 110/132,5 кГц. Выбор частот осущест-вляется на программном уровне.

Значения частот F(0) и F(1) , кодирую-щих 0 и 1 информационной последова-тельности, вычисляются из следующих соотношений [4]:

F(0) = Fнесущей + (ΔF)/2;

F(1) = Fнесущей – (ΔF)/2,

где ΔF — частотная девиация равная 1×скорость передачи или 0,5×скорость передачи. Таким образом, при скорости передачи 2400 бит/с частотная девиа-ция может составлять 2400 или 1200 Гц.

Микросхема ST7538Q функциональ-но совместима с выпущенной ранее ST7537 и изготавливается в корпу-се TQFP-44, а ИС ST7540 — в корпусе HTSSOP-28.

В конце 2009 г. компания STMic-ro electronics расширила семейство микросхем (ST7538Q/ST7540), ориен-тированных на использование в узко-полосных PLC-сетях, выпустив новую

микросхему PLC-модема ST7570 по технологии 0,18 мкм. Микросхема ST7570 представляет собой полуду-плексный модем, в котором для пере-дачи данных используется модуляция с расширением спектра S-FSK. Для формирования несущих применяет-ся метод прямого цифрового синтеза (Direct Digital Synthesizer — DDS). PLC-модем ST7570 предназначен для пере-дачи данных по электрическим сетям низкого напряжения и соответству-ет требованиям стандартов EN50065 (CENELEC) и FCC part 15. Модем ST7570 содержит процессорное ядро 8051, а, кроме того, DSP-ядро. Связь с хост-контроллером поддерживается через интерфейс UART или SPI. Основные параметры микросхем ST7540/ST7570 даны в таблице 1, структурная схема PLC-модема ST7570 приведена на рисунке 4.

Одна из ведущих компаний по производству интегральных микро-схем — компания ON Semiconductor(www.onsemi.com) — после приобре-тения компании AMI Semiconductor (www.amis.com), прежде хорошо известной как разработчик компонен-тов для узкополосной PLC-технологии, приступила к массовому выпуску однокристальных PLC-модемов. Микросхему PLC-модема AMIS-30585 компания AMI Semiconductor анон-

сировала еще в 2005 г. В настоящее время компания ON Semiconductor для организации низкоскоростных PLC-сетей предлагает полудуплекс-ные модемы AMIS-30585/49587, пред-назначенные для передачи данных по линиям электропередач с низким и средним напряжением. Области при-менения модемов AMIS-30585/49587 следующие: автоматизированные системы удаленного считывания пока-заний датчиков, системы управления уличным освещением, устройства домашней автоматизации, а также системы охранной/пожарной и иной сигнализации. Основные параметры PLC-модемов AMIS-30585/49587 при-ведены в таблице 2.

Предназначенный для работы в расширенном диапазоне температур новый модем AMIS-49587 был анонси-рован в конце 2009 г. Он обеспечивает скорость передачи данных до 2400 или 2880 бит/с, соответственно, при частоте сети 50 или 60 Гц. Кроме того, предусмотрена возможность работы со скоростью 300/600/1200 бит/с. Для кодирования данных используется модуляция вида S-FSK (IEC 61334-5-1). Значения частот F (0) и F (1), выби-раются из диапазона частот 9…95 кГц (полоса CENELEC А), разнос частот F(0) и F(1) составляет 10 кГц. В новом модеме AMIS-49587 реализованы

Page 66: Электронные компоненты №6/2010

66

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCP.RU

также требования спецификаций раз-дела IEC 61334-4-32 LLC (Logical Link Control). При динамическом диапазо-не сигна ла 40 дБ гарантируется часто-та появления ошибочных кадров (Frame Error Rate — FER) на уровне 0%. При динамическом диапазоне 80 дБ FER составляет уже 8% [6]. Длина кадра — 288 бит. Чувствительность приемника 0,4 мВ. Встроенная система ФАПЧ синхронизирована с частотой электросети (50/60 Гц) и используется для синхронизации передачи данных на скоростях 300/600/1200/2400 бит/с. В качестве вычислительного ядра используется 16-разрядное процес-сорное ядро ARM 7TDMI. Для связи с хост-контроллером реализован асинхронный двухпроводный интер-фейс (TxD, RxD). Микросхемы AMIS-30585/49587 выпускаются в корпусе PLCC-28 и совместимы между собой по расположению выводов.

Испанская компания Advanced Digital Design S.A. (ADD) со штаб-квартирой в Сарагосе — одна из ведущих компаний по разработке IP-ядер, заказных микросхем ASIC (Application-Specifi c Integrated Circuit) и систем на кристалле (System-on-a-Chip — SoC). Для PLC-коммуникаций компания ADD (www.addsemi.com) предлагает для использования в авто-матизированных системах управле-ния/контроля AMM (Automated Meter Management) и системах удаленного считывания показаний датчиков типа AMR (Automatic Meter Reading) ряд законченных решений для создания интеллектуальных узлов сети. Среди них система на кристалле ADD1000B (с поддержкой протокола KNX), а также функционально-законченный

Рис. 5. Структурная схема PLC-модема ADD1010

PLC-модем ADD1010, содержащий стандартное 8-разрядное процес-сорное ядро 8051, контроллер MAC-уровня ADD1210 и собственно PLC-модем ADD1310. Структурная схема ADD1010 приведена на рисунке 5. Для передачи данных в модеме исполь-зуется модуляция FSK и S-FSK в соот-ветствии с требованиями специфи-каций IEC61334-5-2 и IEC61334-5-1. На MAC-уровне ADD1010 поддерживает протоколы EHS, KNX и IEC61334-4-32. Скорость передачи данных нахо-дится в диапазоне 0,6…4,8 Кбит/с, частота несущей — 60…132,5 кГц. В реализованных в модеме протоколах предусмотрено также использование CRC- и FEC-кодов. Напряжение пита-ния модема 3,3 В. Кроме того, компа-ния ADD разработала и предлагает ряд других решений на базе програм-мируемой логики Xilinx (XC4000XLA и Virtex E).

В PLC-оборудовании, например ком-пании ZIV Medida или Echelon Corp., для передачи данных используется модуляция типа BPSK (Binary Phase Shift Keying — двоичная фазовая манипуляция). Системы, построенные на базе BPSK, в сравнении с система-ми, в которых используется модуля-ция типа ASK или FSK, обеспечивают более низкую вероятность ошибок при приеме/передаче данных [1, 3]. Для реализации технологии LonWorks при построении сетей с использованием электропроводки компания Echelon Corp. (www.echelon.com) выпускает приемопередатчики серии Power Line Smart Transceivers — PL 3120/3150/3170, содержащие 8-разрядное процессор-ное ядро Neuron с тактовой частотой 10…20 МГц.

ЗАКЛЮЧЕНИЕ

Рассмотренные стандарты и специ-фикации далеко не единственные из существующих. На практике, кроме перечисленных, наибольшее рас-пространение в настоящее время получили спецификации HomePlug C&C [3], продвигаемые альянсом HomePlug Powerline Alliance, а также спецификации, предложенные евро-пейским альянсом PRIME. В специфи-кациях HomePlug C&C предусмотрено использование модуляции с расши-рением спектра типа DCSK, обеспе-чивающей скорость передачи данных до 7,5 Кбит/с. Альянс PRIME для узко-полосной PLC-технологии разрабо-тал требования к системам, в кото-рых используется OFDM-модуляция с возможностью адаптации к пара-метрам физической среды передачи. Применение этого метода позволило в полосе частот 42…89 кГц (CENELEC A) поднять скорость передачи данных до 128 Кбит/с.

Европейский парламент принял декрет, в котором провозглашается, что в странах членах Европейского Союза к 2022 году все потребители электри-чества должны быть снабжены интел-лектуальными электронными электро-счетчиками. В докладе американской Федеральной комиссии по регулирова-нию в области энергетики FERC (Federal Energy Regulatory Commission) конста-тируется, что интеллектуальные элек-тросчетчики составляют примерно 5% от общего их количества. В Китае инве-стируются большие средства в проекты создания автоматизированных сетей с целью ужесточения контроля потреб-ления электроэнергии. Несомненно, что вместе с развитием и повсемест-ным внедрением автоматизированных систем учета и контроля электроэнер-гии большие перспективы имеет и сопутствующая им PLC-технология.

Более полную информацию об узко-полосной PLC-технологии, существую-щих стандартах и ИМС PLC-модемов можно найти в [1–6].

ЛИТЕРАТУРА1. State-of-the-art Technologies & Pro-

tocols.D2.1/part 4. — OPEN Meter, 2009 (www.openmeter.com).

2. Description of the state-of-the-art PLC-based access technology. D2.1/part 2. — OPEN Meter, 2009 (www. openmeter.com).

3. HomePlug Command & Control (C&C). Overview. White Paper. — HomePlug Powerline Alliance, 2008 (www.homeplug.org).

4. ST7538Q. FSK power line transceiver. — STM, 2006 (www.st.com).

5. ST7570. S-FSK power line networking system-on-chip. — STM, 2009 (www.st.com).

6. AMIS-49587. Power Line Carrier Modem. Product Preview. — ON Semiconductor, 2009 (www.onsemi.com).

Page 67: Электронные компоненты №6/2010
Page 68: Электронные компоненты №6/2010

68

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCP.RU

Современные FPGA могут применяться во встраиваемых процессорах в качестве моста между стандартными линиями PCI Express и специализи-рованными прикладными встраиваемыми устройствами ввода-вывода. Гибкость матриц FPGA и наличие предустановленных интерфейсов дела-ют их универсальными компонентами для тонкой настройки связей в системе.

СОЗДАНИЕ ПЕРИФЕРИЙНЫХ УСТРОЙСТВ С ПОДДЕРЖКОЙ PCI EXPRESS НА ОСНОВЕ FPGAГРЕГ ЛАРА (GREG LARA), Xilinx

Матрицы FPGA играют важную роль во встраиваемых системах, поскольку позволяют сопрягать заказные аппа-ратные блоки, работающие по раз-ным протоколам, ускорять обработку сигнала и осуществлять управление в режиме реального времени. Идет процесс слияния двух независимых направлений развития электроники, что увеличивает пользу от применения FPGA и дает гибкое платформенное решение для проектирования встраи-ваемых систем.

Системы промышленного управ-ления перешли с программируе-мых логических контроллеров (PLC) на стандартные платформы общего назначения, основу которых составля-ют процессоры промышленного клас-са. Одним из примеров этого пере-хода является система, состоящая из малопотребляющего процессора Intel Atom и набора микросхем (hub chip set) системного контроллера. Чип hub содержит контроллер памяти, графи-

Рис. 1. Соединение процессора Atom с FPGA линиями PCI Express

ческий контроллер и набор наибо-лее распространенных интерфейсов. Помимо всего прочего, он упрощает расширение возможностей ввода-вывода с помощью пары одноканаль-ных портов PCI Express.

В то же время входящие в объеди-нения ARM и MIPS компании, высту-пающие за экологически безопасные технологии, приложили много усилий для создания мостов PCI Express и других необходимых IP-блоков, чтобы обеспечить в своих платформах под-держку этого практически повсе-местно используемого стандарта. Так, существуют такие приложения, в которых наряду с основными процес-сорами WinTel (ЦП Intel, работающий на ОС Windows) используются микро-процессоры ARM, выполняющие толь-ко некоторые ключевые процедуры для повышения КПД системы и, соот-ветственно, увеличения срока служ-бы аккумуляторов. Холдинг ARM раз-работал собственный 32-разрядный

процессор Cortex-M3, который может применяться в качестве основы высо-копроизводительной недорогой плат-формы для широкого круга приме-нений, в т.ч. в микроконтроллерных и автомобильных системах, системах промышленного контроля и беспро-водных сетях. Другое направление — это быстрая адаптация протоколов PCI Express, которые были предложе-ны компанией Intel в 2004 г. в качестве нового поколения интерфейсов связи для ПК. В настоящее время техно-логия вышла за рамки компьютер-ных приложений, перешла во встраи-ваемые системы, обеспечивая более высокое быстродействие и снижая расход энергии, и, в конечном счете, стоимость устройств. Современные недорогие FPGA находят применение и в этом направлении — в них уста-новлены совместимые с PCI Express интерфейсы, последовательные прие-мопередатчики и конечные точки PCI Express.

Применение процессоров совмест-но с FPGA — более гибкое и масштаби-руемое решение, чем традиционные ЦПУ в сочетании со специализирован-ными или заказными СБИС (см. рис. 1). Топология PCI Express обеспечивает идеальную линию связи с широкой пропускной способностью между процессорами и FPGA, что позволя-ет создавать специализированные интерфейсы и реализовывать нестан-дартные периферийные устройства. Гибкая архитектура оптимально раз-деляет программные и аппаратные части устройства, достигая компро-мисса между характеристиками, стоимостью и потреблением систе-мы. Гибкость FPGA позволяет освобо-диться от ограничений, связанных с фиксированной функциональностью, и избежать риска устаревания ком-понентов.

Page 69: Электронные компоненты №6/2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

69

Электронные компоненты №6 2010

СИСТЕМА В ЦЕЛОМ

Последовательная пакетная пере-сылка данных была разработана для облегчения обмена большим объе-мом информации на больших рассто-яниях. Альтернативными способами связи являются высокоскоростные соединения между двумя устрой-ствами или двумя платами внутри одного устройства. Матрицы FPGA в настоящее время стали неотъемле-мой частью высокоскоростных систем связи. В связи с этим современные FPGA, начиная с Virtex-II (Xilinx), имеют встро енные высокоскоростные после-довательные приемопередатчики. Синхронные последовательные кана-лы связи обеспечивают высокую про-пускную способность и в то же время позволяют упростить плату, снизить ее потребление и упростить схему тактирования по сравнению с шинны-ми архитектурами.

До недавнего времени к после-довательному обмену относились как к чему-то сложному, что исполь-зуется только в ультрасовременных системах, где требуется невероятно высокое быстродействие. Однако в связи с растущими требованиями в настоящее время даже в массовых электронных системах применение последовательных каналов оправда-но. Производители FPGA не отстают

от потребностей рынка и встраивают последовательные приемопередатчи-ки в недорогие семейства матриц.

Компания Intel представила техно-логию PCI Express в 2004 г., поскольку компьютерной технике на тот момент требовалось большая полоса пропу-скания, а общая шина не позволяла этого достичь из-за фазовых сдвигов и конфликтов. Таким образом, прямая связь была реализована по после-довательному каналу. Стандарт PCI Express 1.1 обеспечивает скорость 2,5 Гбит/с, а, учитывая кодирование 8b/10b, теоретический предел полез-ной скорости передачи составляет 2,0 Гбит/с. Стандартом определяются также многополосные связи для при-ложений, в которых требуется более высокая скорость обмена. Более позд-ние версии стандарта увеличили про-пускную способность PCI Express до 5 Гбит/с (версия 2.0) и даже 8 Гбит/с (версия 3.0). Однако для встраиваемых систем с умеренным потреблением PCI Express 1.1 обеспечивает достаточ-ное быстродействие.

Благодаря высокой пропускной спо-собности и малогабаритной реализа-ции протоколы PCI Express являются естественным выбором для организа-ции связи между FPGA и процессором во встраиваемой системе. Дальнейшее уменьшение стоимости, потребляе-

мой мощности и занимаемого размера достигается путем перенесения техно-логии PCI Express в тело матрицы.

Эти преимущества реализуются в недорогих FPGA нового класса путем добавления в матрицу последователь-ных приемопередатчиков, конечных точек PCI Express и других функцио-нальных модулей, необходимых для полноценного обеспечения связи. Эти нововведения повышают системную интеграцию и стали удачным решени-ем для построения заказных перифе-рийных устройств для встраиваемых процессорных систем. Матрицы FPGA со встроенной PCI Express обеспечи-вают гибкую инфраструктуру для под-соединения устройств к локальной сети предприятия и создания сетей промышленного управления, исполь-зующих протоколы Ethernet реального времени, такие как Profi net, EtherCAT, GigE Vision и SERCOSIII. Кроме того, на FPGA можно реализовать аппаратные ускорители, которые возьмут на себя выполнение сложных алгоритмов, например ПИД-управление двигателя-ми в полевых условиях.

Матрицы FPGA упрощают процедуру расширения функционала устройства. Гибкая структура портов ввода-вывода позволяет подключать множество датчиков и производить одновремен-ную независимую предварительную

Page 70: Электронные компоненты №6/2010

70

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCP.RU

Рис. 3. Образец сопряжения PCI Express и Gigabit Ethernet

обработку собранных данных. Один из примеров тому — обработка изобра-жения в системах машинного зрения. Организация надежной системы связи по последовательным каналам требу-ет определенных навыков, которыми может похвастаться не каждый разра-ботчик.

ПОЛНАЯ ИНТЕГРАЦИЯ — ЛУЧШЕЕ

РЕШЕНИЕ

Для реализации конечной точки PCI Express необходимо изготовить после-довательные приемопередатчики и

логические схемы, которые образуют законченный физический уровень. Кроме того, потребуются дополни-тельные логические схемы для форми-рования канального и сетевого уровня (иногда его называют уровнем транзак-ций), а также модули памяти для буфе-рирования транзакций. До недавнего времени последовательные приемо-передатчики были доступны только в самых дорогих и современных FPGA, однако в настоящее время ситуация изменилась, и даже недорогие модели имеют такие же характеристики и воз-

Рис. 2. Реализация конечной точки PCI Express на Spartan-6 LTX

можности, включая и модули последо-вательной связи, которые были пре-рогативой только самых продвинутых ПЛИС. Это позволяет разработчикам реализовывать сложные периферий-ные функции, в т.ч. аппаратные ускори-тели и разгрузочные модули, эффек-тивно используя полосу пропускания при обмене данными с ЦП по линиям PCI Express.

Программные IP-модули предостав-ляют удобное решение для построения конечных точек PCI Express на основе встроенных в матрицу приемопередат-чиков. Еще более удачный подход — реализовать модуль PCI Express в теле самой FPGA. В этом случае снизится стоимость и потребление системы, поскольку будет задействовано меньше транзисторов, чем в программируемой матрице. Кроме того, освобождается много логических вентилей для реали-зации других функций. Напомним, что прежде это было возможно только в дорогих FPGA, а теперь — даже в самых простых.

Хотя реализованные в теле матри-цы конечные точки PCI Express рас-сматриваются как аппаратные, они все же обладают большим запасом гиб-кости благодаря настройкам, которые может устанавливать пользователь. В число таких параметров входят мак-симальная полезная нагрузка, опор-ная частота, декодирование, фильтра-ция сигналов индексных регистров и т.д. Средства разработки генерируют файлы, необходимые для завершения проектирования блоков PCI Express. Они позволяют установить требуе-мые конфигурационные возможности путем настройки синхронизации и буферов памяти, а также установки интерфейса на прикладном уровне конечной точки.

РЕШЕНИЯ ДЛЯ СОЗДАНИЯ

ЗАКАЗНЫХ ПЕРИФЕРИЙНЫХ

УСТРОЙСТВ

Для отладки кода программисты уже давно пользуются платформами для разработки, которые поставляют производители процессоров. Теперь аналогичная возможность появилась и у разработчиков систем на основе ПЛИС. Платформенный подход, когда в арсенале разработчика имеется не только сам кристалл, но и готовые IP-блоки, средства разработки и оце-ночные комплекты, значительно упро-щает проектирование заказных встра-иваемых периферийных устройств. Демонстрационные проекты помога-ют быстро связать все эти ресурсы в единое целое и создать собственное устройство.

Недорогое семейство Spartan-6 LTX компании Xilinx имеет встроенный модуль PCI Express со всеми ресурсами,

Page 71: Электронные компоненты №6/2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

71

Электронные компоненты №6 2010

необходимыми для рационального расхода энергии и раз-грузки ЦП для обработки критичных ко времени задач, и заказные аппаратные ускорители для выполнения сложных вычислительных функций (см. рис. 2). Матрицы Spartan-6 LTX содержат малопотребляющие GTP-приемопередатчики и выполненные в слое кремния конечные точки PCI Express, которые образуют одиночную линию связи первого поколе-ния. Перенесенная на кристалл одна конечная точка осво-бождает около 7000 логических ячеек.

FPGA семейства Spartan-6 LTX прошли проверку на соответствие стандарту PCI Express 1.1 по электрическим требованиям и требованиям по совместимости в специали-зированных лабораториях, принадлежащих PCI-SIG (PCI Special interest group — организация, которая подготовила и ведет сопровождение стандарта). По итогам испытаний матрицы Spartan-6 LTX и разработанные для них оценоч-ные платы SP605 включены в список устройств, поддержи-вающих PCI Express.

СРЕДСТВА РАЗРАБОТКИ

Пакет ISE Design Tool упрощает задачу разработчика, автоматически генерируя заказные IP-ядра LogiCORE для конфигурирования интерфейса PCI Express. Инструмент CORE Generator обеспечивает графический интерфейс пользователя (GUI), чтобы было удобнее настраивать основные параметры конечной точки, в т.ч. параметры GTP-приемопередатчиков и конечной точки PCI Express, модулей буферных ОЗУ и схем тактирования. Кроме того, CORE Generator содержит интерфейс прикладной части PCI Express (см. рис. 3). Набор инструментов ChipScope Pro Serial I/O позволяет оценивать характеристики линии и произво-дить тонкую настройку установок приемопередатчика GTP.

Для удобства проектирования оценочные платы имеют торцевой разъем PCI Express, что позволяет легко про-тестировать возможности FPGA в рамках стандартной компьютерной платформы и затем приступить к собствен-ному проекту. Для подсоединения к оценочной плате специализированных разъемов или дополнительных схем предусмотрен слот для карты FPGA Mezzanine Card (FMC), который принимает все карты более низкого уровня от Xilinx и других производителей.

Демонстрационные проекты (образцы разработки) помо-гают быстро ознакомиться с принципами соединения всех элементов системы и оценить возможности FPGA, после чего начать разработку специализированного устройства. Так, проект, демонстрирующий интерфейсные возможности FPGA, предоставляет все необходимые на начальном этапе исходные коды, скрипты, драйверы, интерфейсы API и GUI и другое ПО, а также документацию для построения и отлад-ки надежных последовательных линий связи. Этот проект представляет собой полнофункциональный мост между про-токолами Gigabit Ethernet и PCI Express, обеспечивая эффек-тивную платформу для оценки всех ключевых компонентов Spartan-6 LTX (см. рис. 3): GTP-приемопередатчиков, конеч-ных точек PCI Express, контроллера памяти с поддержкой DDR, DDR2, DDR3, SDRAM и LPDDR.

Рассматриваемый демонстрационный проект содержит ряд IP-блоков, в т.ч. модуль прямого доступа (ПДП) к памяти Bus Mastering Packet DMA компании Northwest Logic и модуль локальной связи Ethernet MAC с тремя режимами (XPS, LL, TEMAC). Модуль ПДП с помощью конечной точки PCI Express позволяет разгрузить поток данных, проходящих через ЦП, и обеспечивает высокоскоростную передачу данных между системной памятью и FPGA. При этом конечная точка PCI Express отвечает за интерфейс с ЦП, а канал Gigabit Ethernet реализует функции сетевой интерфейсной карты.

Для упрощения проектирования периферийных устройств с поддержкой PCI Express компания Xilinx пред-лагает все три этих элемента в одном наборе Spartan-6 FPGA

Connectivity Kit. В комплекте имеется предустановленный и отлаженный проект-пример подключения, загруженный на плату SP605 (распространяется вместе с FPGA). Набор также содержит полный пакет ISE Design Suite для встраиваемых систем, драйверы устройств и файлы с исходными кодами. Ключевая особенность Spartan-6 FPGA Connectivity Kit — предоставление полной лицензии на модуль Bus Mastering Packet DMA, который позволяет эффективно использовать высокую скорость работы последовательного передатчика в модуле системной памяти. Все необходимое ПО и файлы загружены в USB-флэш-память и представлены в печатном виде в руководстве по аппаратной настройке и руководстве по работе. Все это позволяет разработчикам проектировать собственные системы максимально быстро и качественно. В дополнение к наборам Xilinx компания Avnet предлагает решение для построения специализированных интерфей-сов для FPGA и встраиваемого ПО на основе ОС Windows для систем, состоящих из процессора Atom и матрицы Spartan-6 LTX.

ЛИТЕРАТУРА1. G. Lara. Low-Cost PCI Express-Compliant FPGAs Enable a Plethora

of Peripherals//RTC MAGAZINE. January. 2010.

НОВОСТИ ТЕХНОЛОГИЙ

| SAMSUNG INDIA АНОНСИРОВАЛА ПЕРВЫЙ ТЕЛЕФОН

НА СОЛНЕЧНЫХ БАТАРЕЯХ | Представьте себе людей,

живущих в бедных сельских районах или на окраинах

городов, где нет электричества. Действительность такова,

что даже там люди пользуются мобильными телефонами.

Samsung India презентовала первый мобильный

телефон, работающий на солнечных батареях и, соот-

ветственно, заряжаемый солнечной энергией. Новинка

носит название Solar Guru и заряжается везде, где све-

тит солнце — и на территории Индии, и на территории

других стран. Solar Guru — это первый мобильный

телефон подобного рода, который появится на рынке.

Все ранее известные модели до сих пор так и остались

проектами только на бумаге.

60 долл. — очень доступная цена, чтобы сделать

аппарат со временем популярным, особенно в южных

странах. Один час солнечной зарядки обеспечивает

5—10 мин работы в режиме разговора. Для полной

зарядки телефона требуется 40 ч, но это приблизитель-

ные данные, которые ещё уточняются.

Возможность не зависеть от электрических сетей для

зарядки мобильного телефона будет экономить деньги

пользователей и сделает мобильную связь ещё более

доступной.

www.russianelectronics.ru

Page 72: Электронные компоненты №6/2010

72

АН

АЛ

ОГО

ВЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

В статье представлены основные принципы построения высокоскорост-ной шины передачи данных QPI компании Intel. Описаны особенности разработки моделей ключевых компонентов системы на базе шины QPI: драйверов, приемников и системы межсоединений. Рассмотрены важней-шие факторы, влияющие на точность моделирования, и методы анализа полученных результатов. Статья представляет собой сокращенный перевод [1].

МОДЕЛИРОВАНИЕ КОМПОНЕНТОВ ШИНЫ INTEL QPIДЕЙВ КОУЛМАН (DAVE COLEMAN), инженер по применению, Intel CorporationМАЙКЛ МИРМАК (MICHAEL MIRMAK), инженер, Intel Corporation .

LTI-СИСТЕМЫ

В настоящее время многие высоко-скоростные последовательные систе-мы передачи данных разрабатываются с использованием методов, которые многие годы широко применялись при проектировании радиочастотных и СВЧ-систем. Методы моделирования интерфейса на базе шины QuickPath Interconnect (QPI) корпорации Intel осно-ваны на том, что такие системы являются линейными и инвариантными во време-ни (Linear and Time-Invariant — LTI).

Линейность означает, что систе-ма удовлетворяет принципам мас-штабируемости и суперпозиции. Масштабируемость предполагает, что при увеличении количества входов системы пропорционально увеличи-вается количество выходов. Согласно принципу суперпозиции, выходной сигнал системы представляет собой результат суммирования входных сиг-налов.

Если входы и выходы системы не меняются во времени, как в случае резисторной цепи, система является инвариантной во времени. Прибор с памятью не всегда является инвариант-ным во времени. В таком устройстве

Рис. 1. Схема простого передатчика

выходной сигнал зависит от предыду-щего состояния системы.

LTI-система сочетает линейность и инвариантность во времени, так что сигнал, передаваемый по такой систе-ме, всегда приводит к появлению одно-го и того же сигнала на выходе, вне зависимости от его поступления на вход. На выходе такой системы про-исходит суммирование сигналов всех входов, причем амплитуду сигнала на выходе можно определить по амплиту-де сигнала на входе.

Для LTI-систем итеративный (т.е. с помощью последовательных шагов) подход к анализу состояния системы не требуется, следует лишь иметь данные о начальном состоянии сис темы.

Поскольку ее характеристики не меняются со временем, каждый бито-вый поток не подвергается отдельному анализу. По принципу суперпозиции, индивидуальные характеристики пере-даваемых по шине предыдущего, теку-щего и последующего битов могут быть суммированы и сформирована общая характеристика интерфейса для любой комбинации данных.

МОДЕЛИРОВАНИЕ ВО ВРЕМЕННОЙ

ОБЛАСТИ

Для анализа целостности сигнала в системе на базе шины QPI компании Intel рекомендуется применять времен-ное моделирование битовых потоков и импульсной характеристики.

Временное моделирование шины QPI включает разбиение топологии системы на части и учет наиболее суще-ственных эффектов каждого блока в системной модели.

Основными частями топологии шины являются:

– передатчики;– приемники;– межсоединения.Моделирование передатчика

Моделирование драйверов шины QPI основано на трех ключевых эле-ментах:

– моделирование источников тока, ключей и выходного импеданса;

– моделирование корректирующих цепей;

– выбор входных тестовых сигна-лов и фильтров.

В общем случае, схема драйвера шины QPI весьма похожа на те, кото-рые используются для интерфейсов PCI Express, Serial ATA, USB и других последовательных интерфейсов, при-меняемых в компьютерных платфор-мах. Упрощенно передатчик можно представить в виде источников тока, как показано на рисунке 1. (Могут быть также использованы драйверы на базе источников напряжения. Однако на практике с помощью таких схем труд-нее добиться баланса скорости рас-пространения фронта сигнала по двум дифференциальным линиям из-за раз-броса параметров технологического процесса, уровня напряжения и тем-пературы). Уровни напряжения логи-ческих 0 и 1 формируются с помощью управляемых ключей, включенных между источником тока и выходами драйвера по комплементарной схеме. Резисторы нагрузки на каждом выходе драйвера преобразуют ток в напря-жение, когда соответствующий ключ закрыт.

В реальной схеме каждый ключ представляет собой один транзистор или набор транзисторов с конечным сопротивлением канала в открытом состоянии. Выходная нагрузка также имеет конечный импеданс и обычно представляет собой ряд параллельных резисторов, включенных последова-тельно с транзисторами, которые обе-спечивают автоматическую компенса-цию импеданса. Источник тока обычно состоит из одного или более управ-ляющих транзисторов, работающих в режиме насыщения, так что их выход-ной ток слабо меняется при изменении напряжения.

Импеданс драйвера может менять-ся в зависимости от состояния ключа

Page 73: Электронные компоненты №6/2010
Page 74: Электронные компоненты №6/2010

74

АН

АЛ

ОГО

ВЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

Рис. 3. Полная модель передатчика

и напряжения по различным причи-нам. Во-первых, импеданс источни-ка тока небесконечен. Во-вторых, использование для управления тран-зисторов или транзисторов с после-довательным сопротивлением не обеспечивает постоянное сопротивле-ние во всем диапазоне напряжений. Наконец, транзисторы, изготовлен-ные по со вре менному КМОП-про=цессу, имеют небольшую область насы-щения, по сравнению с напряжением питания. В результате, отклонение напряжения питания может вызвать выход источника тока из области насы-щения и, следовательно, из режима постоянного тока.

Вся схема может быть смодели-рована с использованием весьма небольшого количества компонентов и списка соединений, полученного с помощью любой коммерческой про-граммы SPICE. Более реалистичная

модель драйвера содержит идеаль-ный источник тока с параллельно включенным сопротивлением и емко-стью, что отражает реальный импе-данс источника тока, как показано на рисунке 2. Кроме того, в модель вклю-чена емкость, параллельная выходно-му резистору, а ключи представлены в виде резисторов с весьма высоким импедансом в выключенном состоя-нии и очень низким импедансом — во включенном состоянии. В модель может быть добавлен конденсатор на входе ключа (не показан) для имита-ции реальных переходных процессов на ключах.

Моделирование переходных про-цессов во временной области обычно выполняется с помощью средств анало-гового моделирования, таких как SPICE. Для этого необходимо задать набор входных сигналов управления ключами драйвера в аналоговом представлении

с реальной длиной фронтов, а не в виде идеальных уровней логического 0 и логической 1, как принято в цифро-вых пакетах разработки (например, при использовании VHDL или Verilog). Для точного представления модели при разработке схем для шины QPI в модель драйвера добавляют ограничители напряжения и фильтры, как показано на рисунке 3. Для представления комбина-ции данных, которые передаются драй-вером, можно использовать источники аналогового напряжения в диапазоне от 0 до 1 В DC. Ограничители напряже-ния позволяют моделировать измене-ние выходного напряжения, вызванное отклонениями в технологическом про-цессе, напряжении питания и темпера-туры, связанными с крупносерийным производством. Фильтры (как правило, Бесселевы) позволяют подавить высо-кочастотные помехи, присутствующие в аналоговом битовом потоке.

В отличие от PCIe, шина Intel QPI 1.0 не использует схему кодирования, подобную 8b/10b или 64b/66b. Набор входных сигналов для моделирования буфера может поэтому содержать сиг-налы любой частоты. Этот набор сигна-лов, однако, должен содержать какой-либо код шифрования.

Еще одним компонентом, который необходимо моделировать при раз-работке системы на базе шины QPI, является корректор канала передачи данных. В шине QPI, подобно PCIe, Serial ATA и другим последовательным диф-ференциальным интерфейсам, исполь-зуется коррекция, которую называют также предыскажениями или компенса-цией предыскажений. Предыскажения подразумевают, что характеристика системы в полосе пропускания сгла-живается путем повышения выходной мощности драйвера на высоких часто-тах для компенсации высокочастотных потерь. Компенсация предыскажений подразумевает, что характеристика системы сглаживается путем умень-шения выходной мощности драйвера на низких частотах для согласования с потерями на высокой частоте. В обоих случаях частотная характеристика сгла-живается, что уменьшает межсимволь-ные помехи и джиттер в системе.

Обычно в последовательных диф-ференциальных интерфейсах могут использоваться только два источника тока для усиления выходного сигнала и компенсации межсимвольных помех. Более сложные системы, особенно системы на базе соединительной (мате-ринской) платы с множеством разъе-мов, могут потребовать дополнитель-ных драйверов для компенсации более сложной отражающей среды. Каждый дополнительный драйвер можно смо-делировать как источник тока со всеми необходимыми компонентами.

Рис. 2. Детализированная модель передатчика

Page 75: Электронные компоненты №6/2010

АН

АЛ

ОГО

ВЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

75

Электронные компоненты №6 2010

Для упрощения анализа сложной системы драйверов модель должна содержать параметризованные значе-ния импеданса драйвера (в виде рези-сторов и конденсаторов), выходную мощность каждого источника тока, ожидаемый размах напряжения на выходе, а также параметры входных сиг-налов управления (например, скорость нарастания фронта сигнала). Выходной ток каждого драйвера можно устано-вить в соответствии с оптимальными коэффициентами коррекции, необхо-димыми для снижения или подавле-ния межсимвольных помех в системе. Следовательно, формат используемой для представления драйвера модели должен поддерживать управление переменными всех этих компонентов. Такие форматы как Verilog-A и SPICE обеспечивают, как правило, достаточ-но хороший баланс между скоростью моделирования, стоимостью про-граммы и простотой модели. Форматы Verilog-AMS и VHDL-AMS менее привле-кательны из-за более дорогих инстру-ментов, которые их поддерживают, а традиционный формат IBIS (версии 4.0 и ниже) требует отдельных моделей для каждой переменной, что услож-няет анализ системы и необходимость регулировки параметров модели.

В общем случае буфер для моде-лирования шины QPI подобен тому, который используется для моделиро-вания интерфейсов SMI или PCIe (про-изводительностью 5 ГТ/с) на серверной платформе. Основное отличие состоит в том, что в PCIe компенсация буфера ограничена лишь одним из двух или трех вариантов установки («компенса-ция отключена», 3,5 или 6 дБ).

Следует учесть еще несколько моментов при конкретной реализа-ции канала QPI. Во-первых, при до бав-лении драйвера в систему мощность не возрастает. Другими словами, общая мощность, обеспечиваемая неком-пенсированным и компенсированным передатчиком, должна быть одинако-вой. При компенсации изменяется толь-ко распределение мощности в зависи-мости от коэффициентов компенсации. Простым способом проверки того, что используются корректные драйверы, является сложение абсолютных значе-ний их децимальных коэффициентов (например, 0,8; −0,15; −0,05). Их сумма должна быть равна 1,0. Инструменты и модели Intel выполняют это автомати-чески.

Моделирование приемника

В современных системах на базе QPI не требуется компенсация с помощью конфигурируемых приемников, поэто-му схема и соответствующая модель приемника довольно просты, по срав-нению с передатчиком.

Как показано на рисунке 4, прием-ник QPI можно смоделировать в виде резистора и конденсатора, включенных параллельно. Сам приемник содержит оконечную нагрузку, компенсируемую автоматически, как в случае драйве-ра. Как в любом буфере, контактный вывод устройства имеет емкость, т.к. обеспечивает соединение между этим выводом и другими компонентами при-емника. Величина этой емкости незна-чительно меняется в зависимости от технологического процесса, напряже-ния и температуры, что следует учиты-вать при создании модели.

В более сложных системах частью схемы может быть усилитель, включен-ный после R-C-цепи. Усилитель пред-ставляется в виде таблицы частотно-зависимых значений напряжений, которая задает характеристику усили-теля в диапазоне полосы пропускания интерфейса.

Моделирование компонентов

системы межсоединений

Активными устройствами канала QPI являются только драйвер и приемник. Остальные части шины — это корпуса устройств, проводники печатных плат, разъемы компонентов, переходные отверстия в печатной плате, а также межплатные и тестовые разъемы.

Эти элементы межсоединений мож-но смоделировать несколькими спо со-бами, включая использование:

– RLGC-матриц W-элементов;– списка соединений SPICE для ком-

понентов R, L и C, плюс источники тока и напряжения.

Межсоединения могут быть также смоделированы с использованием S-па ра метров.

Обычно, все модели межсоедине-ний строятся с помощью анализатора полей. Этот инструмент моделирова-ния анализирует распределение элек-трических и магнитных полей в межсо-единениях в двух или трех измерениях. Наиболее распространенной двумер-ной моделью межсоединений в настоя-щее время является модель на основе W-элементов RLGC-матрицы.

Модели про-водников на базе R L G C - м а т р и ц ы включают приве-денные значения собственной и вза-имной индуктивно-сти (L) и емкости (C) на единицу длины отдельной дорожки или группы доро-жек межсоедине-ний. В модель могут быть также включе-ны постоянные и

частотно-зависимые сопротивления (R) и проводимости (G), которые отражают потери. Предполагается, что поведе-ние электрических параметров прово-дников меняется только по их длине (другими словами, т.к. RLGC-матрицы — двумерные, то они не отражают изме-нения свойств в поперечном сечении проводника заданной длины).

Наиболее распространенным фор-матом W-элементов являются отдель-ные матрицы L, C, R, и G, причем R- и G-матрицы разделяются на матрицы переменного и постоянного тока. Матрицы индуктивности и сопротив-ления относятся к проводникам линий передачи данных, а матрицы емкостей и проводимостей относятся к диэлек-трику около проводника. Обычно пред-полагается, что для RLGC-матрицы при-менимо так называемое телеграфное уравнение и, кроме того, индуктивность и емкость не меняются с частотой.

Обычно полагается, что соотноше-ние между матрицами сопротивления и проводимости определяется исходя из следующих уравнений:

R(f) = R0 + RS ,

G(f) = G0 + Gdf,

где f — частота.Вполне допустимые для низкоча-

стотных межсоединений, эти уравне-

Рис. 4. Буфер приемника

Page 76: Электронные компоненты №6/2010

76

АН

АЛ

ОГО

ВЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

WWW.ELCP.RU

ния могут нарушать базовые физи-ческие соотношения для систем, работающих на высоких частотах. Табличная форма W-элементов на основе RLGC-матриц обеспечивает точную частотную зависимость ком-понентов R, L, G и C, поэтому такой формат представления данных реко-мендуется для моделирования гига-герцовых систем.

Недостаток метода на основе W-элементов заключается в его огра-ниченном представлении взаимосвязи элементов. В W-элементе не учитывают-ся связи с элементами вне двумерной плоскости, в которой анализатор полей захватывает данные для модели.

Влияние топологии печатной платы

Разводка компонентов системы на базе шины QPI может быть не всегда проста. Даже для проектов без разъе-мов проводники могут иметь меньшую ширину в изолированной области под или около компонентов для того, чтобы обеспечить высокую плотность раз-водки. Импеданс проводников в этой области будет отличаться от основной части проводников. Эти участки сле-дует моделировать отдельно для того, чтобы учесть возможность появления потенциальных источников межсим-вольной интерференции.

Модели проводников должны также отражать отклонения свойств печат-ных плат при массовом производстве. Руководства по проектированию плат-формы Intel определяют отклонения импеданса для каждого интерфейса на каждом слое печатной платы. При соз-дании моделей следует учитывать не только импеданс, но также потери и другие эффекты, связанные с особен-ностями производства печатных плат. Среди параметров, которые нужно включить в расчет, — рабочая темпе-ратура и влажность в системе, а также эффективная диэлектрическая посто-янная и тангенс угла потерь для печат-ных плат.

Проводники печатной платы, рас-положенные вблизи друг от друга и имеющие общие конечные точки, хоро-шо описываются с помощью модели на основе RLGC-матрицы. Однако из-за проблем описанных выше взаимной связи формат W-элементов предполага-ет, что все линии модели должны иметь точно одинаковую длину. В реальных конфигурациях платы встречается рассогласование длины проводников, и в данной ситуации может потребо-ваться применение более сложных методов моделирования (например, 3D-анализаторы полей).

Стекловолоконные нити печатной платы могут оказывать существенное влияние на эффективную диэлектри-ческую проницаемость платы. Этот

эффект не всегда легко удается ком-пенсировать в процессе моделирова-ния системы. Полный 3D-анализатор полей может учитывать взаимодей-ствие сигнала с областями стекла и эпоксидной смолы, однако это воз-можно только в том случае, когда доступна детальная информация о свойствах материалов каждого ком-понента диэлектрика. Кроме того, для успешного анализа этим методом необходимо знать точную ориента-цию стекловолоконных нитей относи-тельно проводников.

Более практичным подходом для учета влияния стекловолокна являет-ся допущение однородности диэлек-трика, имеющего наихудшие электри-ческие параметры. Для платформы Intel существуют специальные руко-водства по разводке проводников для уменьшения эффекта стекловолокна в печатных платах, и при моделировании анализируются установленные этими руководствами допуски. Наиболее совершенные модели потерь в про-водниках учитывают скин-эффект, когда эффективная толщина металла проводников уменьшается. С увеличе-нием рабочих частот сигнал в прово-дниках мигрирует в сторону меньших размеров проводников, и эффектив-ное сопротивление увеличивается. Простой расчет может дать информа-цию о глубине, на которую сигнал про-никает в металлический проводник.

При создании модели и анали-зе потерь в проводниках необходи-мо также учитывать шероховатость поверхности металлической фольги, которая используется при производ-стве плат для улучшения адгезии про-водников к плате.

Корпуса и разъемы

В общем случае, корпуса как пере-датчика, так и приемника моделиру-ются с использованием комбинации W-элементов (для подложки корпуса, которая весьма схожа с печатной пла-той) и эквивалентной модели SPICE (для переходных отверстий и шариковых выводов корпуса, которые недостаточ-но хорошо описываются с помощью 2D-методов). Эти корпуса поставляются вендорами и не могут быть модерни-зированы каким-либо образом систем-ным разработчиком. В большинстве случаев, отклонения импеданса корпу-сов и длины проводников могут быть смоделированы.

В системах, использующих шину QPI, процессоры обычно имеют разъемные соединения, а концентратор ввода/вывода припаивается непосредствен-но на печатную плату. В результате, набор моделей для представления шины Intel QPI включает процессор-ный разъем в составе модели корпуса

процессора, который моделируется подобно корпусам.

Соединители

Наиболее вероятно, что соедини-тели для шины Intel QPI используют-ся в многопроцессорных системах на базе процессоров Intel Xeon или Intel Itanium. Например, системы, смонти-рованные в стойке, могут включать 4, 8 и более процессоров и/или концен-траторов ввода/вывода, присоединен-ных с помощью разъемов к системной плате. Электрически соединитель для шины Intel QPI должен обеспечивать малые потери, хорошее согласование импеданса со специфицированным значением (85 Ом) и низкие пере-крестные помехи между сигналами. Кроме того, следует учитывать, что некоторые конструкции соедините-лей (например, с расположенными под углом 90° выводами) могут вносить больше отклонений в расстояние, про-ходимое сигналом, по сравнению с другими конструкциями.

Перед тем как выполнить модели-рование, следует найти оптимальное соотношение между точностью модели соединителя и временем моделирова-ния. Хорошим методом преобразова-ния данных о параметрах переходных отверстий и соединителей в SPICE-формат эквивалентной схемы являет-ся аппроксимация дробной функцией (Ra ti onal Function Approximation — RFA). Однако, размер модели прямо пропор-ционален точности моделирования. Более крупные модели требуют больше времени моделирования. По этой при-чине во многих случаях более практич-ной альтернативой является примене-ние W-элементов для моделирования соединителей. Однако отметим, что W-элементы, не будучи 3D-моделями, дают более оптимистичные результаты относительно величины перекрестных помех.

В некоторых случаях моделирова-ние во временной области возможно с помощью непосредственного исполь-зования частотных моделей (например, моделей на базе S-параметров, исполь-зуемых в SPICE). Однако следует учи-тывать, что для моделирования шины Intel QPI данные этой модели должны соответствовать ширине полосы про-пускания до 100 ГГц, и точность модели должна быть весьма высока.

Переходные отверстия

Концептуально метод моделиро-вания переходных отверстий весьма схож с тем, который используется для соединителей. Любая модель, исполь-зуемая для представления переходно-го отверстия, должна включать эффект взаимной связи с другими переходны-ми отверстиями и ближайшими эле-

Page 77: Электронные компоненты №6/2010

АН

АЛ

ОГО

ВЫ

Е К

ОМ

ПО

НЕ

НТ

Ы

77

Электронные компоненты №6 2010

ментами печатной платы. Модель также должна корректно отражать паразит-ную емкость переходного отверстия. Потери на рассеивание энергии в пере-ходных отверстиях малы, однако их длина может быть значительной относи-тельно скорости фронта сигнала, пере-даваемого через них. Соответственно, переходное отверстие может напоми-нать линию передачи, поэтому следу-ет учитывать это при расчете общего импеданса для представления пере-ходного отверстия в модели. Полоса пропускания для модели переходного отверстия должна быть близкой к той, которая используется для любого сое-динителя в системе.

Анализ системы во временной области

Моделирование канала шины Intel QPI основано на анализе формы сигна-лов, которые формируются инструмен-том SPICE. Модели драйвера, приемника и линий передачи объединяются в спи-сок соединений. На драйвер подаются входные импульсы или битовый поток, а на выводах приемника формирует-ся выходной сигнал. Обработка этих сигналов выполняется программными инструментами Intel, формируется глаз-ковая диаграмма и, опционально, ком-бинация битов для наихудшего случая. Некоторые ключевые моменты моде-лирования и последующей обработки результатов обсуждаются далее.

Типы анализа

Модели компонентов шины Intel QPI поддерживают два вида анализа. Первый вид анализа — это битовый поток, когда на вход драйвера подают комбинации битов (логических нулей и единиц). Эта комбинация может быть произвольной длины и определена пользователем или сгенерирована в результате последующей обработ-ки других сигналов. Другой вид ана-лиза — это анализ пиковых искаже-ний (Peak Distortion Analysis — PDA), который основан на передаче драй-вером по шине единичного импульса или бита. Этот импульс, длительность которого составляет только один еди-ничный интервал (UI), используется в LTI-системе для снятия характеристик, касающихся отражений в системе. Для суммирования импульсной характери-стики и отражений в системе исполь-зуется процесс свертки, что позволя-ет определить наименьший уровень напряжения логической 1 и наивыс-ший уровень напряжения логического 0 для данной топологии. Затем может быть построена глазковая диаграмма наихудшего случая для данного интер-фейса.

Заметим, что списки соединений, требуемые для моделирования и обе-спечиваемые компанией Intel, исполь-

зуют три дифференциальные пары (6 сигналов). При анализе PDA на внеш-ние пары не подаются никакие сигна-лы. На них демонстрируется эффект перекрестных помех из-за электромаг-нитной связи между проводниками на печатной плате, корпусах и разъемах. На центральную пару подается один импульс. При анализе битового потока на всех трех парах могут присутство-вать различные входные сигналы.

Межсимвольные и перекрестные

помехи

Для выявления эффекта межсим-вольных помех необходимо выполнять моделирование на достаточно дли-тельном интервале времени с исполь-зованием определенной комбинации битов для того, чтобы проанализиро-вать поведение системы в наихудшем случае. Кроме того, для поиска наибо-лее сильного эффекта межсимвольных помех необходимо учитывать крайние случаи разрыва непрерывности пол-ного сопротивления в шине для дан-ной топологии. Инструменты Intel обе-спечивают битовые комбинации для крайних случаев для любой топологии шины. Как уже было сказано, предла-гаемые компанией Intel топологии в качестве примера разводки шины QPI включают три дифференциальные пары. Поскольку перекрестные помехи являются основной причиной сниже-ния напряжения логической 1 и повышения напря-жения логического 0 глазковой диа-граммы, эту кон-фигурацию следует использовать при м о д е л и р о в а н и и любой топологии печатной платы. На рисунке 5 показа-но влияние пере-крестных помех от центральной

Рис. 5. Перекрестные помехи и импульсная характеристика приемника

дифференциальной пары сигналов на внешние дифференциальные пары.

Поскольку эффект перекрестных помех может существенно влиять на системные характеристики, требуется выполнять тщательную проработку топологии и анализ помех при разра-ботке надежной системы на базе шины Intel QPI.

Джиттер

Джиттер может возникать из-за эффекта межсимвольных помех, а также от других источников помех, таких как источники питания, и пере-крестных помех от других сигналов, наведенных на проводники шины. Для анализа при моделировании во временной области джиттер обычно не включается в управляющие бито-вые комбинации и модели приемника. При моделировании рассматривается только джиттер, причинами которого являются межсимвольные помехи и взаимная связь с другими сигналами шины QPI. Другие источники джиттера учитываются в спецификации и закла-дываются в общую глазковую диаграм-му на выводах приемника.

ЛИТЕРАТУРА1. Dave Coleman, Michael Mirmak. Time

Domain Modeling and Simulation of Intel QuickPath Interconnect Circuits.

Page 78: Электронные компоненты №6/2010

78

СТА

НД

АР

ТН

ЫЕ

ЦИ

ФР

ОВ

ЫЕ

МИ

КР

ОС

ХЕ

МЫ

И П

АМ

ЯТ

Ь

WWW.ELCP.RU

В статье представлено техническое описание микросхемы быстро-действующего восьмиразрядного буферного формирователя 5861АП1У. Отличительные особенности микросхемы – высокая нагрузочная способ-ность (до 60 мА по каждому выходу), возможность объединения выходов с увеличением выходного тока до 480 мА, наличие встроенного устройства адаптивной фильтрации коротких помех, широкий рабочий диапазон температур (–60…125°С), напряжение питания 4,5…5,5 В.

МИКРОСХЕМА БЫСТРОДЕЙСТВУЮЩЕГО ВОСЬМИРАЗРЯДНОГО БУФЕРНОГО ФОРМИРОВАТЕЛЯ 5861АП1УЛЕОНИД АВГУЛЬ, к.т.н., заместитель генерального директора по научной работе, НТЦ «ДЭЛС»БОРИС ИВАНОВ, начальник отдела, ОАО «ГСКБ «Алмаз-Антей»ВИКТОР КРЯЖЕВ, начальник отдела, НТЦ «ДЭЛС»СЕРГЕЙ КУРНОСЕНКО, к.т.н., начальник отдела, НТЦ «ДЭЛС»СЕРГЕЙ ТЕРЕШКО, к.т.н., генеральный директор, НТЦ «ДЭЛС» .

ОСНОВНЫЕ ТЕХНИЧЕСКИЕ

ХАРАКТЕРИСТИКИ

Микросхема 5861АП1У — восьми-разрядный буферный формирователь с высокой нагрузочной способностью.

Микросхема может быть использо-вана для передачи цифровых сигналов по длинным линиям связи с возможно-стью защиты от импульсных помех.

Микросхема изготавливается по КМОП-технологии и имеет ТТЛ-совмес-тимые входы и выходы. Конструктивно она выполнена в планарном металло-керамическом корпусе типа Н16.48-1В с четырехсторонним расположением выводов. Назначение выводов микро-схемы приведено в таблице 1, а ее основные параметры — в таблице 2.

СТРУКТУРНАЯ СХЕМА

Структурная схема микросхемы 5861АП1У приведена на рисунке 1.

Микросхема содержит восемь идентичных каналов, каждый из кото-рых включает в себя схему транзита, цифровой фильтр, мультиплексор и выходной буфер. Кроме того, микро-схема содержит схему управления, которая осуществляет синхрониза-цию и управление режимами работы микросхемы.

РЕЖИМЫ РАБОТЫ

Режимы работы микросхемы пред-ставлены в таблице 3.

Микросхема работает в двух режи-мах, определяемых значением сигнала SEL. При SEL = «0» цифровая фильтра-ция отключена, и микросхема осущест-вляет транзит входных сигналов на

выход микросхемы. При SEL = «1» вход-ные сигналы проходят через цифро-вой фильтр, где проводится селекция коротких импульсных помех.

Сигнал на входе EN служит для раз-решения работы соответствующего канала. При EN = «0» канал функциони-рует в зависимости от значения сигнала

Таблица 1. Назначение выводов микросхемы

Обозна-чение

Назначение

DI [7:0] Входная шина данных

EN [7:0] Входная шина сигналов разрешения

DO [7:0] Выходная шина данных

C Вход сигнала синхронизации

SEL Вход сигнала выбора режима

Vсс Вывод питания от источника напряжения

GND Общий вывод Рис. 1. Структурная схема микросхемы

Page 79: Электронные компоненты №6/2010

СТА

НД

АР

ТН

ЫЕ

ЦИ

ФР

ОВ

ЫЕ

МИ

КР

ОС

ХЕ

МЫ

И П

АМ

ЯТ

Ь

79

Электронные компоненты №5 2010

Таблица 3. Режимы работы микросхемы

Режимработы

Входы Выход

SEL EN DI C DO

Передача данных

без фильтрации

0 0 0 Х 0

0 0 1 Х 1

0 1 Х Х 0

Передача данных

с фильтрацией

1 0 0 0*

1 0 1 1*

1 1 Х Х 0

* Выдача сигналов на выходы осуществляется с задерж-

кой на два такта сигнала синхронизации С.

X — безразличное состояние на входе: любое напряже-

ние низкого или высокого уровня.

Рис. 3. Временная диаграмма работы цифрового фильтра. Входные сигналы — помехи

Рис. 2. Функциональная схема цифрового фильтра

Рис. 4. Временная диаграмма работы цифрового фильтра. Входные сигналы — полезные

Таблица 2. Основные параметры микросхемы

Наименование параметра, единица измеренияОбозначение

параметра

Норма параметра

Не менее Не более

Напряжение питания, В UCC 4,5 5,5

Ток потребления, мА ICC – 5,0

Динамический ток потребления, мА IОCC – 150

Выходной ток низкого уровня, мА IOL – 60*

Выходной ток высокого уровня, мА IOH – |–60|*

Время задержки распространения при включении (выключении), нс tPHL (tPLH) – 8

Частота следования импульсов тактовых сигналов, МГц fC – 90

Температурный диапазон, °С Ta –60 125

* Микросхема допускает объединение выходов с целью увеличения суммарного выходного тока. При этом соответ-

ствующие входы микросхемы обязательно должны быть также объединены.

SEL. При EN = «1» на выходе DO форми-руется низкий логический уровень, и любые изменения сигнала на входе DI игнорируются.

ФУНКЦИОНИРОВАНИЕ ЦИФРОВОГО

ФИЛЬТРА

Функциональная схема цифрового фильтра представлена на рисунке 2.

Цифровой фильтр распознает корот-кие одиночные импульсы, которые идентифицируются как «помеха», и не пропускает их на выход. При этом на выходе схемы сохраняется предыду-щее состояние.

Помехами считаются импульсы, во время действия которых происходит менее двух изменений сигнала синхро-низации на входе С (см. рис. 3). При этом длительность помех может быть как меньше полупериода сигнала синхро-низации (первый и второй импульсы на рис. 3), так и больше полупериода, но меньше периода сигнала синхрониза-ции (третий импульс на рис. 3).

Входные сигналы, во время дей-ствия которых приходит как минимум два фронта синхросигнала, считают-ся полезными и выдаются на выход микросхемы с задержкой на два такта сигнала синхронизации (см. рис. 4).

Поскольку функционирование циф-рового фильтра определяется пара-метрами сигнала синхронизации C, то изменением его частоты можно настра-ивать фильтр на селекцию помех тре-буемой длительности.

НОВОСТИ ПАССИВНЫХ КОМПОНЕНТОВ

| ПРИБОРНЫЕ РАЗЪЕМЫ C8 С СЕТЕВЫМИ ФИЛЬТРАМИ ЭЛЕКТРО-

МАГНИТНЫХ ПОМЕХ | Приборные разъемы IEC фирмы Schurter с

фильтрами электромагнитных помех (серия 5008) являются комби-

нацией приборного разъема IEC-C8 с сетевым фильтром. Сетевой

однокаскадный фильтр рассчитан на номинальные токи 2,5 A по

нормам IEC или на 6 A по нормам UL. Разъемы производятся в

стандартном и медицинском исполнениях. Интегрированный в

приборном разъеме прямо на сетевом входе фильтр электромаг-

нитных помех обеспечивает электромагнитную совместимость.

Имеются изделия с резьбовым креплением или для монтажа на

защелке, что упрощает установку. Разводка осуществляется через

контакты разъема. Серии имеют допуски ENEC и cURus и соот-

ветствуют требованиям стандартов для офисного и медицинского

оборудования (IEC60950, IEC60601-1). Фильтры предлагаются в

стандартном и медицинском исполнениях.

www.eetimes.eu

Page 80: Электронные компоненты №6/2010

80

ТЕ

ОР

ИЯ

И П

РАК

ТИ

КА

WWW.ELCP.RU

Технология OLED (Organic Light-Emitting Diode — органический светодиод, ОСД) — следующий шаг на пути эволюции твердотельного освещения (Solid State Lighting, SSL), источником которого служат полупроводники, а не нить накала или газ. Твердотельные источники света являются наиболее энергоэффективными, имеют более длительный срок службы и более экологичны. В статье, представляющей собой авторизованный перевод [1, 2], рассматриваются характеристики ОСД и возможности их применения.

OLED-ТЕХНОЛОГИЯ — ПЕРСПЕКТИВНОЕ РЕШЕНИЕ ДЛЯ СИСТЕМ ОСВЕЩЕНИЯ?

РАЗНИЦА МЕЖДУ СД И ОСД

В обеих технологиях свет генери-руется полупроводниками, которые преобразуют электрическую энергию в световое излучение. Светодиоды позволяют создавать цветовые эффек-ты, что намного превышает возмож-ности ламп накаливания. В качестве источников света, обеспечивающих высокую энергоэффективность, у СД и ОСД большое будущее. Однако на этом сходство между ними заканчива-ется. Существует ряд различий между СД и ОСД по структуре, типу излучае-мого света и способам их применения, за счет которых они дополняют друг друга.

СРАВНЕНИЕ ОСД И СД ПО ТИПУ

ИЗЛУЧАЕМОГО СВЕТА

Ключевая разница между струк-турами ОСД и СД заключается в том, что ОСД созданы на основе органи-ческих полупроводников (например, тех, которые используются в органи-ческих солнечных элементах), тогда как основу СД составляет неорганический кристалл. Имеется также визуальное отличие между этими двумя типами твердотельных источников света. СД представляют собой мерцающие точки

света, тогда как ОСД — плоские панели, которые испускают равномерный свет, распределенный по всей поверхности устройства. Излучение от них более спокойное, теплое, рассеянное и неяр-кое.

Благодаря тонкой и плоской кон-струкции ОСД, у них большие воз-можности монтажа, чем у СД или любых других источников света. СД за счет компактности превос-ходят ОСД в способности создавать направленное излучение. ОСД никог-да не смогут заменить светодиоды, у которых особые области примене-ния, однако эти типы светодиодов очень хорошо дополняют друг друга, обеспечивая различные возможно-сти в новом оптико-цифровом осве-

Рис. 2. Яркость ОСД является функцией источника напряжения (а) и плотности тока (б)Рис. 1. Структура ОСД

щении, которое находит все большее применение как энергосберегающая технология.

ПРИНЦИП РАБОТЫ ОСД

ОСД представляет собой тонко-пленочную многослойную структуру из органических полупроводников, помещенных между катодом и ано-дом (см. рис. 1). При подаче на анод положительного относительно катода напряжения возникает поток электро-нов, протекающий через пленочную структуру от катода к аноду. Анод, в свою очередь, забирает электро-ны из проводящего слоя, или отдает дырки. Эмиссионный слой получает отрицательный заряд, а проводящий слой — положительный. Под действи-ем электростатических сил электроны и дырки движутся навстречу друг к другу и при встрече рекомбинируют. Этот процесс сопровождается испуска-нием электромагнитного излучения в области видимого света.

Яркость излучения определяется напряжением между электродами и плотностью тока (см. рис. 2). Для гене-рации излучения разного цвета при создании пленок используются разные материалы.

Принцип действия ОСД идентичен тому, который работает в СД: транс-портный и эмиссионный слои явля-ются органическими эквивалентами тонких InGaN-пленок, применяемых в конструкции голубых или зеленых СД. Однако имеются и важные различия. Белые светодиоды ограничены по раз-меру, который составляет около 1 мм2, тогда как ОСД можно изготавливать размером в 1 м2.

В зависимости от применяемого органического материала для эмисси-онного слоя, ОСД могут излучать любой цвет, в т.ч. белый с разной цветовой температурой.

ВЛАДИМИР КОНДРАТЬЕВ, тех. консультант, ИД «Электроника»

Page 81: Электронные компоненты №6/2010

ТЕ

ОР

ИЯ

И П

РАК

ТИ

КА

81

Электронные компоненты №6 2010

Таблица 2. Преимущества ОСД-освещения в настоящее время

Характеристики Преимущества

Тонкая конструкция Большие возможности проектирования

Малый вес Идеальный источник света в чувствительных к весу приложениях

Рассеянный неяркий свет Отсутствие бликов

Высокая светоотдачаВ настоящее время этот показатель сравним с показателем галогенных

ламп. В будущем его значение увеличится до 140—150 лм/Вт

Отсутствие вредных материалов в конструкцииЭкологичность

Простота утилизации

Низкое напряжение Безопасность эксплуатации

Таблица 3. Перспективы ОСД-освещения

Характеристики Преимущества

Регулировка цвета Использование ОСД разного цвета расширяет возможности проектирования

Высокая цветопередача Увеличивается диапазон применения

Прозрачность и гибкостьИнтеграция в приложения

Источник света невидим в выключенном состоянии

ОСОБЕННОСТИ ОСВЕЩЕНИЯ

НА ОСНОВЕ ОСД

До сих пор стеклянная подложка ОСД — единственный прозрачный материал, который защищает внутрен-нюю структуру ОСД от воздействия влаги и воздуха. В настоящее время ведется работа по созданию пласт-массовой подложки, обеспечивающей необходимую защиту. Такая подложка позволит изготовлять гибкие и пластич-ные ОСД-панели для осветительных нужд, превратив любую — плоскую или изогнутую — поверхность в источник света. Вероятно, гибкие панели на осно-ве органических светодиодов появятся через 5—8 лет.

Органические светодиоды имеют уникальные характеристики и возмож-ности, которые могут оказать большое влияние на применяемые методы осве-щения. Во-первых, ОСД создают мягкий рассеянный свет, а не яркое излучение. Излучение у ОСД равномерное, сопро-вождается малым тепловыделением, очень хорошо регулируется (см. рис. 2, 3). Благодаря таким качествам этот тип светодиодов широко используется дизайнерами, художниками и архитек-торами при создании новых концепций освещения, которые позволяют в корне изменить привычный вид помещений.

Перечисленные характеристики ОСД совместно с их высокой светоотдачей и продолжительным сроком службы — далеко не все параметры, определяю-щие возможность работы ОСД в каче-стве источников света в светильниках.

С точки зрения перспективы разра-ботки, производителей светильников интересуют следующие оптические характеристики любого источника света (см. табл. 1).

Производители ОСД, как правило, называют три параметра ОСД белого свечения: светоотдача (лм/Вт), яркость (кд/м) и срок службы (ч). Первые два хорошо известны, а в отношении срока службы надо сказать несколько слов.

В соответствии со стандартом IES LM-80-08, определяющим время дегра-дации,

номинальный срок сохранности светового потока Lp — это «рабочее время, в течение которого световой поток СД сохраняется на уровне p от начального значения». Например, для

Рис. 3. Источник света на основе ОСД с регулируе-мой яркостью, компания Philips Lighting

Таблица 1. Оптические характеристики источников света

Характеристика Стандарт

Светоотдача, лм/Вт

Яркость, кд/м

Срок службы L70, ч IES LM-80-08

Относительная цветовая температура, К ANSI C78.377

Коэффициент цветопередачи, RA CIE 13.3-1994

Сортировка по цвету ANSI C78.377

Универсальный показатель ослепленности CIE 117-1995

подсветки дисплея используются ОСД со значением L50, а в архитектурном освещении — L70. Как правило, мно-гие производители заявляют о соответ-ствии времени деградации их изделий на уровне L50. Чтобы получить значе-ние для L70, следует разделить на два величину для L50.

К началу этого года показатели коммерчески пригодных белых ОСД-панелей для освещения были сле-дующими: светоотдача — 15 лм/Вт; яркость — 1000 кд/м2; время деграда-ции L70 — 5 тыс. ч. Однако техноло-гия органических светодиодов быстро развивается. Например, производитель Visionox представил макет настольной лампы, у которой эти параметры были следующими: 40 лм/Вт; L70 — 50 тыс. ч.

В соответствии с законом Хейца, который успешно предсказал удвоение

световой яркости СД каждые 1,5 года, можно разумно заключить, что светиль-ники для архитектурного освещения вот-вот появятся. Производители ОСД называют сроки в 3—5 лет. Возникает разумный вопрос: что представляет собой светильник на органических све-тодиодах?

ПЕРСПЕКТИВЫ РАЗВИТИЯ ОСД

В ближайшие несколько лет дизай-неры, архитекторы и другие потреби-тели продукции на основе ОСД будут использовать ее во многих приложени-ях. В результате интенсивной научно-исследовательской работы осваивают-ся новые области применения изделий с использованием органических свето-диодов. Возможно, в скором будущем появятся переливающиеся разными цветами потолки, стеклянные стены,

Рис. 4. Перспективы развития ОСД по версии Philips Lighting

Page 82: Электронные компоненты №6/2010

82

ТЕ

ОР

ИЯ

И П

РАК

ТИ

КА

WWW.ELCP.RU

освещаемые взмахом руки, или окна, начинающие светиться с наступлени-ем темноты [1]. ОСД будут востребо-ваны там, где необходим ровный свет, яркость и цвет которого можно регу-лировать, а также в подсветке поверх-ностей произвольной формы (см. рис. 4 и табл. 2 и 3).

Однако многие производители ОСД не считают, что их изделия заменят тра-диционные лампы [2]. Дизайнеры осве-щения мечтают о создании светящихся обоев — механически гибкого источни-ка света, который можно использовать в любом помещении. Вопрос в том, при-мут ли эту радикально иную концепцию освещения потребители, которые при-выкли пользоваться лампами, засло-няясь при необходимости от прямого света?

Для ответа на этот вопрос достаточ-но вспомнить модную полвека назад концепцию «светящихся потолков» на кухнях в жилых помещениях или в футуристических фильмах. Однако большинству потребителей она не пришлась по душе. Заметным исклю-чением стало освещение в Чикагском художественном институте, где мяг-кое, не дающее теней, излучение хоро-шо подошло для экспозиции скульптур и картин. Многие другие примеры говорят о том, что предпочтительным является направленное освещение с тенями.

Несмотря на то, что большинство потребителей не принимает идею све-тящихся обоев и потолков, существу-ет рынок миллионов люминесцентных трофферов (встраиваемых светильни-ков), которые подлежат замене. Похоже, энергоэффективные ОСД-панели ста-нут идеальным решением этой задачи. Существует, однако, иная проблема — ослепляющий эффект.

ОСЛЕПЛЯЮЩИЙ ЭФФЕКТ

Сила света линейных люминесцент-ных трофферов ограничена при боль-ших углах зрения для предотвраще-ния ослепляющего эффекта. Например, стандарт ANSI/IESNA RP-1 устанавлива-ет максимальную интенсивность в диа-пазоне от 300 кд при 65°С до 60 кд при 85°С. Более специфичные требования

стандарта CIE 117 определяют универ-сальный показатель ослепленности для направленных светильников.

Для моделирования освещения в помещении компания Lighting Analysts предлагает профессионалам пакет про-граммного обеспечения AGi32, с помо-щью которого устанавливаются количе-ственные показатели.

Нельзя заменять ОСД-панелями линейные люминесцентные трофферы направленного излучения, если при этом не используются оптические мето-ды управления яркостью панелей при больших углах зрения. К сожалению, единственный способ добиться этого, не уменьшив светового потока панелей, заключается в повышении их яркости до уровня линейных люминесцентных ламп Т8 — 10000 кд/м2.

ОСД-панели можно использовать в качестве источников света в подвес-ных линейных светильниках ненаправ-ленного излучения, однако при этом требуется обеспечить Ж-образное рас-пределение силы света, чтобы избе-жать неприглядных ярких участков на потолке. Опыт проектирования таких светильников говорит о том, что при этом также необходимо реализовать яркость порядка 10000 кд/м2.

ИЗМЕНЕНИЕ ЦВЕТА

В ОСД белого свечения, как пра-вило, используются разные электро-люминесцентные материалы для создания красного, зеленого и сине-го излучения (см. рис. 4). Балансируя между светоотдачей каждого матери-ла, производитель ОСД устанавливает относительную цветовую температуру (CCT — Correlated Color Temperature) в широком диапазоне значений. Для рынка архитектурного освещения это диапазон 2700…6500 К (ANSI C78.377).

Недостаток такого подхода заклю-чается в том, что каждый электролюми-несцентный материал имеет свой срок службы L70, причем у синих ОСД этот показатель, как правило, вполовину меньше, чем красных и зеленых мате-риалов ОСД. По мере старения пане-ли в ней неизбежно исчезают синие оттенки.

Однако для телеприемников с ОСД-экраном такой проблемы не существу-ет, поскольку каждый цветовой пиксел независимо управляется видеокон-троллером. Все, что требуется в таком случае, это время от времени устанав-ливать приемлемый цветовой баланс дисплея. У ОСД-панелей белого свече-ния отсутствует управление цветом. В результате изменение их цвета может стать неприемлемым задолго до окон-чания времени деградации по уров-ню L70. Грубый расчет показывает, что это может произойти после снижения уровня светового потока на 1—3%.

Рис. 5. Типичный спектр ОСД белого свечения

Что значит «неприемлемое» изме-нение цвета? Стандарт ANSI C78.377 допускает изменение чистоты белого цвета СД в пределах 7-шаговых эллип-сов МакАдама. Существует также стан-дарт ANSI C78.376, который опреде-ляет меньшие — 4-шаговые эллипсы МакАдама для линейных и некоторых компактных люминесцентных ламп.

Одно из решений проблемы изме-нения цвета состоит в использовании для каждой из полос красных, зеле-ных и синих ОСД-материалов отдель-ных драйверов и оптической обратной связи — метода, применяемого для твердотельных светильников с RGB-светодиодами. Однако при этом экс-плуатация ОСД-панелей значительно усложняется.

ЗАКЛЮЧЕНИЕ

Требования к ОСД-светильникам для архитектурного освещения, касаю-щиеся ослепляющего эффекта и изме-нения цвета в процесс эксплуатации, важны, но не исчерпываются только этими вопросами. Имеются и другие параметры, которые следует принять во внимание — например, показа-тель цветопередачи и однородность яркости. Необходимо также учитывать проблемы, связанные с источником питания и теплоотводом той энергии, которая не преобразуется в свет.

Несмотря на тот оптимизм, который испытывает индустрия светотехники в отношении развития технологий орга-нических светодиодов, производители этой продукции, возможно, не в полной мере оценивают потребности архитек-турного освещения.

Однако в результате масштабных исследований становится ясно, что через некоторое время технологии ОСД достигнут в своем развитии сле-дующего этапа и станут востребован-ными на рынке осветительного обору-дования. После того как будут найдены фосфоресцирующие голубые источни-ки света, обеспечивающие достаточно продолжительный срок службы, тех-нически возможные значения свето-отдачи превысят 110 лм/Вт. При этом наиболее сложным аспектом в реали-зации освещения на основе органиче-ских светодиодов является признание со стороны рынка конечных потреби-телей. Чтобы получить его, требуется обеспечить высокое качество продук-ции, утвердить стандарты и устано-вить хорошие контакты между всеми участниками рынка.

ЛИТЕРАТУРА1. Siegfried Luger. OLED Technology —

Status of a Promising Lighting Solution//www.led-professional.com.

2. Ian Ashdown. The Future of OLED Lighting//www.led-professional.com.

Page 83: Электронные компоненты №6/2010
Page 84: Электронные компоненты №6/2010
Page 85: Электронные компоненты №6/2010

ПО

СЛ

Е Р

АБ

ОТ

Ы

85

Электронные компоненты №6 2010

Цифровой термометр ВМ1707 осуществляет измерение температуры и термостатирование с возможностью передачи данных через интернет. Приведены описание и общий вид устройства, электрическая схема и рекомендуемое расположение элементов на печатной плате.

Цифровой USB-термометр BM1707

с мониторингом температуры

через интернет

СЕРГЕЙ СЛЕПНЁВ

Предлагаемый блок представляет собой многоканальный цифровой тер-мометр, подключаемый к персональ-ному компьютеру через порт USB. При желании к термометру можно под-соединить внешнее исполнительное устройство (блок реле) и подключать или отключать нагрузки при изменении температуры (осуществлять термоста-тирование).

Устройство ВМ1707 полезно для при-менения в быту, дома, на даче, в бане. С его помощью можно производить измерения температуры окружающей среды, контролировать рабочую тем-пературу морозильников и холодиль-ных установок, управлять различны-ми нагрузками в автономном режиме, протоколировать измеренную темпе-ратуру, формировать файл с текущи-ми показаниями в HTML-формате (т.е. контролировать текущую температуру и состояние нагрузок через Интернет), управлять нагрузкой с помощью командного файла.

ОПИСАНИЕ УСТРОЙСТВА

Общий вид печатной платы устрой-ства представлен на рисунке 1. Электрическая принципиальная схема термометра приведена на рисунке 2. В таблице 1 указаны технические харак-теристики ВМ1707.

Центральная часть устройства — микроконтроллер ATtiny45, работа-ющий на частоте 16,5 МГц. Датчики подключаются через разъем J2 парал-лельно друг другу. Устройство может работать как в автономном режиме (контроль текущей температуры и управление приборами), так и под управлением специализированной программы для персонального ком-пьютера.

При подключении к ПК напряжение питания подается через USB-порт J1. В автономном режиме работы — через разъем J2.

Температурный сенсор DA1 размещен на плате устройства. Электронные компоненты вблизи

датчика могут незначительно нагре-ваться при работе. Также на точность измерения влияет защитная термоу-садочная оболочка, поэтому возмож-ны небольшие погрешности измере-ния температуры (не более 0,5°С). Более точное измерение обеспечива-ется применением внешних датчиков температуры. Их можно приобрести дополнительно и подключить соглас-но схеме, приведенной на рисунке 3.

Рекомендуемая длина соединитель-ной линии — не более 100 м. При близкой к предельной длине линии следует использовать качественный провод: витую пару 5 категории. При наличии электромагнитных помех желательно, чтобы кабель был экра-нирован.

В автономном режиме работы устройство не требует подключения к компьютеру и питается от любого

Рис. 1. Вид печатной платы

Рис. 2. Принципиальная схема устройства

Табл. 1. Технические характеристики

Параметр Значение

Напряжение питания, В 3,6…5 (от шины USB либо внешнего источника питания)

Ток потребления не более, мА 30*

Диапазон измеряемых температур, °С –55…+125

Штатный температурный датчик DS18B20

Допустимое количество датчиков**

(при желании докупаются отдельно)До 32 датчиков типа DS18B20, DS18S20, DS1820 или DS1822

Количество каналов управления нагрузкой** 2

Точность,°С ±0,5

Размеры печатной платы, мм 38×15

* зависит от количества подключенных датчиков

** дополнительные датчики температуры и исполнительные устройства при необходимости приобретаются и подключаются самостоятельно

Page 86: Электронные компоненты №6/2010

86

ПО

СЛ

Е Р

АБ

ОТ

Ы

WWW.ELCP.RU

сетевого адаптера 5 В с разъемом USB. В качестве источника питания можно использовать стандартные литиевые элементы с напряжением 3,6…5 В, под-ключенные к выводу Vdd разъема J2. Через этот же разъем может быть реа-лизовано управление внешней нагруз-кой, например, используя исполни-тельный элемент BM146 либо другое аналогичное устройство, собранное самостоятельно.

Считанные датчиками данные можно отслеживать с помощью специальной про-граммы (см. рис. 4) или через интернет.

КОНСТРУКЦИЯ

Конструктивно цифровой тер-мометр выполнен на двусторонней печатной плате BA1707 из фольгиро-ванного стеклотекстолита (см. рис. 1). Через USB-разъем J1 устройство под-ключается к ПК. Дополнительные датчики температуры, а также исполнительные устройства подклю-чаются к разъему J2. Схематическое расположение деталей на печат-ной плате и их соединение показа-но на рисунках 5 и 6. В таблице 2 приведен перечень элементов для самостоятельной сборки термоме-тра. Необходимое ПО для микро-контроллера можно найти на сайте www.masterkit.ru.

ЗАКЛЮЧЕНИЕ

Заказать данный цифровой тер-мометр BM1707 можно на сайте www.masterkit.ru.

Техническая консультация и вопросы практического применения устройства можно задать по телефону +7(495)234-7766 или электронной почте [email protected].

ЛИТЕРАТУРА1. ht tp://w w w.masterkit.ru/main/set.

php?code_id=565375.2. ht tp://w w w.masterkit.ru/main/set.

php?code_id=169987.

Табл. 2. Перечень элементов

Обозначе-ние

Наименование Количество

J1 USBAP-1P 1

J2 Con PLS-40R 1 (на 8 устройств)

R1, R2 RES 0603 51R 1% 2

R3 RES 0603 120K 5% 1

R4, R5 RES 0603 1K8 1% 2

R6, R7 RES 0603 2K 5% 2

DD1 ATtiny85-20SU 1

DA1 DS18B20+ 1

C1 TECAP 4.7/16V B 10 1

VD1, VD2 FDLL4148 2

LED1 SML-211UT R 1

LED2 SML-211YT Y 1

Печатная

платаBA1707 1

Рис. 3. Схема подключения внешних датчиков и мощных силовых нагрузок

Рис. 6. Монтажная плата с деталями

Рис. 5. Вид печатной платы сверху (а) и снизу (б)

б)

а)

Рис. 4. Интерфейс программы работы с термометром

Page 87: Электронные компоненты №6/2010

ПО

СЛ

Е Р

АБ

ОТ

Ы

87

Электронные компоненты №6 2010

Можно ли просто соединить последовательно два импульсных ИП, чтобы получить симметричный ИП для УМ? В чем «подводные камни» и как повысить качество ИП? На все эти вопросы отвечают инженеры Elektor.

Альтернативный источник

питания для Hi-Fi-систем

ТОН ГИСБЕРТС (TON GIESBERTS) и ТЕЙС БЭКЕРС (THIJS BECKERS), инженеры лаборатории Elektor

Обычно в качестве двуполярного источника питания (ИП) для усилителей мощности (УМ) используется торои-дальный трансформатор с мостовым выпрямителем и пара мощных электро-литических конденсаторов. Эта схема довольно дорогая, однако не каждый радиолюбитель решится изготовить собственную, более дешевую.

Проверим, можно ли получить симме-тричный ИП с приемлемыми характери-стиками из двух готовых однополярных импульсных источников, если соединить их последовательно. Если эксперимент пройдет успешно, то такая схема будет дешевле трансформаторной.

На рынке представлено много импульсных ИП с фиксированным выход-ным напряжением 12, 24 или 48 В. Среди них нужно выбрать модель, обеспечива-ющую достаточный ток для питания дина-миков, имеющих сопротивление 4...8 Ом. Для проведения эксперимента мы взяли по две пары однополярных ИП S-60-24 и LPS-75-24 производства Mean Well. Источник S-60-24 имеет мощность 60 Вт, а LPS-75-24 более мощный, 75 Вт. Соединив последовательно одноименные ИП, полу-чим два симметричных источника и срав-ним их характеристики.

ЭКСПЕРИМЕНТ

Для проверки правильности нашего предположения подсоединим ИП к УМ, собранному на биполярных транзисто-рах с изолированным затвором. Данный усилитель рассчитан на рабочее напря-жение 43 В, однако для уменьшения выходного напряжения требуется изме-нить лишь задержку включения питания, что мы и сделаем, установив предел 30 В.

Соединим два источника последо-вательно. Поскольку модели, которые мы выбрали, не имеют подключения к общей земле, то возникновение слу-чайных КЗ исключено.

При выборе ИП мы предусмотре-ли, что они могут быть перегружены до того, как их выходное напряже-ние упадет. В первом эксперименте в качестве нагрузки для S-60-24 исполь-зовался резистор номиналом 8 Ом (24 В · 8 Ом = 72 Вт). При этом напряже-ние начало падать, когда схема стала потреблять больше, чем 3 А (22 В на сопротивлении 7 Ом). Таким образом, пока наш ИП работает нормально.

В исходной схеме УМ на плате при-сутствуют два электролитических кон-денсатора емкостью 10 мФ, которые развязывают ИП и силовые транзи-сторы. Когда усилитель работает на полной мощности и низкой частоте (около 20 Гц), мощности ИП не хватает, чтобы полностью зарядить эти конден-саторы, и в цепи питания появляются сильные нерегулярные пульсации. Это обусловлено тем обстоятельством, что пиковый ток, необходимый для заряда конденсаторов, настолько велик, что срабатывает схема защиты. Если кон-денсаторы убрать, помехи от усилите-ля заметно усиливаются. Оптимальное решение — поставить конденсаторы

меньшей емкости, 1 мФ. В этом случае искажения увеличиваются, но не на много (0,042% вместо 0,032% на часто-те 80 кГц).

Искажения усиленного сигнала при питании от сетевого трансформатора составляют 0,002%. Анализ Фурье спек-тра выходного сигнала подтвердил раз-личия. Как видно из рисунка 1, помехи от ИП сильно возрастают после частоты 20 кГц, т.е. вне звукового диапазона. Согласно технической документации, источники S-60 переключаются при частоте 77 кГц, что подтверждается ана-лизом спектра.

При увеличении мощности звуково-го сигнала эти частотные составляющие становятся менее заметными, и преоб-ладают гармоники звукового сигнала. Все компоненты по крайней мере на 70 дБ ниже основной частоты, это мень-ше 0,1 мкВт.

На рисунке 2 показана увеличен-ная часть спектра. Отсюда видно, что частоты переключения двух соединен-ных последовательно ИП не совпадают. Причиной тому служат две компоненты с частотами 69 и 93 кГц.

Рис. 1. Спектр выходного сигнала при питании от двух S-60

Page 88: Электронные компоненты №6/2010

88

ПО

СЛ

Е Р

АБ

ОТ

Ы

WWW.ELCP.RU

При нормальных условиях большая часть мощности расходуется на низ-ких частотах. Посмотрим, как работает схема на 20 Гц. Выходная мощность S-60 на 20 Гц составляет 30 Вт при нагрузке 8 Ом, 39 Вт при 6 Ом, 42 Вт при 5 Ом и 44 Вт при 4 Ом. На частоте 1 кГц максимальная выходная мощ-ность достигает 57 Вт на нагрузке 4 Ом (54 Вт на 100 Гц). Чем ниже импеданс нагрузки, тем сильнее падает выход-ная мощность во время скачков сигна-ла. Очевидно, ИП работает на пределе возможностей.

СРАВНЕНИЕ

Чтобы понять, является ли полу-ченный спектр нормальным для дан-ного типа ИП, мы проделали тот же эксперимент для ИП, собранного на моделях другого семейства того же производителя. ИП LPS-75-24 не имеет защиты и его максимальный выходной ток составляет 3,2 А. Мы получили, что выходное напряжение начинает падать, когда схема потребляет 4 А, что на 25% больше заявленного значения.

Полный частотный спектр усилителя при питании от данного источника при-

веден на рисунке 3. Видно, что выше звукового диапазона спектр гораздо более гладкий. На рисунке 4 показано увеличенное изображение на диапазо-не, близком к частоте переключения. Спектр содержит меньше посторонних компонент, и они более слабые, чем в случае S-60.

ВЫВОДЫ

Напряжение пульсаций на выходе S-60 оказалось больше, чем указано в документации, около 20 мВ вместо 150 мВ. Самый простой способ их умень-шить — это использовать пару дроссе-лей в цепи питания. Дроссели 64 мкГн/3А мало влияют на спектр, зато добавляют много искажений. Дополнительные конденсаторы также только навредили, поэтому быстрого решения нам найти не удалось.

Это, однако, вовсе не означает, что рассматриваемые ИП не подходят для звуковых систем. Несмотря на факт, что искажения на частотах до 80 кГц боль-ше, чем при питании от источников другого типа, шум от переключения пренебрежимо мал, примерно 100 нВт на 77 кГц.

Согласно измерениям, LPS-75-24 имеет лучшие характеристики. Возможно, это обусловлено конструкцией источ-ников. В источнике S-60 разъем пита-ющей сети находится в непосред-ственной близости к выходу низкого напряжения. В LPS-75 эти выводы рас-положены на противоположных сто-ронах платы и не влияют друг на друга. В модели S-60 есть схема защиты. Это безопаснее, однако для наших целей имеет небольшое значение.

С данным типом ИП связана еще одна проблема: они рассчитаны на постоян-ную нагрузку. В УМ среднее значение тока на полуволне составляет пример-но треть от пикового (imax / π). Значит, каждый ИП отвечает за половину мощ-ности, а это 30…40 Вт при нагрузке 4 Ом. Однако на практике ток, как правило, не достигает пиковых значений. Другой вариант — взять специализированный ИП для звуковых схем, например SAPS-400. Он может обеспечивать более высо-кие пиковые значения тока.

В заключение скажем, что хотя испы-танные ИП, собранные из двух последо-вательных однополярных импульсных источников, оказались далеки от иде-альных, зато в отличие от трансформа-торных они имеют низкую стоимость.

По вопросам приобретения образцов или сотрудничества с Elektor обращай-тесь к Антону Денисову: [email protected], тел.: (495) 741-77-01.

Оформить бесплатную еженедель-ную подписку на новостную рассылку от издания Elektor можно на сайте www.elektor.com.

Рис. 4. Увеличенная часть спектра вблизи частоты переключения LPS-75

Рис. 2. Увеличенная часть спектра на рис. 1

Рис. 3. Спектр выходного сигнала при питании от двух LPS-75

Page 89: Электронные компоненты №6/2010

89

Электронные компоненты №6 2010

Новые компоненты на российском рынкеАНАЛОГОВЫЕ КОМПОНЕНТЫ

Усилители для термопар с компенсацией холодного спая от Analog Devices

Компания Analog Devices представила новые недорогие усили-тели семейства AD849x, спроектированные специ-ально для работы с тер-мопарами типов K и J со

встроенной схемой компенсации холодного спая.Каждый из четырех усилителей преобразует входной

сигнал с термопары в выходное напряжение с коэффициен-том 5 мВ/°C, которое может быть подано на вход АЦП или микроконтроллер. Встроенная схема компенсации холодно-го спая обеспечивает точные измерения в широком диапа-зоне температур окружающей среды. Высокое подавление синфазных помех (0,1°С/В) позволяет повысить точность измерений и использовать термопары с длинными прово-дниками.

Основные сферы применения усилителей: промышлен-ные и медицинские измерения, тестовое и аналитическое оборудование.

Краткие технические характеристики:напряжение питания: 2,7…36 В (однополярное) или до –±18 В (биполярное);

номинальный ток потребления: 180 мкА; –нелинейность коэффициента усиления: 0,1%; –высокоомный дифференциальный вход; –вход подстройки смещения; –выходное напряжение с коэффициентом 5 мВ/°С, выход –полного размаха rail-to-rail;

диапазон рабочих температур: –40…125°С; –тип корпуса: 8-MSOP. –

Микросхемы доступны для заказа в образцах, серийное производство запланировано на конец лета 2010 года.

МикросхемаТип рабочейтермопары

Температура компенсации холодного спая, °С

Типкорпуса

AD8494 J0…50

MSOP-8AD8495 K

AD8496 J25…100

AD8497 K

Analog Devices Inc.

www.analog.com

Дополнительная информация:см. «Элтех», ООО

АЦП/ЦАП

Прецизионный 16-разрядный ЦАП с интерфейсом «токовая петля» от Analog Devices

Компания Analog Devices представила самый точный в отрасли 16-разрядный ЦАП со встроенной системой управления питанием для применения в интеллектуальных преобразователях 4-20 мА с питанием непосредственно от токовой петли. Его точность более чем в три раза выше, а потребление на 55% ниже в сравнении с аналогичными изделиями других производителей.

Микросхема AD5421 — это полностью законченное решение для построения приборов и устройств с интерфей-сом «токовая петля 4-20 мА». Встроенный программируемый

стабилизатор (1,8…12 В) и источник опорного напряжения (1,25 и 2,5 В) позволяют обеспечить питание интеллектуаль-ного датчика и перифе-рии непосредственно от самой микросхемы и сократить число внешних компонентов. Модули контроля сбоев и само-диагностики повышают надежность системы. Высокая линейность и

низкий температурный дрейф ЦАП позволяют отказаться от калибровки прибора в процессе изготовления и последую-щей его эксплуатации.

Совместимость со стандартом HART без ухудшения характеристик, а также невысокая цена делают новый ЦАП AD5421 идеальным выбором для систем и датчиков про-мышленной автоматики, программируемых логических кон-троллеров и других высоконадежных систем для различных отраслей промышленности.

Миниатюрный 28-выводной корпус TSSOP поможет сэкономить место на печатной плате и уменьшить габариты устройства. Интерфейс управления и данных SPI упрощает сопряжение ЦАП с микроконтроллером или процессором.

Краткие технические характеристики ЦАП:диапазон рабочих напряжений питания: 1,7…5,5 В –(цифровая часть) и 5,5…55 В (аналоговая часть);

номинальный ток потребления: 250 мкА; –интегральная нелинейность: 0,0015% (15 разрядов –минимум);

диапазон выходных значений по току: 3,2…24 мА, –3,8…21 мА, 4…20 мА.

Analog Devices Inc.

www.analog.com

Дополнительная информация:см. «Элтех», ООО

ВСТРАИВАЕМЫЕ СИСТЕМЫ

Встраиваемые промыш-ленные компьютеры для 19-дюймовой стойки на базе недорогих плат ком-пании Avalue

Для установки в 19-дюймовую стойку до недавнего времени

компания «Элтех» могла предложить только высокопроиз-водительные серверы компании RadiSys. Теперь для менее ресурсоемких задач, не требующих обеспечение сверх-высокой надежности, компания «Элтех» предлагает серию компьютеров в стоечном исполнении на базе недорогих промышленных плат компании Avalue.

Промышленный компьютер можно реализовать на базе широкого выбора плат Avalue, в том числе с процессо-рами Intel Core i7 или AMD Phenom II. В качестве корпуса можно использовать стандартное шасси для монтажа в 19-дюймовую стойку с форм-факторами от 1U до 8U. Таким образом, обеспечивается уникальная возможность реали-зации собственного стоечного компьютера необходимой конфигурации от недорогих компьютеров размера 1U и стандартных промышленных компьютеров размера 4U до высоконадежных серверных систем с резервированием раз-мерами 6U или 8U. Возможен заказ (в виде опции) моющихся фильтров для вентиляторов охлаждения корпуса.

Page 90: Электронные компоненты №6/2010

90

WWW.ELCP.RU

Один из вариантов конфигурации промышленного сер-вера начального уровня для монтажа в 19-дюймовую стойку содержит: промышленную материнскую плату Avalue EAX-Q45 с чипсетом Intel Q45/ ICH10DO, процессор Intel Celeron E1500 (2,2 ГГц), ОЗУ 1 Гбайт, жесткий диск 120 Гбайт, корпус 4U, соответствующий стандарту EIA RS-310C.

Стоимость такого сервера составляет всего 780 долл. США.Основными приложениями встраиваемых компьютеров

в 19-дюймовой стойке являются: промышленные шкафы управления, центры обработки данных, кластерные системы с резервированием и др.

Дополнительную информацию можно получить по элек-тронной почте [email protected].

Аvalue

www.avalue.com

Дополнительная информация:см. «Элтех», ООО

ДАТЧИКИ

Microchip расширяет воз-можности технологии mTouch™ — емкостные кнопки с металлической лицевой панелью

Компания Microchip представляет уникальную технологию емкостного детекти-рования через металлическую панель. Новое недорогое и надежное решение, основанное на популярной технологии mTouch™, обеспечивает емкостное детектирование нажатия сенсорных кнопок через перчатки с наличием жидкости на передней панели, а также позволяет использовать сим-волы Брайля. Разработчики могут интегрировать данное решение в текущие и новые разработки на базе 8-, 16- и 32-разрядных микроконтроллеров PIC®. Полная информация о новой технологии доступна по адресу: www.microchip.com/mtouch.

Сенсорные кнопки mTouch представляют собой хоро-шую альтернативу традиционным механическим кнопкам и клавиатурам, т.к. позволяют сконструировать герметичное устройство с современным внешним видом и дизайном. Основными сегментами рынка для этого решения являются: бытовая техника, промышленная электроника и автоэлек-троника. Низкое энергопотребление (ток потребления ме нее 5 мкА) позволяет применить его в приложениях с батарейным питанием.

Особенности технологии mTouch.Единственная технология емкостного детектирования –через металлическую панель.

Недорогое решение для сенсорных клавиатур широко- –го спектра применения.

Реагирует на касание в перчатках, с жидкостью на пане- –ли; можно использовать символы Брайля.

Технология применима для 8-, 16- и 32-разрядных –микроконтроллеров PIC®.

Microchip Technology

www.microchip.com

Дополнительная информация:см. Microchip Technology

ИЗМЕРИТЕЛЬНЫЕ ПРИБОРЫ И СИСТЕМЫ

Модуль для вычисления параметров синусоидального сигнала

В модуле применен алгоритм, обладающий следующими особенностями:

без сглаживающего окна; –без Фурье-анализа и преобразования Гильберта; –работает в режиме реального времени. –

Области применения модуля:UPS с HotSync; –

быстродействующие блоки для защиты от бросков –напряжения;

быстродействующие анализаторы качества электроэ- –нергии;

измерители амплитуды и частоты переменного напря- –жения/тока/мощности с высокой частотой обновления показаний.

[email protected]

ИСТОЧНИКИ ПИТАНИЯ

Новый DC/DC-преоб разо-ватель серии CN-A от TDK-Lambda

Компания TDK-Lambda, одна из ведущих компа-ний на рынке источников

питания, представила новый DC/DC-преобразователь с широкодиапазонным входом 60…160 В DC для применения на железнодорожном транспорте и в энергетике.

Данный преобразователь выпускается в 3-х модифика-циях мощностью 30, 50 и 100 Вт с выходным напряжением 5, 12, 15 и 24 В. Компактные размеры (форм-фактор 1/4 brick) и широкий диапазон рабочих температур (–40…100°С) делают этот источник питания весьма удобным для применения.

Детальную информацию о преобразователях серии CN-A можно найти по адресу http://www.yeint.ru/images/preobr_serie_cn-a.pdf.

TDK-Lambda

www.lambda.com

Дополнительная информация:см. «ЮЕ-Интернейшнл», ЗАО

КВАРЦЕВЫЕ ПРИБОРЫ СТАБИЛИЗАЦИИ ЧАСТОТЫ

Новые высокочастотные малошумящие прецизи-онные кварцевые генера-торы от ОАО «Морион»

ОАО «Морион» (Санкт-Петербург) представляет новые высокочастотные малошумящие вибро-

акустоустойчивые прецизионные кварцевые генераторы ГК148-ТС и ГК213-ТС, выпускаемые в категории качества «ВП».

Ключевой особенностью ГК148-ТС является отсутствие собственных механических резонансных частот конструк-ции в диапазоне до 2 кГц, что позволяет существенно сни-зить уровень фазовых шумов при воздействии широкопо-лосной случайной вибрации (ШСВ). Прибор обеспечивает температурную стабильность частоты 5 . 10–7 в интервале рабочих температур –50…70°С и долговременную ста-бильность частоты 5 . 10–7 за год. Напряжение питания 12 В, выходной сигнал SIN. Прибор доступен к поставке в диапа-зоне частот от 56 МГц до 100 МГц.

В генераторе ГК213-ТС деградация фазовых шумов при воз-действиях ШСВ практически отсутствует. Реализованный уро-вень фазовых шумов не превышает –135 дБ/Гц для отстройки 100 Гц и не более –160 дБ/Гц для отстройки 10 кГц. ГК213-ТС обе-спечивает стабильность частоты до 1 . 10–7 в интервале рабочих температур –55…70°С и долговременную стабильность часто-ты до 3 . 10–7 за год. Диапазон частот 48…100 МГц. Основные стандартные частоты 48, 56, 60 и 100 МГц.

Уникальные характеристики по уровню фазовых шумов в условиях жестких механических воздействий делают указанные генераторы эффективным решением для приме-нения в различных типах наземного и бортового радиолока-ционного и другого оборудования, а также для любых видов синтезаторов частот.

Page 91: Электронные компоненты №6/2010

91

Электронные компоненты №6 2010

Дополнительная информация об этих и других новых приборах доступна на обновленном сайте ОАО «Морион» www.morion.com.ru.

ОАО «Морион»

www.morion.com.ru

Дополнительная информация:см. «Морион», ОАО

МК И DSP

Компания Analog Devices расширяет линейку высо-копроизводительных процессоров с плаваю-щей запятой семейства SHARC

Компания Analog Devices представила

новые устройства, расширяющие семейство SHARC — 32-разрядные цифровые сигнальные процессоры с плаваю-щей запятой серий 2147x и 2148x. Благодаря оптимальному сочетанию производительности и цены, а также наличию встроенной памяти объемом до 5 Мбит, процессоры высо-копроизводительного семейства 2148x и малопотребляю-щего семейства 2147x позволяют эффективно решать задачи для широкого спектра применений, в том числе для пор-тативных устройств. Используя процессоры SHARC 2148x и SHARC 2147x, разработчики могут воспользоваться преиму-ществами полной совместимости всего семейства SHARC по коду, а также обширным пакетом средств разработки от Analog Devices для достижения нового уровня эффектив-ности проектирования системы на базе самых произво-дительных в отрасли 32-разрядных цифровых сигнальных процессоров с плавающей запятой.

Процессоры серии SHARC 2148x, ранее предназначенные в основном для вычислений с плавающей запятой в спе-циализированных многопроцессорных системах с внешней памятью, теперь стали более доступны для разработчиков, которые стремятся обеспечить высокоточные вычисления в устройствах массового спроса. Процессоры семейства SHARC 2148x обладают на 33% большей производительностью (400 МГц) и на 250% большим объемом встроенной памяти (5 Мбит), чем конкурирующие 32-разрядные цифровые сигналь-ные процессоры с плавающей запятой. Это делает семейство SHARC 2148x идеальным выбором для таких приложений, как высококачественное аудио и домашние кинотеатры, а также для современных промышленных систем, где требуют-ся высокоточные вычисления с плавающей запятой на базе недорогого однокристального решения.

Analog Devices Inc.

www.analog.com

Дополнительная информация:см. AUTEX Ltd

Новые отладочные сред-ства разработки на базе микроконтроллеров PIC32 от Microchip

Компания Microchip представляет два новых отладочных средства для разработки современ-

ных устройств на базе 32-разрядных микроконтроллеров PIC32. Плата расширения Multimedia Expansion Board пред-назначена для разработки интерактивных графических интерфейсов и беспроводных сетевых решений. Новый расширенный отладочный набор для сенсорных клавиатур mTouch™ представляет собой плату на основе микрокон-троллеров PIC32.

На базе платы расширения Multimedia Expansion Board можно оценить и испытать решения для работы с различны-

ми графическими индикаторами независимо от конкретного типа дисплея. Кроме того, на плате имеются: 24-разрядный стерео аудио кодек (микрофонный вход, линейный выход, наушники), встроенный модуль Wi-Fi, 3-осевой акселе-рометр и 5-позиционный джойстик. Плата расширения Multimedia Expansion Board представляет собой единствен-ное на рынке комплексное решение для 32-разрядных микроконтроллеров.

Расширенный отладочный набор mTouch™ имеет плату на базе микроконтроллеров PIC32 и позволяет разработчикам экспериментировать с различными сенсорными слайдера-ми и кнопками, работающими на емкостном принципе. Для удобства работы в комплекте поставки имеется приложение для инструмента разработки Windows mTouch Diagnostic Tool, позволяющее легко контролировать и настраивать параметры каждого сенсора. Это приложение доступно бес-платно в среде MPLAB последних версий.

Входящие в комплект платы на базе 8- и 16-разрядных микроконтроллеров PIC® позволяют разрабатывать сенсор-ные приложение не только для PIC32, но и для младших при-боров семейства.

Дополнительная информация доступна по адресу: www.microchip.com/pic32.

Microchip Technology

www.microchip.com

Дополнительная информация:см. Microchip Technology

Новые микроконтрол-леры семейства PIC24F с поддержкой графики от Microchip

Компания Microchip представила восемь микроконтроллеров семейства PIC24FJ256DA,

которые содержат три модуля аппаратного графического ускорения и контроллер дисплея, а также ОЗУ емкостью до 96 Кбайт. Такая интеграция снижает общую стоимость систе-мы и делает доступным применение графических цветных дисплеев в широком классе встраиваемых систем, избавляя от необходимости использования внешних микросхем ОЗУ и контроллера дисплея. Кроме того, микроконтроллеры содержат USB-периферию и интерфейс для поддержки емкостных сенсоров и клавиатур.

Семейство PIC24FJ256DA позволяет разработчикам пе рей ти от применения простых сегментных дисплеев к STN-, TFT- и OLED-дисплеям с разрешением VGA. Это семей-ство также содержит до 24-х емкостных каналов mTouch™, которые позволяют реализовать большое количество емкостных кнопок и слайдеров. Интегрированный модуль полноскоростного USB Host/Device/On-the-Go позволяет реализовать обновление программного обеспечения, сохранение данных и настроек.

Микроконтроллеры PIC24FJ256DA могут найти примене-ние в следующих приложениях: потребительская электро-ника (термостаты, беспроводные телефоны, пульты управле-ния, игровые аксессуары), бытовая техника (кофе-машины, печи, холодильники, стиральные машины), промышленные устройства (удаленные и POS-терминалы), портативные медицинские устройства (измерители уровня сахара в крови, измерители артериального давления, портативные измерители ЭКГ).

Для быстрого создания приложений компания Microchip предлагает программный инструмент Graphics Display Design Centre, который предоставляет готовые решения и библиотеки для разработчиков.

Дополнительно к графической библиотеке предостав-ляется бесплатная программа Graphics Display Designer, которая позволяет разработчикам быстро спроектировать графический интерфейс пользователя.

Microchip Technology

www.microchip.com

Дополнительная информация:см. Microchip Technology

Page 92: Электронные компоненты №6/2010

92

WWW.ELCP.RU

СВЕТОТЕХНИКА И ОПТОЭЛЕКТРОНИКА

Мощные светодиоды серии Yi от компании Everlight

Мощные светодиоды и их сборки стали востребованными компонентами для разработчи-ков современных осветительных приборов. Прогресс в обла-сти технологии производства

мощных светодиодных кристаллов позволяет перейти от одноплатных многокристальных сборок к однокорпусным решениям.

Компания Everlight, которая предлагает высокоэффек-тивные сверхъяркие светодиоды, представила мощные 4-кристальные сборки серии Yi. Эта серия светодиодных сборок для поверхностного монтажа представляет собой высокоэффективные источники света высокой яркости в компактном корпусе на керамической подложке и отлича-ется очень низким тепловым сопротивлением. Эти свето-диоды предназначены для создания приборов основного, производственного и сигнального освещения, а также про-жекторов и рекламной подсветки.

К особенностям серии Yi относятся широкий угол обзора 105°, высокая влагостойкость уровня JEDEC 1 и антиста-тическая защита до 2 кВ. Выбрать необходимый оттенок свечения позволяет удобная биновка стандарта ANSI. Соответствие экологическим стандартам RoHS и стойкость к деградации кристаллов уровня IESNA LM80 обеспечивают безопасную и долговременную эксплуатацию приборов серии Yi в светодиодных источниках нового поколения.

Everlight Electonics

www.everlight-electronics.ru

Дополнительная информация:см. «Политекс», ООО

Мощные светодиоды серии Tsan от компании Everlight

Использование однокор-пусных сборок мощных свето-диодных кристаллов является оптимальным способом созда-ния компактных и высокоэф-фективных световых источни-ков. Сочетание компактности и мощности таких устройств позволяет изготавливать при-

боры с уникальными оптическими и конструктивными характеристиками. Прожекторы, фары и подвесные све-тильники могут стать значительно более яркими при тех же габаритных размерах.

Высокие достижения в разработке подобных многокри-стальных сборок позволили компании Everlight при создании серии Tsan разместить в одном корпусе 9 кристаллов сверх-ъярких светодиодов общей мощностью 10 Вт. Керамическая подложка размером 10×10 мм компактного SMD-корпуса обеспечивает оптимальные тепловые режимы работы. Светодиод обладает высокой степенью влагозащиты и долго-временной стойкостью к деградации характеристик кристал-ла. Угол обзора 135° позволяет использовать приборы как в системах основного освещения, так и в узконаправленных светильниках при использовании вторичной коллиматорной оптики. Серия Tsan имеет высокий показатель антистатиче-ской защиты и бинуется по категориям яркости, рабочего напряжения, длины волны и монохромности.

Everlight Electonics

www.everlight-electronics.ru

Дополнительная информация:см. «Политекс», ООО

Светодиодные лампы от компа-нии NATIONSTAR

Малое энергопотребление в сочетании с долгим сро-ком службы, экологическая безопасность, лучшая свето-отдача, монохромность све-чения, отсутствие вредного

мерцания и паразитного ультрафиолетового спектра делают светодиодные лампы перспективными устрой-ствами для замены традиционных ламп накаливания. Решающим фактором при выборе светодиодных ламп является наличие у производителя этих приборов соб-ственной линии по изготовлению светодиодных компо-нентов.

Компания NATIONSTAR, производящая сверхъяркие мощные светодиоды, представляет широкую линейку ламп стандартных размеров, которые позволяют осуществить быстрый переход на новое поколение световых источников. Светодиодные лампы NATIONSTAR имеют высокую свето-вую отдачу порядка 100 лм/Вт. Конструкция ламп сочетает в себе поликарбонатную колбу и алюминиевое основание. Разнообразие цветовых оттенков и вариантов исполнения оптических элементов дают возможность выбрать источник света для любых приложений основного и вспомогательно-го освещения.

Nationstar

www.nationstar.ru

Дополнительная информация:см. «Политекс», ООО

AUTEX Ltd117997, Mосква, ул. Профсоюзная д.65Тел.: (495) 334-7741, 334-9151Факс: (495) 334-8729, [email protected]

Microchip TechnologyТел.: (812) [email protected]

«Морион», ОАО199155, С.-Петербург, пр. Кима, д. 13аТел.: (812) 350-75-72, (812) 350-9243Факс: (812) 350-72-90, (812) [email protected]

«Политекс», ООО123308, Москва, Хорошевское ш., 43-ВТел./факс: (495) [email protected]. ru

«Элтех», ООО198035, С.- Петербург, ул. Двинская, 10, к. 6АТел.: +7 (812) 635-50-60Факс: +7 (812) [email protected]

«ЮЕ-Интернейшнл», ЗАО197342, С.-Петербург, ул. Торжковская 5, офис 426Тел.: (812) 324-40-08Факс: (812) [email protected]