84

Электронные компоненты №1_2010

Embed Size (px)

DESCRIPTION

Электронные компоненты

Citation preview

Page 1: Электронные компоненты №1_2010
Page 2: Электронные компоненты №1_2010
Page 3: Электронные компоненты №1_2010
Page 4: Электронные компоненты №1_2010
Page 5: Электронные компоненты №1_2010

РЫНОК8 Xilinx два года спустя: хорошие продажи, обнаде-

живающие перспективы

11 «ЭлектронТехЭкспо-2010» — выбирай надежных

партнеров!

РАЗРАБОТКА И КОНСТРУИРОВАНИЕ

14 Владимир Кондратьев

Методы теплового расчета микросхем и дискрет-

ных устройств силовой электроники. Часть 2

18 Илья Усанин

Программаторы для серийного производства

компании Elnec

ПЛИС И СБИС

20 Владимир Шалтырев, Игорь Шагурин

Структурная модификация процессорных

СФ-блоков для систем на кристалле, реализуемых

на базе FPGA

25 Дженифер Стефенсон

Решение проблемы метастабильности в цифровых

системах на базе FPGA

30 Гленн Штайнер, Дэн Айсаакс

Встраиваемые FPGA-платформы для обработки

данных

35 Алексей Бумагин, Алексей Гондарь, Михаил Куляс,

Александр Руткевич, Владимир Стешенко,

Аль-Мехди Тайлеб, Григорий Шишкин

Самосинхронные схемы. Особенности и преиму-

щества

39 Илья Тарасов

Применение новых семейств FPGA Virtex-6

и Spartan-6 фирмы Xilinx

СЕТИ И ИНТЕРФЕЙСЫ

43 Виктор Охрименко

Широкополосная PLC-технология: проблемы

и решения. Часть 1жу

рнал

для р

азра

бот

чико

вЭ

ле

кт

ро

нн

ые

ко

мп

он

ен

тыРуководитель направления «Разработка электроники» и главный редактор Леонид Чанов; ответственный секретарь Марина Грачёва;

редакторы: Елизавета Воронина; Виктор Ежов; Екатерина Самкова; Владимир Фомичёв; Леонид Чанов; редакционная коллегия: Валерий Григорьев;Иван Покровский; Борис Рудяк; Владимир Фомичёв; Леонид Чанов; реклама: Антон Денисов; Ольга Дорофеева; Елена Живова; распространение и подпис ка: Марина Панова, Василий Рябишников; вёрстка, дизайн: Александр Житник; Михаил Павлюк; директор издательства: Михаил Симаков

Адрес издательства: Москва,115114, ул. Дербеневская, д. 1, п/я 35тел.: (495) 741-7701; факс: (495) 741-7702; эл. почта: [email protected], www.elcp.ru

ПРЕДСТАВИТЕЛЬСТВА: Мир электроники (Самара): 443080, г. Самара, ул. Революционная, 70, литер 1; тел./факс: (846) 267-3139, 267-3140; е-mail: [email protected],www.eworld.ru. Радиоэлектроника: 620107, г. Екатеринбург, ул. Гражданская, д. 2, тел./факс: (343) 370-33-84, 370-21-69, 370-19-99; е-mail: [email protected], www.radioel.ru. ЭЛКОМ (Ижевск): г. Ижевск, ул. Ленина, 38, офис 16, тел./факс: (3412) 78-27-52, е-mail: [email protected], www.elcompany.ru.ЭЛКОТЕЛ (Новосибирск): г. Новосибирск, м/р-н Горский, 61; тел./факс: (3832) 51-56-99, 59-93-31; е-mail: [email protected], www.elcotel.ru.Издательство «Электроника инфо» (Минск): 220015, г. Минск, прз. Пушкина, 29 Б; тел./факс: +375 (17) 251-6735; е-mail: [email protected], electronica.nsys.by. IMRAD (Киев): 03113, г. Киев, ул. Шутова, д. 9, оф. 211; тел./факс: +380 (44) 495-2113, 495-2110, 495-2109; е-mail: [email protected], www.imrad.kiev.ua

Журнал включен в Реферативный журнал и Базы данных ВНИТИ. Сведения о журнале ежегодно публикуются в международной справочной системе по периодическим и продолжающимся изданиям «Ulrich’s Periodicals Directory». Ис поль зо ва ние ма те ри а лов воз мож но толь ко с со гла-сия ре дак ции. При пе ре пе чат ке ма те ри а лов ссыл ка на жур нал «Эле к трон ные ком по нен ты» обя за тель на. От вет ст вен ность за до сто вер ность ин фор ма ции в рек лам ных объ яв ле ни ях не сут рек ла мо да те ли.

Индекс для России и стран СНГ по каталогу агентства «Роспечать» — 47298, индекс для России и стран СНГ по объединенному каталогу «Пресса России. Российские и зарубежные газеты и журналы» — 39459. Свободная цена. Издание зарегистрировано в Комитете РФ по печати. ПИ №77-17143.

Подписано в печать 03.01.2010 г.

Учредитель: ООО «ИД Электроника». Тираж 3000 экз.

Изготовлено ООО «Группа Море». г. Москва, Хохловский пер., д. 9. Тел.: +7 (495) 917-80-37.

содержание

№1/2010

www. elcp.ru

Page 6: Электронные компоненты №1_2010

4

СО

ДЕ

РЖ

АН

ИЕ

WWW. ELCP.RU

50 Сергей Пономарев

Повышение точности синхронизации с помощью

прозрачных часов

БЕСПРОВОДНЫЕ ТЕХНОЛОГИИ

53 Юлий Крылов, Владимир Тихонов, Нина Шарук

Беспроводной доступ последней мили

СВЕТОТЕХНИКА И ОПТОЭЛЕКТРОНИКА

58 Том Рибарих

Применение схем электронного балласта в резо-

нансных источниках питания

ЭЛЕКТРОПРИВОД

62 Станислав Флоренцев, Дмитрий Изосимов, Иван Усс,

Лев Макаров, Андрей Зайцев

Тяговый электропривод в гибридных транспортных

средствах. Часть 3

МИКРОКОНТРОЛЛЕРЫ И DSP

66 Павел Осипенко

Микропроцессоры для космических применений

ГЕНЕРАТОРЫ И СИНТЕЗАТОРЫ СИГНАЛОВ

70 Джеймс Уилсон

Выбор системы синхронизации: кварц или такто-

вый генератор?

ПОСЛЕ РАБОТЫ

72 Александр Каменский

Цифровой запоминающий USB-осциллограф

ВМ8021

75 Клеменс Валенс

Как подключить внешнее устройство к ПК

77 НОВЫЕ КОМПОНЕНТЫ НА РОССИЙСКОМ

РЫНКЕ

Page 7: Электронные компоненты №1_2010

СО

ДЕ

РЖ

АН

ИЕ

5

Электронные компоненты №1 2010

contents # 1 / 2 0 1 0

E L E C T R O N I C CO M P O N E N T S #1 2010

MARKET8 Two Years Later: Good Sales and Reassuring

Prospects of Xilinx

11 Choose Reliable Partners at ElectronTechExpo-2010

DESIGN AND DEVELOPMENT

14 Vladimir Kondratiev

Thermal Design for Power Devices. Part 2

18 Ilya Usanin

Programmators for Serial Production from Elnec

FPGA AND VLSIC

20 Vladimir Shaltyrev and Igor Shagurin

Structural Modifi cation of IP for FPGA-based SoC

25 Jennifer Stephenson

Don't Let Metastability Cause Problems in Your FPGA-

Based Design

30 Glenn Steiner and Dan Isaacs

Embedded FPGA Processing Platforms

35 Alexey Bumagin, Alexey Gondar, Mikhail Kulyas,

Alexander Rutkevich, Vladimir Steshenko, Ali-Mehdi Taileb

and Grigory Shishkin

Self-synchronizing Circuits. Features and Advantages

39 Ilya Tarasov

New Families of FPGA Virtex-6 and Spartan-6 from

Xilinx

NETWORKS AND INTERFACES

43 Victor Okhrimenko

Broadband PLC Technology: Issues and Solutions.

Part 1

50 Sergey Ponomarev

Using Transparent Clocks to Improve System Time

Synchronization Accuracy

WIRELESS

53 Yuly Krylov, Vladimir Tikhonov and Nina Sharuk

Last Mile Wireless Access

LIGHTING AND OPTOELECTRONICS

58 Tom Ribarich

Electronic Ballast Circuits Enhance Resonant-mode

Power Supplies

DRIVES

62 Stanislav Florentsev, Dmitry Izosimov, Ivan Uss, Lev

Makarov and Andrey Zaitsev

Traction Motor in Hybrid Vehicles. Part 3

MCU AND DSP

66 Pavel Osipenko

Microprocessors for Cosmic Applications

OSCILLATORS

70 James Wilson

When to Use a Clock vs. an Oscillator

AT LEISURE

72 Alexander Kamensky

ВМ8021 Digital USB Storage Oscilloscope

75 Clemens Valens

Plugging External Device to PC

77 NEW COMPONENTS IN THE RUSSIAN

MARKET

Page 8: Электронные компоненты №1_2010

6

СО

ДЕ

РЖ

АН

ИЕ

WWW. ELCP.RU

Компании:

ПРОИЗВОДИТЕ ЛИ, ДИС ТРИБЬЮТОРЫ, ПОС ТАВЩИКИ

47 ARM

7 EEMB

2-я обл. Digi-Key

31 Microchip Technology Corp.

21 Texas Instruments

27 Гамма Плюс, ООО

24 Гранит-ВТ, ЗАО Спб

71 Компэл, ЗАО

9 Инлайн Груп, ЗАО

4-я обл. Макро Групп, ООО

73 Мастер Кит

13 МТ-Систем, ООО

51 Неон, ООО

59, 61, 63 Платан Компонентс, ЗАО

57 Примэкспо, ООО

29 Политекс, ООО

38 Радиокомп, ООО

4 Резонит, ООО

33 Симметрон, ЗАО

67 СМП, ООО

19 Терраэлектроника, ООО

45 Электроконнект, ООО

37 Элитан, ЗАО

1 Элтех, ООО

Page 9: Электронные компоненты №1_2010
Page 10: Электронные компоненты №1_2010

8

РЫ

НО

К

WWW.ELCP.RU

Два года назад наш журнал (см. ЭК1, 2008) рассказал о положении дел в компании Xilinx на момент вступления в должность исполнительного директора и президента фирмы Моше Гаврилова (Moshe Gavrielov). Мы встретились с Андреасом Шеффером (Andreas Scheff er), директором по продажам в Центральной Европе, чтобы узнать у него, что удалось ком-пании сделать за это время, каково ее положение в нынешней рыночной ситуации, каковы перспективы развития программируемых ИС.

XILINX ДВА ГОДА СПУСТЯ: ХОРОШИЕ

ПРОДАЖИ, ОБНАДЕЖИВАЮЩИЕ

ПЕРСПЕКТИВЫ

А.Ш. Два года назад мы стали сви-

детелями вступления в силу принципа

Programmable Imperative. Те общие тен-

денции в разработке устройств, кото-

рые установились после кризиса 2001 г.,

превратились в настоятельные требо-

вания после экономической рецессии

2008 г., суть которых в том, чтобы делать

больше за счет меньших усилий. Кроме

того, разработчикам новой продукции

поручено создавать такую продукцию,

у которой, несмотря на повышенную

функциональность, лучшая цена и мень-

шая рассеиваемая мощность.

На раннем этапе развития индустрии

FPGA программируемая логика чаще

всего использовалась в периферийных

блоках системы, объединяя связую-

щие логические схемы в подсистеме

ввода/вывода или во вторичных систе-

мах управления. В настоящее время

FPGA переместились в центральную

часть системы. Даже если FPGA игра-

ют вспомогательную роль в отношении

центрального процессора или DSP, они

могут выполнять многие специализи-

рованные функции благодаря высокой

производительности и функциональ-

ности. Кроме того, применение FPGA

изменяет и сам процесс разработки

системы с помощью ИС.

Переход на FPGA выгоден в финансо-

вом аспекте. Применение FPGA позволя-

ет в большей мере снизить стоимость

разработки, чем любой заказной метод.

Таким образом, нам удалось на прак-

тике реализовать две ведущие техно-

логии FPGA. В феврале 2009 г. Xilinx

представила семейство 40-нм FPGA

Virtex® 6 для мощных высокоскоростных

вычислительных приложений на осно-

ве СнК, а также семейство 40-нм FPGA

Spartan® 6 для приложений, в которых

стоимость, производительность и раз-

меры являются ключевыми факторами.

Продажи наших 40- и 45-нм платформ

быстро выросли. Ожидается, что к апре-

лю 2010 г. — концу финансового года —

продажи превысят 10 млн долл.

Каждое семейство этих микросхем

служит основой платформ для проекти-

рования Xilinx Targeted Design Platforms,

которые позволяют разработчикам про-

граммного и аппаратного обеспечения

сообща использовать открытые стандар-

ты, общие методологии проектирова-

ния, средства разработки и платформы

для поддержки выполнения программ.

Благодаря этим платформам у инжене-

ров появилась возможность выбирать

готовые варианты построения системы

из множества опций. К числу данных

опций относятся следующие: базовая

платформа (кремний, IP, логика, платы,

образцы разработки); область примене-

ния (обработка данных во встраиваемой

системе, DSP, логика, платы расширения

FPGA Mezzanine Cards), ориентирован-

ные на рынок инновации (IP, заказные

средства и платы), а также приложения

(см. рис. 1).

— Как вы преодолеваете кризис?

Какие антикризисные меры прилага-

ет ваша компания? Каковы финансо-

вые показатели 2008—2009 гг.?

— Этот кризис вызван снижением

покупательной способности, что не

отразилось в такой степени на Xilinx

или на производстве программируе-

мых логических устройств (ПЛУ) как на

других полупроводниковых компаниях

за счет ограниченного использования

нашей продукции в бытовой электрони-

ке. У нас очень хорошие показатели про-

даж на таких растущих рынках конеч-

ной продукции как военная техника и

беспроводная связь, послуживших нам

в качестве драйверов роста. С точки

зрения перспектив развития цепочки

поставок, производство ПЛУ извлекло

выгоду из намного лучше организован-

ного управления складскими запасами,

чем в предыдущие кризисы. Складские

запасы Xilinx никогда значительно не

отличались от запланированных нами

объемов. В то же время объемы про-

дукции на складах дистрибьюторов и

контрактных производителей остава-

лись достаточно небольшими.

Нынешнее состояние экономики как

никогда лучше складывается в пользу

потребления программируемых крис-

таллов. Наша доля продаж ASIC и ASSP

увеличивается на рынке конечной про-

дукции, в т.ч. в сегментах промышлен-

ной электроники, беспроводной связи,

бытовой техники, автомобильной элек-

троники и аэрокосмической техники.

– Возможности роста нашей компа-

нии основаны на таких сегментах рынка

как промышленная обработка изобра-

жений и машинное зрение, управле-

ние перемещением и робототехника,

системы-помощники водителя для обна-

ружения объектов на дороге, а также

цифровые дисплеи следующего поко-

ления. Недавно нам удалось получить

ключевые заказы во всех этих сегментах

рынка за счет низкой стоимости, обеспе-

ченной применением 45-нм технологии

или интеграции функций высокоскорост-

ных последовательных трансиверов, что

все больше привлекает наших клиентов.

– Беспроводная связь — другая

сфера приложений, где нам однозначно

удается быть первыми благодаря ASIC и

ASSP.

– В области бытовой и автомобиль-

ной электроники — наиболее критич-

ных к стоимости приложений — мы

соревнуемся (и выигрываем) за счет тех

же ASIC и ASSP.

– Наш бизнес более чем удвоился

в сегменте аэрокосмической электро-

ники за последние четыре года, дале-

ко превзойдя рост продаж логических

Рис. 1. Платформы Xilinx Targeted Design Platforms позволяют на любом уровне осуществлять инно-вационные разработки

Page 11: Электронные компоненты №1_2010

РЫ

НО

К

9

Электронные компоненты №1 2010

Таблица 1. Относительный рост чистых доходов Xilinx на конечном рынке

Рост, %II-й кв.

2010 ф.г. I-й кв.

2010 ф.г. II-й кв.

2009 ф.г.Кв. 2010 г./кв. 2009 г.

2010/2009 гг.

Связь 46 49 43 4 –8

Промышленная электроника и др. сегменты 31 31 32 9 –17

Бытовая и автомобильная электроника 16 14 17 27 –22

Обработка данных 7 6 8 31 –20

ASIC и ASSP на этом рынке, который, по

сути, был вялым.

Xilinx — мировой лидер по постав-

кам программируемых платформ,

объем продаж которых в 2009 г. соста-

вил 1,825  млрд долл. Доля рынка ПЛУ

нашей компании — более 50%, по дан-

ным аналитического агентства iSuppli

Corp. Эти успехи позволили нам с

2000 г. диверсифицировать инвестиции

и удержать лидерство на рынке ПЛУ.

— Расскажите, пожалуйста, о дея-

тельности дизайн-центров компании.

Их общее число изменилось за про-

шедшее время? Как они географиче-

ски распределены? Каков их бюджет?

— В настоящее время у Xilinx име-

ется девять дизайн-центров — в Сан-

Хосе, Колорадо, Портленде, Гренобле,

Дублине, Эдинбурге, Хайдараба де, Син-

гапуре и в Торонто.

Научно-исследовательская деятель-

ность Xilinx в основном направлена на

разработку новых ИС, создание про-

граммных средств автоматизации про-

ектирования, разработку логических

IP-ядер, освоение современных техноло-

гий полупроводникового производства

с перспективой дальнейшего снижения

стоимости продукции, повышения про-

изводительности и целостности сиг-

нала, снижения энергопотребления

ПЛУ. В результате этой деятельности

мы за последние несколько лет вывели

на рынок семейства Virtex 6, Virtex 5 и

Spartan 6. Кроме того, мы усовершенство-

вали IP-ядра и представили новые вер-

сии нашего пакета разработки ISE Design

Suite. Мы расширили сотрудничество с

фаундри-поставщиками в разработке 65-,

45- и 40-нм КМОП-технологии и стали

первой компанией в производстве ПЛУ,

осуществившей серийные поставки 65- и

45-нм FPGA.

Мы продолжим разработку новой

продукции, позволяющей создавать

экономичные решения. В 2009, 2008

и 2007 гг. расходы на научно-иссле-

довательскую деятельность со ста вили

355,4, 358,1 и 388,1 млн долл., со от-

ветственно. Мы считаем, что техниче-

ское лидерство и инновации являются

основой наших дальнейших успехов, и

потому будем поддерживать высокий

уровень инвестиций в это направление

деятельности компании.

К марту 2009 г. количество зареги-

стрированных патентов США нашей ком-

пании составило более 2000, при этом

свыше 750 патентных заявок, связанных

с нашей фирменной технологией, будут

рассмотрены. Мы принимаем также

активное участие в регистрации патен-

тов в таких дополнительных областях как

цепи, программное обеспечение, архи-

тектура микросхем, системное проекти-

рование, методология тестирования и

другие технологии, относящиеся к ПЛУ.

Мы заключили лицензионное соглаше-

ние с некоторыми фирмами на исполь-

зование некоторой части патентов из

портфеля компании, а также получили

лицензии на патенты третьих фирм.

— Какова рыночная доля продаж

FPGA в России и как изменился объем

продаж в 2008—2009 гг.?

— В течение ряда последних лет

Россия быстро освоила технологию FPGA,

а рост объема потребляемых микро-

схем превысил аналогичный показатель

в большинстве других стран этого реги-

она. Этот рост базируется на сильных

рынках промышленной электроники и

устройств связи и в настоящее время

наблюдается на таких развивающихся

рынках как высокопроизводительная

вычислительная техника. Россию также

Page 12: Электронные компоненты №1_2010

10

РЫ

НО

К

WWW.ELCP.RU

затронул мировой экономический кри-

зис, но мы уверены, что в долгосроч-

ной перспективе потребность в FPGA в

России продолжит быстро увеличивать-

ся, и мы обеспечим эту потребность за

счет сильной технической поддержки

благодаря нашим замечательным пар-

тнерам — компаниям Silica и Inline.

— В этом году многоядерные DSP,

наконец, были утверждены в качестве

стандарта для разработки. Данные

устройства получают все большую

рыночную долю. Некоторые специа-

листы даже предсказывают полную

замену FPGA этими DSP. Что Вы думае-

те по этому поводу?

— Независимый анализ показывает,

что FPGA обладают значительно боль-

шей производительностью и меньшим

энергопотреблением, по сравнению с

DSP. Тесты по определению соотноше-

ния цена/производительность показы-

вают, что в некоторых приложениях у

FPGA этот показатель в 30 раз выше.

Независимый анализ показал сле-

дующее.

– Трудности, связанные с использо-

ванием программного обеспечения для

многоядерных систем, преодолеваются

нелегко. Применение многоядерных ЦП

жестко обусловлено ограничениями со

стороны средств разработки.

– FPGA обладают мощным быстро-

действием, а также преимуществами по

показателю цена/производительность.

Разработка на основе инструментов

MathWorks и C-to-Gate позволяет инже-

нерам, не знакомым с языком RTL, в

полной мере воспользоваться его пре-

имуществами при создании высокопро-

изводительных DSP-приложений.

– Вообще говоря, усилия, необхо-

димые для реализации методологии

высокоуровневого проектирования с

использованием таких языков как С или

MATLAB, в целом эквивалентны усили-

ям, требующимся для реализации алго-

ритма даже на одном (не говоря уже о

двух) ядре DSP. При этом в случае при-

менения FPGA достигается 30-кратное

преимущество в производительности

из расчета на доллар.

— Пожалуйста, расскажите о

но вых процессорных платформах. Вы

пред ставите их в 2010 г.?

— У Xilinx имеется собственное реше-

ние на основе программного процессо-

ра, которое было принято многими рын-

ками конечной продукции. Устройство

MicroBlaze™ представляет собой 32-раз-

рядное RISC-ядро программного про-

цессора с Гарвардской архитектурой и

мощным набором инструкций, оптими-

зированным для встраиваемых прило-

жений. Благодаря этому решению появ-

ляется большая гибкость при выборе

сочетания периферии, памяти и функций

интерфейса, что в совокупности даст

именно требуемую систему при самой

ее низкой стоимости на одной FPGA.

В состав комплекта разработки для

встраиваемых систем EDK (Embedded

Development Kit) входит MicroBlaze, все

поддерживающие ядра IP, а также сред-

ства и графический интерфейс пользо-

вателя для построения встраиваемых

систем на основе FPGA. Комплект EDK

является частью пакета ISE Design Suite —

пакета программирования от Xilinx. Наша

компания продолжает разработку про-

цессорной подсистемы MicroBlaze для

следующих семейств FPGA.

Кроме того, в октябре 2009 г. Xilinx и

ARM подписали договор, в соответствии

с которым компания Xilinx получила

лицензию на IP-процессор ARM Cortex;

стала использовать ядро ARM; догово-

рилась о разработке технологии связи

ARM® AMBA® следующего поколения.

Этот договор выходит за рамки стан-

дартного лицензирования процессор-

ного ядра, т.к. включает использование

компанией Xilinx физического IP-блока

и двухстороннее обязательство сообща

работать над определением следующей

версии спецификации AMBA, де-факто

являющейся промышленным стандар-

том для связи внутри кристалла.

Для Xilinx это обязательство является

важной составляющей успеха платфор-

мы Targeted Design Platform. В феврале

2009 г., когда Xilinx заявила о стратегии

Targeted Design Platform при появле-

нии 40-нм Virtex 6 и 45-нм Spartan 6

семейств FPGA, была подчеркнута важ-

ность IP-стандартизации и поддержка

экосистемы как основы для успешной

реализации СнК с использованием FPGA.

Сотрудничество с компанией ARM отра-

жает намерения Xilinx инвестировать в

развитие этих двух областей.

— В каких направлениях техно-

логических решений вам удалось

добиться наиболее серьезных дости-

жений за последние два года?

— Международный комитет по

определению основных тенденций раз-

вития полупроводниковой промыш-

ленности  — International Technology

Roadmap for Semiconductors (ITRS) —

в 2008 г. определил стоимость труда,

затраченного на проект, как произ-

ведение стоимости единицы труда на

сложность проекта, деленное на про-

изводительность разработчика. В соот-

ветствии с законом Мура, распростра-

няющегося в т.ч. на семейства FPGA

Virtex 6 и Spartan 6, у разработчиков

появилась возможность создавать

более сложные проекты. Для учета сто-

имости затраченного на проект усилий

Xilinx уделяет основное внимание про-

изводительности труда разработчиков.

В этой связи большое значение имеет

появление на рынке платформ Targeted

Design Platforms, которые сочетают в

себе набор персональных инструментов

и IP-блоки (логическое проектирование,

проектирование встраиваемых систем,

DSP, программного обеспечения и про-

ектирование на системном уровне), а

также масштабируемые платы для раз-

работки с платами расширения FMC,

отвечающие специфическим потребно-

стям рынка, и с образцами для разра-

ботки, позволяющими быстро пройти

этапы проектирования и сосредоточить-

ся на создании добавленной стоимости.

Этот новый подход к проектированию

на основе FPGA позволяет буквально с

нуля начать проект, ускорить разработ-

ку продукта и снизить трудозатраты. В

результате FPGA стали использоваться

в большем числе приложений и находят

все больший спрос.

— Каково соотношение между

расходами на проектирование ПО и

оборудования, IP-ядер и средств про-

ектирования?

— За 2009 ф.г. Xilinx потратила

19,5% своих доходов на научно-ис-

следовательскую деятельность. При-

мерно 40% своего бюджета наша

компания вкладывает в средства про-

ектирования и в IP. Около 400 инжене-

ров принимает участие в разработке

этих средств, IP-ядер, плат и решений.

Средства проектирования встраива-

ются в «индивидуальные» пакеты для

разработчиков логики, DSP, встраива-

емых процессоров и высокоскорост-

ных последовательных блоков связи.

Кроме того, Xilinx расходует миллионы

долларов на поддержание экосистемы

сторонних фирм, предоставляя про-

граммное обеспечение и поддержку

партнерам по Альянсу, которые постав-

ляют средства разработки, IP, платы и

поддержку нашим заказчикам.

— Не могли бы Вы рассказать о

мероприятиях, которые планирует

компания в 2010 г.?

— Несмотря на то, что мы оконча-

тельно не составили календарный план

мероприятий на 2010 г., наши партнеры

в лице компаний Silica и Inline проведут

семинары и примут участие в отрас-

левых выставках и конференциях. Обе

эти компании оказывают поддержку по

продуктам FPGA и CPLD, а также по сред-

ствам проектирования нашей компании.

Помимо того, Inline является авторизо-

ванным тренинг-партнером (ATP) Xilinx,

обеспечивая техническое обучение

заказчиков с использованием новейших

обучающих материалов. Xilinx также осу-

ществляет поддержку в соответствии

с университетской программой, благо-

даря которой студенты многих широко

известных учебных заведений России

имеют возможность научиться тому, как

вести разработку на основе FPGA.

Page 13: Электронные компоненты №1_2010

11

Электронные компоненты №1 2010

Завершение рецессии и новый подъем во всех отраслях

экономики, прогнозируемый экспертами, вновь делают

актуальным вопрос об активной модернизации россий-

ских производственных мощностей — в том числе и в

сфере радиоэлектроники. Изготовители технологического

оборудования для производства электроники получают

возможность предлагать рынку новую, более совершен-

ную технику. Уникальную площадку для встреч, перего-

воров и налаживания контактов между поставщиками и

потребителями технологического оборудования предо-

ставляет выставка «ЭлектронТехЭкспо-2010».

Президент России Дмитрий Медведев подчеркнул,

что кризис не меняет приоритетов Правительства

страны, одним из которых является задача всесторон-

него обновления и совершенствования отечественной

радиоэлектронной промышленности. Выполнение этой

задачи невозможно без глобального обновления парка

технологического оборудования и, соответственно, без

существенных инвестиций. Премьер-министр России

Владимир Путин отметил: «Бюджет сохранит свою роль

важнейшего инструмента стимулирования и модерни-

зации экономики. Будет продолжено выполнение про-

грамм развития инфраструктуры, создания инноваци-

онных производств, в связи с чем предусматривается

выделение средств в объеме 1,6 трлн руб.». В частности,

по словам руководителя Правительства, 240 млрд руб.

будет направлено на реализацию программ поддержки

высокотехнологичных отраслей производства, включая

Федеральную космическую программу — 67,2 млрд руб.,

на развитие Росатома — 53 млрд руб, ГЛОНАССа —

27,9 млрд руб., гражданской авиационной техники —

22,5 млрд рублей, на реализацию программы цифрового

телерадиовещания — 10,8 млрд руб.

Продолжится и реализация мероприятий Федеральной

целевой программы «Развитие электронной компонент-

ной базы и радиоэлектроники» на 2008—2015 гг., для

реализации которой из бюджета планируют выделить

около 110 млрд руб. Выполнение положений этого доку-

мента невозможно без создания и обновления российских

производств в сфере радиоэлектроники. Таким образом,

модернизация таких предприятий является государствен-

ной задачей и получает государственную поддержку.

Заместитель министра промышленности и торговли РФ

Юрий Борисов отметил: «В настоящее время продолжается

реализация ФЦП радиоэлектронного профиля, по кото-

рым Минпромторг России является заказчиком: «Развитие

электронной компонентной базы и радиоэлектроники»,

«ГЛОНАСС», «Развитие ОПК…» и ряда других. Несмотря на

финансовый кризис, удалось сохранить основные объемы

производства. В 2010 г. планируется продолжить работы

по основным приоритетным направлениям электроники».

По мнению генерального директора ОАО «Российская

электроника» Андрея Зверева, «электронная отрасль —

это высокоприбыльный сектор экономики со стабиль-

ным и быстро растущим спросом. На один вложенный в

электронику рубль отдача в конечном продукте достигает

100 руб. К тому же, мировой рынок высокотехнологичной

электронной продукции оценивается в 3,5—4 трлн долл.».

С 20 по 22 апреля 2010 г. в Москве в МВЦ «Крокус Экспо» пройдет выставка технологического оборудования «ЭлектронТехЭкспо».

«ЭлектронТехЭкспо-2010» —

выбирай надежных партнеров!

И те, кто сегодня воспользуется моментом для обновления

производства, завтра войдут в число лидеров отрасли.

Эта же ситуация открывает большие возможности перед

поставщиками оборудования.

Возможность представить последние производствен-

ные новации предоставляет выставка «ЭлектронТехЭкспо»,

проходящая одновременно с крупнейшей в России и

Восточной Европе выставкой электронных компонентов

«ЭкспоЭлектроника». На общей площадке соберутся как

ведущие изготовители и поставщики высокотехнологично-

го оборудования и материалов для производства электро-

ники, так и крупнейшие потребители этой продукции.

Крупнейшие компании — лидеры этого рынка — уже

сегодня заявили о намерении участвовать в «Элек трон-

Тех Экспо». Среди них такие мировые бренды как Agi lent

Technologies, Rohde & Schwarz, Tektronix, Fluke, Ce ramtec,

Treston Oy, National Instruments, Heraeus Ma terials, JTAG

Technologies, PKC Group и т.д. Свои экспозиции предста-

вят крупнейшие поставщики технологического оборудо-

вания: «Остек», «РТС Инжиниринг», «Диполь», «Совтест

АТЕ», «Клевер Электроникс», «НПП Эсто», «Завод Спец-

тех обо рудование», «ЭСТ-СМТ», «Ай Ви Тек Элек тро никс»,

«Иммертехник», «Рязанский проектно-тех но ло гический

институт», «Альтоника», «Петрокоммерц», «Евроинтех»,

«Миллаб», «Санкт-Петербургский центр Элма», «КБТМ-

ОМО» и т.д. Приедут на выставку и поставщики материа-

лов: «Химснаб», «МЭФ Оникс», «Инженерные Технологии»,

«БМП Кемикал», «Гефесд», «Вакер Хеми Рус», а также произ-

водители контрольно-измерительных приборов: «Прист»,

«Эликс», «Аргус Икс», «Мастер Тул», «Лайнтест», «Дарском»,

«Электрейд М», «Серния», «Спринг Электроникс», «Эликс»,

«Евроинтех» и т.д.

В сложившейся экономической ситуации участие в

выставке не только принесет практическую пользу, но и

станет имиджевой акцией, направленной на укрепление

лидерских позиций на рынке. Ведь недаром слоган пред-

стоящей выставки звучит так: «Хочешь выиграть — выби-

рай надежных партнеров». В этом секторе экономики

многолетние партнерские отношения имеют особую цен-

ность, т.к. помимо установки и наладки приобретенного

оборудования требуется своевременное и комфортное

обслуживание техники специалистами самого высокого

Page 14: Электронные компоненты №1_2010

12

WWW.ELCP.RU

уровня. Об этом говорят и постоянные участники проекта

«ЭлектронТехЭкспо-2010».

«Участие в выставке — возможность еще раз пока-

зать партнерам и конкурентам, что компания уверенно

стоит на ногах. А тот факт, что в кризис не все участвуют

в выставках, очень выгоден с точки зрения привлече-

ния новых клиентов от конкурентов», — таково мнение

А. Иванова, технического маркетингового консультанта

JTAG Technologies B.V. по России и СНГ. «Fluke как ком па-

ния-производитель целью участия в выставке считает

не только получение новых контактов, но и понимание

того, что думают конечные пользователи о наших при-

борах. Понимание интересов конечного потребителя

важно с маркетинговой точки зрения. Учитывая сегод-

няшнюю ми ро вую ситуацию, не стоит ждать от выставки

моментальной отдачи. Участие в выставке — это, в пер-

вую оче редь, инвестиции в свой бизнес в долгосрочной

перспек тиве», — добавляет П. Литвина, маркетолог пред-

ставительства Fluke в России.

Участие в выставке — это не только работа на страте-

гическую перспективу и имидж. По свидетельству экспо-

нентов прошлых лет, это еще и прекрасная возможность

для появления новых контактов, обсуждения возмож-

ностей сотрудничества, профессионального общения.

«Принимая во внимание общую экономическую ситуа-

цию, мы не ожидали от выставки многого. Но ни одно из

опасений не оправдалось, и количество клиентов, кото-

рые посетили наш стенд, оказалось достаточно высо-

ким. Кроме того, мы отметили тенденцию улучшения

качественного состава посетителей, уровень професси-

онализма посетителей стал выше, — отметил Н. Ковалев,

председатель совета директоров ГК «Диполь». «Не ко-

торые компании в этом году были вынуждены отказать-

ся от участия в выставке, но мы сохранили свой интерес

к выставке, более того, мы приложили все усилия, чтобы

привезти «живое» оборудование и продемонстрировать

новые технологии», — сказал В. Терешкин, генеральный

директор Санкт-Петербургского центра «Элма». На пом-

ним, что выставка будет проходить в рамках крупней-

шего в России и Восточной Европе форума электронной

промышленности с 20 по 22 апреля 2010 г. в московском

МВЦ «Крокус Экспо».

НОВОСТИ ЦИФРОВОЙ ЭЛЕКТРОНИКИ

| INTEL И MICRON ПЕРВЫМИ НАЧИНАЮТ ВЫПУСК ФЛЭШ-ПАМЯТИ ТИПА NAND ПО НОРМАМ 25 нм | О начале выпуска пер-

вой в отрасли 25-нм флэш-памяти типа MLC NAND объявили компании Intel и Micron Technology. Переход на меньшие нормы

поможет снизить стоимость памяти и будет способствовать увеличению объема памяти таких устройств как проигрыватели,

смартфоны, твердотельные накопители.

Выпуск компонентов объемом 8 Гбайт налажен на совместном предприятии IM Flash Technologies. Площадь чипа

составляет 167 кв. мм. Кристалл помещается в стандартный корпус типа TSOP. Чипы объемом 8 Гбайт можно объединять

для увеличения объема. Сейчас доступны ознакомительные образцы изделий, а их массовый выпуск планируется начать

во втором квартале. Новинка имеет максимальную плотность среди однокристальных чипов двухуровневой флэш-памяти

типа MLC NAND.

Применение 25-нм памяти объемом 8 Гбайт позволяет уменьшить количество микросхем памяти в конечных изделиях

вдвое по сравнению с предыдущим поколением. Например, для SSD объемом 256 Гбайт теперь необходимо 32 микросхе-

мы, а не 64, как ранее. Чтобы оснастить смартфон 32 Гбайт встроенной памяти, хватит четырех микросхем, а карта памяти

объемом 16 Гбайт потребует всего две.

www.russianelectronics.ru

СОБЫТИЯ РЫНКА

| КОНФЕРЕНЦИЯ IR ДЛЯ ДИСТРИБЬЮТОРОВ ЭЛЕКТРОННЫХ КОМПОНЕНТОВ | В начале февраля этого года в Москве про-

шла дистрибьюторская конференция компании International Rectifi er, организованная российским представительством этой

компании. Цель встречи состояла в том, чтобы ознакомить официальных дистрибьюторов IR с изменениями на рынке и в стра-

тегических подходах IR к развитию дистрибьюторского канала поставок продукции компании на российский рынок. На встре-

че присутствовали представители официальных дистрибьюторов IR в России — компаний «Компэл», «Платан», «Симметрон»,

«Петроинтрейд», Rainbow Technologies, Arrow, Silica.

На конференции выступила Линда Кинг (Linda King), вице-президент отдела дистрибуции, контрактного производства и

развития бизнеса. Она обрисовала основную деятельность компании, предлагаемую на рынке продукцию и перспективы

ее дальнейшего развития. Выступивший вслед за Линдой Волкер Шендел (Volker Schendel), директор отдела по планиро-

ванию развития бизнеса, рассказал о положении компании на мировом и российском рынках, о новой политике цено-

образования, а также дал рекомендации дистрибьюторам по новой продукции, которая показывает в настоящее время

максимальную динамику роста.

IR вновь сосредоточила усилия на производстве MOSFET. Компания выводит на рынок ряд новой продукции: укомплек-

тована номенклатура средневольтных устройств; выпускаются приборы логического уровня управления, а также новое

семейство DirectFET и PQFN-устройств.

Борис Омаров, директор представительства IR, заявил, что кризис на производственном уровне завершился в июле

2009 г. Спрос на продукцию IR во много раз превышает предложение — все производственные линии загружены на мак-

симальную мощность. На российском рынке компания превзошла уровень продаж в IV кв. 2008 г.

В рамках мероприятия также прошла конференция с первыми руководителями дистрибьюторских компаний, а также

индивидуальные встречи. По словам Бориса Омарова, в результате этих встреч IR рассчитывает добиться согласованных

методов взаимодействия между производителем и дистрибьюторами в продвижении продукции IR на рынок России.

В дальнейшем подобные конференции планируется проводить на регулярной основе.

www.russianelectronics.ru

Page 15: Электронные компоненты №1_2010
Page 16: Электронные компоненты №1_2010

14

РА

ЗР

АБ

ОТ

КА

И К

ОН

СТ

РУ

ИР

ОВ

АН

ИЕ

WWW.ELCP.RU

Во второй части этой статьи (начало см. в ЭК12) рассматриваются методы управления тепловым режимом силовых дискретных устройств с помощью медных столбиковых выводов, вопросы моделирования тем-пературы кристалла и расчета срока его службы.

МЕТОДЫ ТЕПЛОВОГО РАСЧЕТА

МИКРОСХЕМ И ДИСКРЕТНЫХ

УСТРОЙСТВ СИЛОВОЙ ЭЛЕКТРОНИКИ.

Часть 2ВЛАДИМИР КОНДРАТЬЕВ, инженер-разработчик

УПРАВЛЕНИЕ ТЕПЛОВЫМ РЕЖИМОМ

СИЛОВЫХ ДИСКРЕТНЫХ УСТРОЙСТВ

Управление тепловым режимом

таких полупроводниковых устройств

как тиристоры, МОП-транзисторы и

биполярные транзисторы с изоли-

рованным затвором (IGBT), которые

рассеивают большое количество

мощности в режиме сильного тока,

представляет собой непростую зада-

чу. Ее решение усложняется тем, что

форм-фактор большинства полупро-

водниковых устройств становится все

меньше и возникает необходимость

установить соответствующее управ-

ление над более высокими уровня-

ми теплового рассеивания. Кроме

того, решение по управлению тепло-

вым режимом должно составлять

лишь часть цены этих миниатюрных

устройств, колеблющейся в диапазо-

не 0,5–4 долл.

Следовательно, требуется создать

решение, интегрируемое в технологию

корпусирования электронной системы.

Тонкопленочные термоэлектрические

материалы представляют собой один

из вариантов реализации решения по

управлению тепловым режимом.

Одним из методов корпусирова-

ния, пригодных для реализации тон-

копленочного решения по управ-

лению тепловым режимом силовых

полупроводников, является техноло-

гия перевернутого кристалла. Она

предназначена для соединения полу-

проводниковых устройств, например

микросхем и микроэлектромехани-

ческих систем (МЭМС), с внешни-

ми цепями с помощью контактных

столбиков, нанесенных на контакт-

ные площадки кристалла. Функция

управления тепловым режимом реа-

лизуется на основе тонкопленочных

термоэлектрических материалов и

технологии формирования столбико-

вых выводов.

ТЕПЛОВЫЕ МЕДНЫЕ СТОЛБИКОВЫЕ

ВЫВОДЫ

Основу новой концепции управле-

ния тепловым режимом составляет при-

менение тепловых медных столбико-

вых выводов (МСВ). Они представляют

собой термоэлектрическую тонкопле-

ночную структуру для создания меж-

соединений перевернутого кристалла

при его корпусировании. Тепловые

выводы совместимы с существующей

инфраструктурой производства пере-

вернутых кристаллов и предназначены

для их активного охлаждения.

В отличие от стандартных контакт-

ных столбиков с припоем, которые обе-

спечивают электрическое соединение и

механическую связь с корпусом, тепло-

вые выводы позволяют регулировать

распределение тепла по поверхности

полупроводникового кристалла или

другого электрического компонента.

Тепловые выводы имеют чрезвычай-

но малые размеры: диаметр — 238 мкм

и высоту 60 мкм, но эти параметры

можно масштабировать, благодаря

чему тепловое регулирование устанав-

ливается на уровне пластины, кристал-

ла или корпуса. Тепловые выводы рабо-

тают на основе термоэлектрического

эффекта Пельтье, в результате которого

происходит преобразование темпера-

туры в электрическое напряжение, и

наоборот. Этот эффект используется, в

частности, для охлаждения или нагре-

ва тел. Направление распространения

тепла и охлаждение определяются

направлением тока и полярностью

основных электрических носителей

термоэлектрического материала.

Вкупе с механизмом обратной связи

температура контролируемой поверх-

ности регулируется и поддерживается

на требуемом уровне путем периодиче-

ского переключения направления тока.

В зависимости от требований, тепло-

вые выводы имеют различные разме-

ры, КПД и способность теплопередачи.

Вывод лишь на 100 мкм увеличивает

толщину теплоотвода, не контактируя с

источником тепла.

С помощью тепловых выводов

достигается разность температур в

60°C между верхней и нижней частя-

ми кристаллодержателя при плотности

перекачки мощности, превышающей

150 Вт/см2  — идеальном показателе

для приложений с высокой плотностью

тепловых потоков.

СТРОЕНИЕ МСВ

На рисунке 6 показано поперечное

сечение теплового вывода. По своему

строению он во всем идентичен структу-

ре медного вывода, но имеет дополни-

тельный  — термоэлектрический слой.

Этот элемент позволяет осуществлять

активную передачу тепла с одной сто-

роны вывода на другую. Направление

теплопередачи определяется типом

легирующей примеси теромоэлектри-

ческого материала (либо n- или p-типом

полупроводника) и направлением тока

через этот материал.

На рисунке 7 в схематическом виде

представлено поперечное сечение мед-

ных столбиковых выводов и тепловых

выводов для сравнения. Эти структуры

схожи тем, что в обеих имеются медные

контактные столбики и слои припоя.

Рис. 6. Фотография поперечного сечения медного теплового вывода, сделанная с помощью скани-рующего электронного микроскопа, показывает расположение термоэлектрической пленки между медным слоем и слоем припоя

Page 17: Электронные компоненты №1_2010

РА

ЗР

АБ

ОТ

КА

И К

ОН

СТ

РУ

ИР

ОВ

АН

ИЕ

15

Электронные компоненты №1 2010

Основное различие между ними  — в

наличии термоэлектрического слоя n-

или p-типа между двумя слоями при-

поя. Припои для медных столбиковых и

тепловых выводов представляют собой

стандартные сплавы на основе олова.

На рисунке 8 показано устрой-

ство, оснащенное тепловым выводом.

Поток тепла изображен стрелками.

Металлические проводники на печат-

ной плате, высота которых достигает

нескольких микрон, обеспечивают

межсоединения с высокой проводимо-

стью, которые собирают тепло от цепи

и направляют его к тепловому выводу.

Металлические проводники на

печатной плате рисунка 8, по которым

проходит электрический ток в тепло-

вой вывод, могут или не могут непо-

средственно подключаться к цепи

кристалла. В случае, когда существу-

ют межсоединения с цепью кристал-

ла, встроенные датчики температуры

и цепь драйвера позволяют управлять

тепловым выводом с помощью обрат-

ной связи, обеспечивая оптимальные

рабочие характеристики. Отводимый

через тепловой вывод поток, а также

дополнительное тепло от этого вывода,

возникающее в процессе его работы,

направляется в подложку или плату.

Для увеличения производительности

теплового вывода на его обратной сто-

роне следует создать канал с высокой

тепловой проводимостью. В качестве

материала подложки выбираются нит-

рид алюминия, медь, медно-вольф ра мо-

вый или медно-молибденовый сплавы с

ди элек три че ским покрытием и высокой

теплопроводностью.

У многослойной подложки с высо-

кой плотностью межсоединений тепло-

проводность платы относительно

небольшая. Отверстия для теплоотво-

да обеспечивают хорошие каналы для

отводимого тепла.

ОБЪЕМНОЕ ОХЛАЖДЕНИЕ

Совместное использование тепло-

вых выводов с объемной многослойной

структурой кристалла обеспечивает

решение по тепловому регулированию.

Возможности теплового регулирова-

ния значительно увеличиваются путем

сочетания пассивного, активного

охлаждения с тыльной стороны, тепло-

отвода с передней и боковых сторон, а

также управления тепловым потоком в

объеме кристалла.

Охлаждение с задней стороны кри-

сталла повышается за счет тепловых

выводов, установленных либо в радиа-

торе, либо в теплоотводе. На рисун-

ке 9 показан способ применения дис-

кретных устройств для теплоотвода с

передней стороны кристалла. Данный

пример демонстрирует не только воз-

можность охлаждения горячих зон с

помощью термоэлектрического мето-

да, но и те ограничения, которые име-

ются при охлаждении задней стороны

кристалла.

Горячий участок находится в актив-

ной зоне кристалла, тогда как охлажда-

ющее устройство прикреплено к мед-

ному теплоотводу, расположенному на

задней стороне кристалла за тепловым

интерфейсом.

В данном конкретном примере весь

кристалл рассеивает 62 Вт. На долю

горячего участка приходится 2 Вт, а

Рис. 7. Медный столбиковый вывод создается по соседству с тепловым выводом n- или p-типа. Последовательно соединенные выводы n- и p-типа совместно образуют p-n-пару, которая обеспечивает либо охлаждение в результате эффекта Пельтье, либо генерацию термоэдс в соответствии с эффектом Зеебека

Рис. 8. Прохождение теплового потока через вывод

Рис. 9. Охлаждение задней стороны кристалла

Page 18: Электронные компоненты №1_2010

16

РА

ЗР

АБ

ОТ

КА

И К

ОН

СТ

РУ

ИР

ОВ

АН

ИЕ

WWW.ELCP.RU

плотность теплового потока от горячей

зоны составляет 1250 Вт/см2. Базовая

кривая температуры в горячей зоне

без термоэлектрического охлаждения

равна 111°C. В этом примере встроен-

ное тонкопленочное устройство для

охлаждения позволило снизить темпе-

ратуру на 14°C.

На рисунке 10 проиллюстрирована

концепция бокового отвода тепла. В

данном случае ток течет слева направо,

тогда как тепло распространяется от

центра блока к его периферии. При

объемной структуре кристалла тепло-

отвод используется совместно с про-

кладкой, через которую тепло уходит.

В данном случае термоэлектрический

материал находится под подложкой, а

тепло отводится от центральной зоны к

боковым участкам кристалла.

Наконец, рассмотрим метод охлаж-

дения активной зоны кристалла. На

рисунке 11 изображена активная зона

микропроцессора. Рядом с относитель-

но крупными тепловыми выводами

находятся стандартные медные столби-

ковые выводы. На практике использует-

ся 10—20…600—1200 тепловых выво-

дов, которые помещаются на кристалл

поблизости с горячими участками. Для

достижения требуемого охлаждения с

высокой эффективностью теплоотвода

достаточно пленки размером 1×1 мм.

Дальнейшее повышение эффектив-

ности пассивного и активного охлажде-

ния задней стороны кристалла, а также

теплоотвода с его передней и боковых

частей достигается путем сочетания

термически активных МСВ с объемной

многослойной структурой кристалла.

ОПТИМАЛЬНОЕ ОХЛАЖДЕНИЕ

Для повышения производитель-

ности силовых устройств необходи-

ма интеграция систем регулирования

тепловых потоков высокой плотности в

технологический процесс корпусирова-

ния. Тонкопленочные термоэлектриче-

ские материалы, например термически

активные медные столбиковые выво-

ды, встроенные в перевернутые кри-

сталлы, представляют собой идеаль-

ное решение. Дальнейшее повышение

эффективности пассивного и активного

охлаждения задней стороны кристал-

ла, а также теплоотвода с его передней

и боковых частей достигается за счет

сочетания термически активных МСВ

с объемной многослойной структурой

кристалла. Термически активные МСВ

позволяют реализовать оптимальный

режим охлаждения, характеризующий-

ся высокой производительностью и

экономичностью.

ТЕПЛОВАЯ МОДЕЛЬ

ПОЛУПРОВОДНИКА

В разработку силового преобразо-

вателя входит расчет потерь мощно-

сти и роста температуры в полупрово-

дниках и радиаторе. Для обеспечения

надежности решения требуется также

учесть колебания температуры кри-

сталла, которая в целом определяет

срок службы полупроводника (количе-

ство циклов до наступления отказа).

Температура кристалла связана с тем-

пературой радиатора. В большинстве

случаев требуется знать, как распреде-

лена температура источников тепла по

площади микросхемы.

Численное моделирование тем-

пературы кристалла реализуется

с помощью тепловой модели полу-

проводника и системы охлаждения,

позволяющей учесть динамиче-

ское изменение этой температуры.

Стандартная тепловая модель вклю-

чает RC-цепочки. На рисунках 12 и

13 показаны две электрические

эквивалентные цепи для численного

моделирования теплового поведе-

ния полупроводникового устройства.

В этой модели разнице температур

между кристаллом и корпусом (Tкорп)

соответствует некоторое падение

напряжения. Модель непрерывной

дроби на рисунке 1а отражает стро-

ение физического уровня полупро-

водника. RC-элементы соответствуют

уровню структуры полупроводника

(кристаллу, припою, подложке, термо-

компаунду). На рисунке 1б показана

модель простейшей дроби, в которой

RC-элементы не имеют физического

смысла за исключением узла PV-R1-C1,

соответствующего температуре

кристалла. Значения RC-элементов

получают из экспериментальной

кривой разогрева полупроводника.

Преимущество этого метода заключа-

ется в том, что для расчета значений

RC-элементов для каждого полупро-

Рис. 12. Модель непрерывной дроби

Рис. 13. Модель простейшей дроби

Рис. 10. Отведение тепла к боковым участкам подложки с использованием прокладки

Рис. 11. Встроенное решение по объемному тепловому регулированию

Page 19: Электронные компоненты №1_2010

РА

ЗР

АБ

ОТ

КА

И К

ОН

СТ

РУ

ИР

ОВ

АН

ИЕ

17

Электронные компоненты №1 2010

водника не требуется дополнитель-

ной информации от поставщика ком-

понентов.

МОДЕЛИРОВАНИЕ ТЕМПЕРАТУРЫ

КРИСТАЛЛА

Входной информацией для тепло-

вой модели является потеря мощно-

сти в полупроводнике, которая зависит

от топологии цепи и приложения. Мы

рассмотрим, как выполняется расчет

температуры кристалла полупроводни-

ка на примере трехфазного инвертора

напряжения (ТИН). Расчет потерь мощ-

ности приводится в [1–2].

Расчет должен учитывать не только

среднюю температуру кристалла, но

и колебания температуры. Любое ее

изменение отражается на полупрово-

дниковом устройстве. Флуктуации тем-

пературы отражают внутренние связи

в полупроводниковом блоке, напри-

мер, проводные и паяные соединения,

пайку на обратной стороне кристал-

ла. Различия в длинах слоев создают

локальные напряжения при работе

кристалла, что, в конечном счете, при-

водит к его отказу.

Температурная модель полупро-

водника, показанная на рисунках 12

и 13, представляет собой RC-цепочку,

передаточная функция которой зави-

сит от частоты. Таким образом, тем-

пература кристалла является функ-

цией выходной частоты трехфазного

инвертора напряжения. В частности,

необходимо учитывать, что при низ-

кой рабочей частоте преобразовате-

ля пульсации температуры не сгла-

живаются тепловой инерционностью

кристалла.

На рисунке 14 показана динами-

ка потерь мощности в начале работы

трехфазного двигателя. Его ток поддер-

живается постоянным во время запу-

ска. Частота напряжения на двигателе в

течение 0,5 с увеличивается в диапазо-

не 0…50 Гц. Потери мощности рассчи-

тывались для одного IGBT-транзистора

и одного обратнопараллельного диода

на выводе инвертора. На рисунке 15

показаны колебания температуры

полупроводника. При низкой частоте

максимальное изменение температуры

составляет 18°, а минимальное значе-

ние — 4°.

ТЕМПЕРАТУРА КОРПУСА

Срок службы силового модуля зави-

сит не только от разницы темпера-

тур ΔTКр, но и от средней рабочей

температуры полупроводника, т.е. при

размахе амплитуды в 30° следует учи-

тывать температурный диапазон  —

например, 60…90°C или 80…110°C. При

более высокой абсолютной температу-

ре кристалла число циклов до насту-

пления отказа намного меньшее. То

обстоятельство, что источники тепла

(полупроводники) неравномерно рас-

пределены вдоль радиатора, приво-

дит к необходимости моделировать

распределение тепла по поверхно-

сти теплоотвода. Рассмотрим такой

пример моделирования, в котором

радиатор представляет собой прямоу-

гольную пластину. Одна ее сторона

охлаждается за счет конвекции, тогда

как на другой находятся источники

тепла. Их верхняя часть и радиатор

имеют постоянную температуру.

Параметры моделирования

Размеры радиатора — 200×300 мм.

Толщина подложки — 15 мм. Материал

радиатора — алюминий, теплопрово-

дность которого равна 180 Вт/(м∙К).

Потери мощности на одном IGBT-

транзисторе (включая обратнопа-

раллельный диод) составляют 60  Вт.

Таким образом, суммарные потери

равны 240 Вт. Радиатор охлаждает-

ся за счет естественной конвекции.

Температура окружающего возду-

ха — 30°C.

На рисунке 16 показана модель

теплового распределения на радиа-

торе. Максимальная температура под

полупроводниковым кристаллом TD5

равна 87°C.

РАСЧЕТ СРОКА СЛУЖБЫ

Для предсказания срока служ-

бы полупроводника воспользуемся

статистическим анализом Вейбулла,

основанным на равномерном распре-

делении вероятности. С его помощью

было изучено время жизни подложек в

зависимости от энергетических циклов,

количество которых до возникновения

отказа выражается следующей форму-

лой:

Nотк = A∙TКрα∙exp(EA/[kB∙(Tср + 273)]),

где kB = постоянная Больцмана, Дж/K;

Ea = энергия активации, Дж;

Tср = средняя температура кристалла, K;

ΔTкр = изменение температуры, K;

A = 302500;

α = –5,039.

Время повторения циклов состави-

ло менее 10 с. Эта формула описывает

жизненный цикл изделия. При возмож-

ности следует использовать предо-

ставленные производителем данные о

наработке на отказ.

Таким образом, для создания

надежно функционирующего сило-

вого преобразователя важно рас-

считать температуру полупроводни-

ковых устройств и смоделировать

ее распределение вдоль радиатора.

Учет колебаний температуры и сред-

ней температуры полупроводника

позволяет создавать более безопас-

ные решения. Проект на раннем этапе

разработки оптимизируется с помо-

щью программного обеспечения по

моделированию. Результаты модели-

рования были получены с помощью

SemiSimV1 [3].

ЛИТЕРАТУРА1. Realistic benchmarking of IGBT-modules

with the help of fast and easy to use simulation-

tool R. Schnell, U. Schlapbach; ABB Switzerland.

2. Power Cycling Lifetime of Advanced

Power Modules for Diff erent Temperature

Swings U. Scheuermann, U. Hecht; SEMIKRON.

3. SemisSimV1, www.negal.ch.

4. Paul A. Magill. A New Thermal-

Management Paradigm for Power Devices//

h t t p : //p o w e r e l e c t r o n i c s . c o m / t h e r m a l _

m a n a g e m e n t / T h e r m a l - m a n a g e m e n t -

paradign-shift-for-power-devices-PET.pdf.

5. Tobias Hofer. Thermal Analysis of

Semiconductors//www.bodospower.com.

Рис. 14. Энергетический цикл трехфазного двигателя

Рис. 15. Колебания температуры

Рис. 16. Распределение температуры вдоль радиатора

Page 20: Электронные компоненты №1_2010

18

WWW.ELCP.RU

В настоящее время, программаторы выпускают многие

компании, и разобраться в функциональных особенностях

отдельно взятой модели оказывается трудной задачей.

Каждый производитель выделяет положительные сто-

роны своей продукции, но при беглом ознакомлении не

удается оценить удобство использования устройства в

серийном производстве, где ключевыми факторами высту-

пают функции по автоматизации действий оператора,

время программирования и количество поддерживаемых

микросхем. Словацкая компания Elnec выпускает большой

ассортимент программаторов — специализированных и

универсальных, но особое внимание хотелось бы уделить

программаторам для серийного производства, которые

мы и рассмотрим подробнее.

В номенклатуру компании Elnec входят программа-

торы четырех типов: специализированные — T51prog2,

SEEprog, PIKprog2, MEMprogL, MEMprog2; универсаль-

ные — SmartProg2, BeeProg+, BeeProg2; программаторы

для серийного производства– BeeHive4+, BeeHive204,

BeeHive208S (см. рис. 1) и недавно анонсированная

промышленная версия программатора BeeHive204 —

BeeHive204AP, которая станет доступной в IV кв. 2009 г.

Специализированные программаторы уже стали популяр-

ными в России, отдельного же внимания заслуживают уни-

версальные программаторы и программаторы для серий-

ного производства. Устройство BeeProg+ позиционируется

как универсальное, но может выступать и в качестве

программатора для серийного производства начального

уровня. Существует возможность гибкого наращивания

количества BeeProg+, подключенных к одному компью-

теру (до 8 шт.) при появлении потребности в увеличении

производственной мощности. Однако при увеличении

объемов производства разумнее использовать более про-

изводительный универсальный программатор BeeHive4+ с

четырьмя независимыми ZIF-сокетами по 48 выводов или

автономный универсальный программатор BeeHive208S с

восемью ZIF-сокетами по 48 выводов. К достоинствам этих

программаторов следует отнести независимые ZIF-сокеты,

которые позволяют программировать различные типы

микросхем одновременно, и мощные независимые драй-

веры для каждого вывода в сокете.

При организации рабочего места на производстве

особое внимание необходимо уделять антистатической

защите, заземлению и надежному корпусу. К плюсам про-

грамматоров Elnec промышленной серии можно отнести

В статье рассматриваются программаторы для серийного производства и технические характеристики, на которые следует обратить внимание, в первую очередь, при выборе оптимального варианта. Ряд особенностей программаторов компании Elnec способен существенно упростить и ускорить процесс прошивки микросхем на производстве.

ИЛЬЯ УСАНИН, инженер по средствам разработки, ООО «Терраэлектроника»

Программаторы для серийного

производства компании Elnec

Рис. 1. Программаторы BeeHive208S и BeeHive204

надежный металлический корпус и наличие разъемов для

подключения заземления и защиты от статики.

Традиционно, для программаторов одним из важных

параметров является количество поддерживаемых микро-

схем и способ увеличения этого количества без замены

аппаратной части, т.е. при помощи обновления управляю-

щей программы. Компания Elnec регулярно (примерно

один раз в месяц) увеличивает количество поддерживае-

мых микросхем, которое на момент написания статьи ука-

зано в таблице 1.

На сайте Elnec доступна возможность включения непод-

держиваемых микросхем в следующие версии программ-

ного обеспечения по запросу. Такой сервис называется

AlgOR (Algorithms On Request). Обновление программного

обеспечения по запросам клиентов осуществляется каж-

дые 2—3 дня и поэтому, заполнив форму AlgOR, им не при-

ходится долго ждать. Форму запроса можно найти на сайте

компании Elnec (www.elnec.com).

Предварительную оценку быстродействия универсальных

и промышленных программаторов можно получить из дан-

ных о времени программирования нескольких микросхем

программатором BeeProg+, которые приведены в таблице 2.

Итак, мы рассмотрели аппаратные достоинства. Но для

того, чтобы оценить удобство работы с программатора-

ми Elnec на производстве, обратимся к ПО. Оно является

функционально продуманным и интуитивно понятным.

При запуске и подключении программатора естественным

желанием является проверка его работоспособности. Для

этого в ПО предусмотрена функция самотестирования,

которая позволяет опросить аппаратные узлы и сделать

заключение о дальнейшей возможности использования

устройства.

Большое внимание в управляющей программе уделено

функциям, упрощающим работу оператора. Для задания

начальных установок программирования инженеру доста-

точно один раз сделать необходимые настройки (загрузка

Таблица 1. Количество поддерживаемых микросхем

Наименование про-грамматора

BeeHive208S, BeeHive204

BeeHive4+ BeeProg2 BeeProg+

Тип программатораУниверсальный про-мышленный автоном-ный программатор

Универсаль ный про мыш лен ный про грам ма тор

Универсальный про-грамматор

Кол-во поддержи-ваемых микросхем последней версией ПО (PG4UWarc Ver. 2.64)

50391 50637 50575 50825

Таблица 2. Данные о времени программирования

МикросхемаОбъем памя-

ти, МбитДействие

Время, с

M25P20 (последовательная флэш) 2Програм ми-рование и про-верка

3

SST39VF016Q (флэш-организация 2М ×8 бит)16

47

EN29LV160T (флэш-организация 1M ×16 бит) 17

Page 21: Электронные компоненты №1_2010

19

Электронные компоненты №1 2010

файла прошивки, выбор ИМС, установка параметров про-

граммирования), затем сохранить проект. В дальнейшем

в качестве оператора может выступать даже специалист

невысокой квалификации, руководствуясь минимальным

набором инструкций. Обеспечивается это наличием в ПО

производственного режима (Automatic YES!), который в

значительной степени автоматизирует и сводит к миниму-

му действия оператора. Параметры Automatic YES! задают-

ся в меню настройки этой функции.

Оператору остается только следовать сообщениям,

которые выводятся на дисплей компьютера, т.е. извлекать

и устанавливать новую микросхему в сокет. При возникно-

вении внештатной ситуации на экран выводится сообще-

ние о проблеме: отсутствие контакта с выводами микро-

схемы или некорректная установка.

Часто при серийном производстве возникает необходи-

мость записать в изделие индивидуальный серийный номер.

Такая функция сериализации предусмотрена в управляющей

программе. В ее окне настройки выбирается область памяти

для размещения серийного номера изделия, а также тип

данных в режиме записи из файла. Функция автоматического

инкремента и возможность записи серийного номера из

файла пользователя позволяют свести к минимуму ошибки

оператора. Режим сериализации Custom generator предо-

ставляет возможность применять внешний генератор серий-

ного номера. Такой генератор позволяет написать собствен-

ный, уникальный алгоритм создания серийных номеров,

обеспечивающий высокую степень защиты от копирования.

Из окна «Статистика» можно получить информацию о

количестве успешно запрограммированных ИМС, а также

о количестве ошибок. Приятным дополнением является и

режим обратного отсчета, прекращающий программиро-

вание при достижении заданного количества циклов про-

граммирования.

Для ведения более подробного отчета о работе, в т.ч.

о проводимых оператором действиях, предусмотрена

функция Job Report, позволяющая существенно облегчить

поиск причин сбоя в работе программатора.

Во все универсальные и промышленные программато-

ры встроена функция внутрисхемного программирования,

существенно расширяющая возможности программатора.

Эта функция позволяет внутрисхемно программировать

большое количество МК и ПЛИС при помощи стандартных

интерфейсов: ISP, ICSP, JTAG, BDM, Spy-By-Wire. При актива-

ции функции полезной оказывается справка в управляю-

щей программе с рекомендациями и схемами включения в

ISP-режиме.

К сожалению, в рамках одной статьи невозможно опи-

сать все полезные функции ПО, но, подводя итог, хочется

обратить внимание на востребованность на рынке услу-

ги по аутсорсинговому программированию микросхем

небольшими партиями, что наталкивает на мысль об

организации т.н. программных центров. В свете нынешних

экономических событий, когда большинство разработчиков

не вкладывает дополнительные средства в приобретение

нового оборудования, возможность воспользоваться услу-

гами таких программных центров была бы экономически

целесообразна. Что касается программных центров, то

выбор в пользу программаторов Elnec очевиден, т.к. они не

только ориентированы для серийного производства, но и

обеспечивают гибкость при организации рабочих мест по

мере загрузки. Применение BeeProg+ на начальном уров-

не позволит избежать лишних затрат при недостаточном

объеме заказов, а BeeHive8S поможет увеличить скорость

исполнения заказов при возросшем спросе на услугу.

Более подробную информацию о продукции фирмы Elnec

см. на сайтах www.elnec.com и www.terraelectronica.ru.

Page 22: Электронные компоненты №1_2010

20

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

В статье рассматриваются возможные варианты модификации СФ-блоков, используемых при проектировании систем на кристалле. Подробно рассказывается о методике структурной модификации с целью исключения избыточных инструкций и функций, не используемых в кон-кретном приложении.

СТРУКТУРНАЯ МОДИФИКАЦИЯ

ПРОЦЕССОРНЫХ СФ-БЛОКОВ

ДЛЯ СИСТЕМ НА КРИСТАЛЛЕ,

РЕАЛИЗУЕМЫХ НА БАЗЕ FPGAВЛАДИМИР ШАЛТЫРЕВ, аспирант Национального исследовательского ядерного университета МИФИ

ИГОРЬ ШАГУРИН, д.т.н., проф., руководитель лаборатории микропроцессорных систем кафедры микро-

и наноэлектроники, МИФИ

СБИС класса «система на кристалле»

(СнК) начинают широко внедряться в

современную электронную аппаратуру,

вытесняя традиционные конструктив-

ные решения в виде систем, реализован-

ных на печатных платах. Современные

микро- и наноэлектронные техноло-

гии позволяют реализовать СнК в виде

заказной СБИС (ASIC) или на базе ПЛИС

высокой интеграции (FPGA). При раз-

работке методов и средств создания

современных СБИС класса «СнК» следу-

ет рассматривать оба эти направления,

каждое из которых имеет свои области

применения [1—4].

СнК, реализованные в виде ASIC,

имеют значительные преимущества по

сравнению с другими решениями:

– возможность получения более

высоких технических показателей (про-

изводительность, энергопотребление,

массогабаритные характеристики);

– более низкая стоимость при круп-

носерийном выпуске.

Однако разработка и организация

серийного выпуска СнК в виде ASIC

требуют значительных финансовых

затрат. Поэтому такая реализация СнК

является перспективной для проек-

тов, предъявляющих особо высокие

требования к техническим характе-

ристикам изделий, которые други-

ми средствами не обеспечиваются,

или для высокобюджетных проектов,

ориентированных на многосерийное

производство и обеспечивающих эко-

номическую эффективность данного

решения.

Альтернативой является реализация

СнК на базе высокоинтегрированных

FPGA, которые производятся по техно-

логии 90 нм и менее, имеют логическую

емкость более 106 логических вентилей.

Данный вариант реализации СнК также

имеет ряд преимуществ:

– малые затраты на разработку и

создание опытных образцов;

– возможность многократной опе-

ративной коррекции проекта;

– использование хорошо прове-

ренных серийных изделий;

– более простой процесс тестиро-

вания и отладки.

СнК на базе FPGA являются перспек-

тивной элементной базой для широкой

номенклатуры мало- и среднесерийных

изделий с ограниченными требования-

ми к параметрам.

СТРУКТУРА СнК И ВАРИАНТЫ

РЕАЛИЗАЦИИ ИСПОЛЬЗУЕМЫХ

СФ-БЛОКОВ

Основная особенность техноло-

гии проектирования СнК – широкое

использование СФ-блоков, имеющихся

на рынке и в свободном доступе, кото-

рые могут быть реализованы на базе

различных функциональных библиотек

и технологий и интегрированы в кри-

сталл СБИС средствами современных

САПР. СФ-блоки, используемые при

проектировании СнК, имеют три основ-

ные формы представления:

– топологический фрагмент, ори-

ентированный на определенную тех-

нологию изготовления, который можно

непосредственно реализовать в физи-

ческой структуре кристалла – аппарат-

но реализованные (hard) СФ-блоки;

– схема соединения функциональ-

ных элементов из состава библиотеки,

предназначенной для создания СБИС

на базе конкретной технологии изго-

товления – гибкие (fi rm) СФ-блоки;

– модель на языке описания аппа-

ратуры (Verilog, VHDL), которая сред-

ствами САПР преобразуется в тополо-

гические фрагменты для реализации на

кристалле СБИС – синтезируемые (soft)

СФ-блоки.

Разработчик может непосредствен-

но «вмонтировать» в структуру проек-

тируемой СБИС топологически готовый

СФ-блок (hard) или использовать име-

ющуюся модель СФ-блока (fi rm, soft)

и выполнить его схемотехническое

и топологическое проектирование в

составе реализуемой СБИС СнК.

Типовая структура СнК представ-

лена на рисунке 1. Обычно основные

функции по организации работы СнК

выполняет процессорный СФ-блок,

который во многих приложениях обе-

спечивает также необходимую про-

граммную обработку поступающих

данных. В качестве внутренней памя-

ти используются блоки статической

памяти (SRAM). Дополнительные блоки

памяти различного типа (SRAM, DRAM,

ROM, EEPROM, флэш) обычно подклю-

чаются к СнК как внешние устройства.

Специализированные СФ-блоки обра-

ботки данных обеспечивают аппарат-

ное выполнение функций, специфиче-

ских для данной системы. Это могут

быть блоки цифровой обработки сиг-

налов (DSP), аналоговые схемы, преоб-

разователи потоков данных и другие

устройства, выполняющие функции

сопроцессоров. Таймеры, АЦП и ЦАП,

широтно-импульсные модуляторы и

другие цифровые устройства интегри-

руются в состав СнК в качестве перифе-

рийных СФ-блоков. Интерфейс с внеш-

ними устройствами обеспечивается с

помощью параллельных и последова-

тельных портов, различных шинных и

коммуникационных контроллеров и

других интерфейсных СФ-блоков.

Page 23: Электронные компоненты №1_2010
Page 24: Электронные компоненты №1_2010

22

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

В составе СнК могут использоваться

различные типы 8-, 16- и 32-разрядных

процессорных СФ-блоков:

– имеющиеся в открытом доступе

(OpenCores [5] и другие источники);

– предоставляемые на коммер-

ческой основе по лицензиям фирм-

разработчиков.

Разработчик проекта может

выбрать готовую модель синтезируе-

мого процессорного СФ-блока, кото-

рая в наибольшей степени обеспечи-

вает выполнение задач в соответствии

с требованиями технического зада-

ния. При этом современная техно-

логия проектирования СнК на базе

процессорных СФ-блоков позволяет

производить в процессе проектиро-

вания структурную модификацию про-

цессорного ядра с учетом конкретных

задач, решаемых в данном приложе-

нии. Т.к. синтезируемый СФ-блок пред-

ставлен в виде HDL-модели, задачей

проектировщика является коррекция

имеющейся модели, верификация

полученного модифицированного

варианта, оценка параметров систе-

мы, реализованной на базе полученно-

го ядра с целью определения эффек-

тивности проведенной модификации.

Такая коррекция особенно эффектив-

на в СнК, реализуемых на базе FPGA,

где модификация структуры и функций

используемых СФ-блоков легко обе-

спечивается средствами соответству-

ющих САПР.

Возможны два варианта структур-

ной модификации процессорного

СФ-блока:

– расширение реализуемых функ-

ций путем добавления дополнитель-

ных возможностей (инструкций, функ-

циональных блоков), обеспечивающих

повышение эффективности при исполь-

зовании СФ-блока в данном приложе-

нии;

– исключение ряда избыточных

функций СФ-блока, которые не исполь-

зуются в данном приложении.

Отметим, что расширение функцио-

нальных возможностей процессорного

СФ-блока обычно приводит к необхо-

димости модификации пакета средств

разработки программного обеспече-

ния ввиду добавления инструкций по

использованию новых функций. Это

обстоятельство существенно снижает

эффективность применения данного

варианта модификации из-за значи-

тельных дополнительных затрат време-

ни и средств.

В тех приложениях, где процессор-

ный СФ-блок выполняет ограничен-

ное число функций обмена данными

и управления работы системы, имеет

смысл его модифицировать, исключив

ряд инструкций и соответствующих

функциональных блоков. При этом не

требуется модификации набора средств

разработки программного обеспече-

ния, ранее созданного для исходного

процессорного ядра. Данный вариант

модификации СФ-блока позволяет

получить следующие результаты:

– повышение максимальной такто-

вой частоты процессорного СФ-блока,

обусловленное сокращением количе-

ства и длины логических цепей;

– уменьшение количества ресур-

сов, необходимых для реализации

СФ-блока на кристалле FPGA;

– снижение энергопотребления,

обусловленное сокращением количества

используемых ресурсов кристалла FPGA.

МЕТОДИКА СТРУКТУРНОЙ

МОДИФИКАЦИИ ПРОЦЕССОРНЫХ

СФ-БЛОКОВ ПУТЕМ СОКРАЩЕНИЯ

НАБОРА КОМАНД

Предлагаемая методика моди-

фикации структуры процессорных

СФ-блоков позволяет произвести сокра-

щение набора команд, не требуемых

для реализации данного приложения,

и исключить из состава СФ-блока соот-

ветствующие неиспользуемые блоки.

Общий алгоритм реализации данной

методики представлен на рисунке 2.

Исходными данными являются синте-

зируемая модель исходного процес-

сорного СФ-блока в виде описания на

HDL-языке (Verilog, VHDL) и программ-

ный код реализуемого приложения.

Методика структурной модификации

СФ-блока реализуется путем выполне-

ния этапов в следующей последова-

тельности.

1. Компиляция и линковка програм-

мы реализации заданного приложе-

ния позволяют получить исполняемый

бинарный код.

2. Синтаксический анализ получен-

ного кода позволяет выделить под-

множества команд, используемых и

неиспользуемых (исключаемых) при

выполнении приложения.

3. Модификация HDL-кода исходного

СФ-блока на основе полученной инфор-

мации об используемом и исключаемом

подмножествах команд:

а) модификация блока дешифрации

команд: удаляется HDL-код, реализую-

щий формирование выходных сигналов

блока дешифрации при поступлении

команд из исключаемого подмноже-

ства;

б) модификация состава исполни-

тельных блоков: если в результате

коррекции блока дешифрации команд

выявляются блоки, для которых не фор-

мируются необходимые управляющие

сигналы, HDL-код этих блоков исключа-

ется из исходной модели.

4. Синтез процессорного СФ-блока

в соответствии с модифицированным

вариантом HDL-кода выполняется

средствами САПР для семейства FPGA,

на базе которого предполагается реа-

лизация СнК.

5. HDL-моделирование на исходном

и модифицированном ядрах позволяет

получить две трассы, сравнив которые,

можно определить корректность моди-

фицированного HDL-описания: в слу-

чае идентичности трасс модификация

признается корректной.

6. Оценка параметров моди-

фицированного варианта СФ-блока

позволяет определить его основные

характеристики и количественно оце-

нить достигаемое улучшение основных

показателей.

В качестве критериев оценки исполь-

зуется следующий набор параметров:

– количество ресурсов FPGA, необ-

ходимое для реализации СФ-блока;

– максимальная тактовая частота

СФ-блока;

– динамическая мощность, потре-

бляемая СФ-блоком.

При выполнении основных этапов

данной методики модификации исполь-

зуются САПР, предоставляемые про-

изводителями используемых FPGA:

QuartusII компании Altera, ISE компании

Xilinx и др. Эти САПР выдают данные

об использовании различных ресур-Рис. 1. Структура типовой системы на кристалле

Page 25: Электронные компоненты №1_2010

ПЛ

ИС

И С

БИ

С

23

Электронные компоненты №1 2010

сов кристалла – логических блоков

LUT (Look-Up Table), триггеров, блоч-

ной памяти, аппаратных умножителей

и других блоков, производят опреде-

ление максимальной рабочей частоты,

позволяют оценить значения потребля-

емой мощности.

ОЦЕНКА ЭФФЕКТИВНОСТИ

СТРУКТУРНОЙ МОДИФИКАЦИИ

ПРОЦЕССОРНЫХ СФ-БЛОКОВ

Для оценки эффективности методи-

ки структурной модификации процес-

сорных СФ-блоков путем сокращения

набора поддерживаемых инструкций

выбрана архитектура MIPS-I, которая

является типичным представителем

RISC-архитектур, а ее модификации

широко используются в разнообразных

современных системах. Для более пол-

ной оценки были использованы VHDL-

модели ряда вариантов СФ-блоков

данной архитектуры, которые отлича-

лись количеством ступеней конвейера

(от 1 до 7), реализацией блока сдви-

гателя, способом переноса данных в

арифметико-логическом устройстве.

Моделирование производилось

для случая размещения процессорных

СФ-блоков на FPGA семейства Stratix  III

компании Altera. В качестве набора

тестовых приложений выбрано 20 алго-

ритмов из различных наборов тестов

(см. табл. 1). Для компиляции приложе-

ний использовался стандартный ком-

пилятор GCC.

Полученные данные о сокращении

количества ресурсов (LUT и триггеров),

требуемых для реализации модифици-

рованных СФ-блоков, представлены

на рисунке 3, где приводятся средние,

минимальные и максимальные значе-

ния для исследованных вариантов, а

также значения для ядра p3m_st (про-

Таблица 1. Использованные тестовые приложения

Тест Источник Краткое описание

bcount MBench [6] Подсчет количества битов 0/1 в массиве целых чисел

qsort

MBench

Сортировка массива строк

dijkstra Расчет расстояний между всеми парами точек графа

patriciaАлгоритм оптимизации таблиц маршрутизации с сокращением вре-

мени обхода дерева

sha Расчет хэш-функции по алгоритму SHA

crc32 Расчет контрольной суммы строки (полином)

ff tАлгоритм БПФ для полиномиальной функции с псевдослучайными

амплитудами

ssearch Алгоритм поиска подстроки в тексте (без учета регистра)

dct RATES[7] Дискретное косинусное преобразование (2D)

gol J.Conway[8] Алгоритм Game Of Life (эмуляция массива клеток)

dhry R.Weiker[9] Стандартный embedded-тест Dhrystone

bsort XiRISC [10] Пузырьковая сортировка массива целых чисел

crc

XiRISC

Расчет контрольной суммы строки (rocksoft)

des Шифрование строки по алгоритму DES

ff t2 Алгоритм БПФ с фиксированной точкой

fi r Фильтр с конечной импульсной характеристикой

turbo Программная реализация турбо-кода

quant Алгоритм квантизации (MPEG/JPEG)

iquant Алгоритм обратной квантизации (MPEG/JPEG)

vlc Расчет кода переменной длины (VLC)

Рис. 2. Методика структурной модификации процессорного ядра путем сокращения набора поддерживаемых команд

Рис. 3. Сокращение ресурсов (в %), требуемых для реализации процессорных СФ-блоков при исключении неиспользуемых инструкций для различных приложений

Page 26: Электронные компоненты №1_2010

24

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

цессорный СФ-блок с трехступенчатым

конвейером и сдвигателем на базе

умножителя), который имеет наилуч-

шие показатели по совокупности кри-

териев оценки.

Максимальное сокращение количества

ресурсов (до 45%) достигается при реали-

зации алгоритма пузырьковой сортиров-

ки, который не использует операции сдви-

га и умножения, что позволяет исключить

из состава СФ-блоки сдвигателя и умно-

жителя. Несколько меньшее сокращение

требуемых ресурсов (до 43%) обеспе-

чивается при реализации КИХ-фильтра,

который не использует инструкции сдви-

га. Оба данных алгоритма используют

всего 15 инструкций  — около четверти

исходного набора. На приложениях, не

использующих операции умножения, но

использующих сдвиги, реализуется сокра-

щение ресурсов на 20—25%.

На рисунке 4 приведены результа-

ты, показывающие изменение тактовой

частоты для модифицированных про-

цессорных СФ-блоков: указаны мини-

мальные и максимальные значения, а

также среднее значение для набора

исследованных вариантов. При испол-

нении большинства приложений может

быть достигнуто повышение максималь-

ной тактовой частоты на 5—10%, хотя

для отдельных вариантов СФ-блоков

наблюдается некоторое снижение так-

товой частоты (на 1—4%).

При реализации данной методики

модификации процессорных СФ-блоков

потребляемая ими динамическая мощ-

ность изменяется в соответствии с

двумя факторами:

– при сокращении количества

используемых ресурсов происходит

снижение потребляемой мощности;

– при возрастании тактовой часто-

ты потребление оставшихся структур-

ных блоков увеличивается пропорцио-

нально частоте.

Проведенные оценки показали, что

максимальное снижение динамической

потребляемой мощности при выполне-

нии использованного набора приложений

не превышает 8%. С учетом уменьшения

времени выполнения приложений, воз-

никающего вследствие возрастания рабо-

чей тактовой частоты, сокращение энер-

гопотребления может достигать 20%.

ЗАКЛЮЧЕНИЕ

Методика модификации синтезируе-

мых процессорных СФ-блоков, основан-

ная на исключении из набора команд

инструкций, которые не используются

при выполнении конкретного прило-

жения, позволяет для ряда приложе-

ний получить существенное улучшение

характеристик СнК, проектируемых на

базе этих блоков.

На примере выполнения 20 тесто-

вых приложений различными вари-

антами 32-разрядного процессорно-

го СФ-блока с архитектурой MIPS,

реализованными на FPGA семей-

ства Stratix  III, показано, что данная

методика позволяет снизить число

ресурсов, требуемых для создания

СФ-блока (до 45%), увеличить рабо-

чую тактовую частоту (до 13%), сокра-

тить динамическую потребляемую

мощность (до 8%) и снизить энерго-

потребление (до 20%).

ЛИТЕРАТУРА1. Немудров В., Мартин Г. Системы на

кристалле. Проектирование и развитие.  —

М.: Техносфера, 2004. — 216 с.

2. Шагурин И., Шалтырев В., Волов  А.

«Большие» FPGA как элементная база

для реализации систем на кристалле//

Электронные компоненты, №5, 2006.

3. Стешенко В., Руткевич А., Гладкова Е.,

Шишкин Е., Воронков Д. Проектирование

СБИС типа «система на кристалле».

Маршрут проектирования. Синтез схемы.

Часть 1// Электронные компоненты,

№1, 2009.

4. Шагурин И. Системы на кристалле.

Особенности реализации и перспективы

применения//Электронные компоненты,

№ 1, 2009.

5. www.opencores.org

6. Guthaus M., Ringenberg J. et al.

MiBench: A free, commercially representative

embedded benchmark suite//Proceedings of

the IEEE International Workshop on Workload

Characterization, 2001, p. 3—14.

7. Shannon L., Chow P. Standardizing the

performance assessment of reconfi gurable

processor architectures//Proceedings of the 11th

Annual IEEE Symposium on Field-Programmable

Custom Computing Machines, 2003, p. 68—69.

8. Gardner M. The fantastic combinations

of John Conway's new solitaire game//Scientifi c

American, 1970, vol. 223, p. 120—123.

9. Weiker R. Dhrystone: A Synthetic Systems:

Programming Benchmark//Communications of

the ACM, 1984? vol. 27? p. 1013—1030.

10. Campi F. et al. A VLIW Processor with

Reconfigurable Instruction Set for Embedded

Applications//IEEE Journal of Solid-State

Circuits, 2003, vol. 38, p. 1876—1886.

Статья подготовлена в рамках

выполнения ФЦП «Научные и научно-

педагогические кадры инновационной

России на 2009–2013 гг.»

Рис. 4. Изменение максимальной тактовой частоты (в %) при исключении неиспользуемых инструкций для различных приложений

Page 27: Электронные компоненты №1_2010

ПЛ

ИС

И С

БИ

С

25

Электронные компоненты №1 2010

В статье описывается проявление метастабильности в FPGA, рассма-триваются причины ее возникновения и влияние на работу схемы. Расчет среднего времени между отказами (MTBF), вызванными метастабильно-стью, позволяет определить, следует ли разработчику предпринимать шаги для снижения вероятности этих отказов. В статье описана мето-дика расчета MTBF на основе параметров схемы, и предложен метод улуч-шения надежности системы и увеличения MTBF. Статья представляет собой перевод [1].

РЕШЕНИЕ ПРОБЛЕМЫ

МЕТАСТАБИЛЬНОСТИ В ЦИФРОВЫХ

СИСТЕМАХ НА БАЗЕ FPGAДЖЕНИФЕР СТЕФЕНСОН (JENNIFER STEPHENSON), специалист по применению, Altera Corp.

ЧТО ТАКОЕ МЕТАСТАБИЛЬНОСТЬ?

Метастабильность  — это явление,

которое может вызывать сбои систе-

мы в цифровых устройствах, подобных

FPGA, когда сигнал передается между

асинхронными областями схемы. Для

каждого регистра схемы определены

требования к временным параметрам

сигналов, что позволяет им корректно

захватывать данные и формировать

выходной сигнал. Сигнал на входе реги-

стра должен быть стабильным в тече-

ние минимального времени установки

до прихода фронта тактового сигнала

(tSU) и минимального времени удержа-

ния после прихода фронта тактового

сигнала (tH).

Выходной сигнал регистра доступен

через определенное время задержки

после прихода тактового сигнала (tCO).

Если установленные значения tSU или tH

нарушаются, то сигнал на выходе реги-

стра может перейти в метастабильное

состояние. Метастабильный выходной

сигнал регистра некоторое время коле-

блется между высоким и низким уров-

нями, что означает появление задерж-

ки переключения выхода в стабильное

состояние, которая превышает специ-

фицированное значение времени tCO.

В полностью синхронных системах

входные сигналы должны отвечать

определенным требованиям, предъ-

являемым к временным параметрам,

поэтому там метастабильность не

встречается. Наиболее часто проблемы

метастабильности возникают, когда сиг-

нал передается между несвязанными

областями синхронизации системы или

в полностью асинхронных системах. В

таких системах разработчик не может

гарантировать, что сигнал будет отве-

чать требованиям по tSU или tH, т.к. сиг-

нал может появиться в любой момент

времени относительно тактового сигна-

ла области назначения. Если время при-

хода сигнала нарушает заданные для

регистра tSU или tH, то вероятность того,

что регистр перейдет в метастабильное

состояние, а также время установле-

ния стабильного состояния зависят от

режимов работы и технологического

процесса изготовления прибора.

Регистр, захватывающий сигнал

данных на фронте тактового сигнала,

можно сравнить с мячом, заброшен-

ным на вершину холма, как показано

на рисунке 1. Нижняя часть по обеим

сторонам холма представляет собой

стабильные состояния  — новое и

старое значения данных после пере-

ключения сигнала, а вершина холма —

метастабильное состояние. Если мяч

забросить на вершину холма, то теоре-

тически он мог бы балансировать там

бесконечно долго, однако на практике

он смещается в ту или иную сторону и

скатывается с холма. Чем дольше мяч

будет находиться на вершине холма,

пока не упадет вниз, тем быстрее он

достигнет стабильного состояния в

нижней части холма.

Если переключение сигнала дан-

ных происходит после прихода фрон-

та тактового сигнала и минимального

времени tH, то это сравнимо с мячом,

упавшим на стороне «старого зна-

чения данных», и выходной сигнал

остается в первоначальном состоя-

нии. Когда входные данные регистра

переключаются до прихода фронта

тактового сигнала и минимального

времени tSU, то это аналогично тому,

что мяч упал на стороне «нового зна-

чения данных», и выход переходит в

новое стабильное состояние доста-

точно быстро, так что задержка tCO

соответствует установленному значе-

нию. Когда сигналы данных на входе

регистра нарушают требования для

tSU или tH, то этот случай аналогичен

мячу, заброшенному на холм. Если

мяч падает вблизи вершины холма,

то ему потребуется много времени,

чтобы достичь дна. Это увеличивает

время задержки от момента прихода

тактового сигнала до достижения ста-

бильного состояния выходного сиг-

нала, и специфицированное значение

времени tCO нарушается.

Рисунок 2 иллюстрирует изменение

уровней напряжения матастабильных

сигналов во времени. Переход вход-

ного сигнала из низкого на высокий

уровень во время переключения фрон-

та тактового сигнала происходит с

Рис. 1. Иллюстрация явления метастабильности с помощью мяча, заброшенного на холм

Page 28: Электронные компоненты №1_2010

26

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

нарушением установленного для реги-

стра значения времени tSU. Выходные

сигналы в исходном состоянии имеют

низкий уровень, а затем переходят в

метастабильное состояние, колеблясь

между высоким и низким уровнями.

Сигнал A переходит в состояние высо-

кого уровня, соответствующее новым

входным данным, а сигнал B — в состо-

яние низкого уровня, соответствующее

исходным входным данным. В обоих

случаях переключение выходного сиг-

нала в определенное состояние про-

исходит с задержкой, превышающей

установленное значение tCO.

КОГДА МЕТАСТАБИЛЬНОСТЬ МОЖЕТ

ВЫЗВАТЬ СБОИ В СХЕМЕ?

Если сигнал корректно переходит

в низкое или высокое состояния до

того, как следующий регистр захва-

тит данные, метастабильный сигнал

не повлияет на работу системы, кото-

рая спроектирована с учетом асин-

хронной работы входных сигналов.

Продолжая аналогию с мячом и хол-

мом, сбой может произойти, когда

время, которое требуется мячу для

достижения дна (стабильное логиче-

ское состояние), превышает задан-

ное время. Заданное время включает

установленное для регистра значение

tCO плюс некоторый запас времени,

необходимый для передачи сигнала к

следующему регистру. Когда метаста-

бильный сигнал не устанавливается

достаточно быстро, может произойти

сбой, если различные регистры назна-

чения захватывают различные значе-

ния метастабильного сигнала.

Заметим, что асинхронные входные

сигналы или сигналы, которые переда-

ются между несвязанными областями

синхронизации, могут переключаться в

любой момент относительно фронта так-

тового сигнала. Кроме того, разработчик

не может спрогнозировать последова-

тельность переключений сигнала или

число фронтов тактового сигнала точки

назначения до момента переключения

данных. Например, если асинхронные

сигналы передаются по шине между

синхронизируемыми областями, то сиг-

налы данных могут переключаться по

фронтам различных тактовых сигналов.

В результате, принятые значения дан-

ных могут быть некорректны.

Разработчик должен учитывать

такое поведение сигналов при про-

ектировании схемы, например, с

помощью использования FIFO-логики

с двумя тактовыми сигналами для

хранения промежуточных значений

сигналов или логики «рукопожатий»

(hand-shaking logic). FIFO-логика

передает сигналы управления между

двумя синхронизируемыми областя-

ми, при этом данные записываются и

считываются в двухпортовую память.

Разработчики могут использовать код

Грея для гарантии того, что только

один бит был изменен в шине данных,

что позволяет обнаруживать искаже-

ние данных из-за явления метаста-

бильности. Если асинхронный сигнал

участвует в логике «рукопожатий»

между двумя синхронизируемыми

областями, управляющие сигналы

определяют момент передачи данных

между областями синхронизации. В

правильно разработанной системе

схема функционирует корректно при

условии, что сигнал переходит в ста-

бильное состояние до того момента,

когда он будет использоваться схе-

мой в дальнейшем.

РЕГИСТРЫ СИНХРОНИЗАЦИИ

Когда сигнал передается между

узлами схемы в асинхронных областях,

он должен быть синхронизирован с

тактовым сигналом новой области син-

хронизации. Первый регистр в новой

синхронизируемой области действует

как регистр синхронизации. Для мини-

мизации числа сбоев, вызванных мета-

стабильностью, разработчики исполь-

зуют последовательность регистров

(цепочку регистров синхронизации

или синхронизатор) в новой области

назначения. Эти регистры предостав-

ляют для потенциально метастабиль-

ных сигналов дополнительное время,

необходимое для переключения сиг-

нала в известное состояние до того,

как сигнал будет использован другими

узлами схемы. С помощью FIFO-логики

или логики «рукопожатий» сигналы

управления синхронизируются путем

выделения достаточного времени для

установления метастабильных состоя-

ний. Резерв времени (пауза) на выходе

каждого регистра позволяет переклю-

чить метастабильный сигнал в извест-

ное состояние. Это время называется

доступным временем установления

метастабильности.

Синхронизатор можно определить

как последовательность регистров,

которые отвечают следующим требо-

ваниям:

– все регистры в цепи синхронизи-

рованы от одного или одинаковых по

фазе тактовых сигналов;

– первый регистр в цепи управ-

ляется от тактового сигнала несвя-

занной области синхронизации или

асинхронно;

– выход каждого регистра поступает

на вход только одного регистра, за исклю-

чением последнего регистра в цепи.

Длина синхронизатора — это количе-

ство регистров в синхронизируемой обла-

сти. На рисунке 3 представлен пример

синхронизатора длиной в два регистра.

РАСЧЕТ СРЕДНЕГО ВРЕМЕНИ

МЕЖДУ ОТКАЗАМИ ИЗ-ЗА

МЕТАСТАБИЛЬНОСТИ

Среднее время между отказами

(или MTBF), обусловленными мета-

стабильностью, позволяет оценить

среднее время между момента-

Рис. 2. Пример метастабильных выходных сигналов

Рис. 3. Пример цепи регистров синхронизации

Page 29: Электронные компоненты №1_2010
Page 30: Электронные компоненты №1_2010

28

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

ми, когда метастабильность может

вызвать сбои в схеме. Высокие зна-

чения MTBF (например, сотни или

тысячи лет между отказами) слу-

жит признаком надежной схемы.

Требуемое значение MTBF зависит от

системного приложения. Увеличение

MTBF уменьшает вероятность того,

что передача сигнала вызовет какие-

либо проблемы с метастабильностью

в схеме.

MTBF, вызванное метастабильно-

стью, для цепи синхронизатора вычис-

ляется по следующей формуле:

.

Константы С1 и С2 зависят от процес-

са изготовления прибора и режимов

работы. Эти константы определяются

при снятии метастабильных характери-

стик FPGA. Трудность измерения таких

характеристик заключается в том, что

MTBF для типичных проектов на базе

FPGA исчисляется годами, поэтому

измерение времени между метаста-

бильными событиями при использо-

вании реальных устройств в реальных

условиях работы практически невоз-

можно. Определение констант мета-

стабильности для определенного

устройства должно выполняться с

помощью тестовой схемы, имеющей

невысокое MTBF, которое можно изме-

рить в реальности. Результаты измере-

ния MTBF в зависимости от tMET строят-

ся в виде графика в логарифмическом

масштабе. Константа С2 соответствует

наклону линии общего направления

экспериментальных результатов, а

константа С1 определяет линейность

кривой.

Параметры fCLK и fDATA зависят от

параметров схемы: fCLK  — это тактовая

частота синхронизируемой области,

принимающей асинхронный сигнал, а

fDATA  — частота асинхронного сигнала

входных данных. Более высокая такто-

вая частота и частота сигнала данных

уменьшает (ухудшает) MTBF.

Параметр tMET  — это доступное

время установления метастабильно-

сти, или запас времени, превышаю-

щий tCO и необходимый для потен-

циально метастабильного сигнала,

чтобы перейти в какое-либо стабиль-

ное состояние. Для синхронизатора

tMET — это сумма резервного интерва-

ла времени на выходе каждого реги-

стра в цепи.

Общее значение MTBF для схемы

можно определить по MTBF для каж-

дого синхронизатора. Интенсивность

отказов для синхронизатора равна

1/MTBF, а интенсивность отказов для

целой схемы вычисляется путем сложе-

ния интенсивностей отказов для каж-

дого синхронизатора:

,

где N — число цепей синхронизатора.

MTBF для схемы из-за метаста-

бильности равно обратной величи-

не интенсивности отказов для целой

схемы.

Разработчикам, использующим

FPGA компании Altera, не нужно про-

верять значения констант для MTBF или

выполнять вычисления MTBF вручную,

т.к. программный инструмент Quartus

II содержит необходимые параметры

метастабильности. Это программное

средство представляет данные о MTBF

для выбранных синхронизаторов, а

также обеспечивает расчет общего

значения MTBF из-за метастабильности

для целой схемы.

УВЕЛИЧЕНИЕ СРЕДНЕГО ВРЕМЕНИ

МЕЖДУ ОТКАЗАМИ ИЗ-ЗА

МЕТАСТАБИЛЬНОСТИ

Из-за экспоненциального харак-

тера уравнения для MTBF параметр

tMET/C2 оказывает наибольшее влия-

ние на расчетное значение MTBF.

Следовательно, увеличить среднее

время между отказами из-за мета-

стабильности можно путем снижения

константы C2 с помощью совершен-

ствования архитектуры схемы и тех-

нологического процесса изготовле-

ния или путем оптимизации схемы,

увеличивая время tMET в регистрах

синхронизации.

СОВЕРШЕНСТВОВАНИЕ

АРХИТЕКТУРЫ FPGA

Постоянная времени метастабиль-

ности C2 в уравнении для расчета MTBF

зависит от различных факторов, имею-

щих отношение к процессу изготовле-

ния устройства, включая быстродей-

ствие транзисторов и используемое

напряжение питания. Технология, обе-

спечивающая повышение быстродей-

ствия транзисторов, позволяет мета-

стабильным сигналам переключаться

более быстро. Т.к. FPGA переходят со

180-нм технологии на 90-нм нормы,

увеличение скорости транзисторов

позволило улучшить MTBF из-за мета-

стабильности.

Однако с уменьшением геометрии

технологического процесса напряже-

ние питания снижается, но пороговое

напряжение схемы не уменьшает-

ся пропорционально. Когда регистр

переходит в метастабильное состоя-

ние, напряжение на выходе примерно

равно половине напряжения питания.

При пониженном напряжении питания

уровень метастабильного напряже-

ния становится близким к порогово-

му напряжению схемы. Когда уровни

этих напряжений становятся близкими,

коэффициент усиления схемы снижа-

ется, и регистрам нужно больше вре-

мени, чтобы выйти из метастабильного

состояния. Т.к. FPGA переходят на 65-нм

и меньшие технологические нормы при

напряжении питания менее 0,9 В, фак-

тор порогового напряжения становит-

ся более важным, чем увеличение ско-

рости транзисторов. Следовательно,

MTBF из-за метастабильности в общем

случае уменьшается, несмотря на то,

что поставщики FPGA стараются улуч-

шить надежность своих устройств.

Компании-поставщики FPGA могут

использовать анализ метастабильности

архитектуры FPGA для оптимизации

схемы с целью уменьшения MTBF. Так,

например, совершенствование архи-

тектуры 40-нм FPGA компании Altera и

разработка новых поколений устройств

улучшили надежность этих приборов

за счет уменьшения постоянной време-

ни метастабильности C2.

ОПТИМИЗАЦИЯ СХЕМЫ

Экспоненциальный характер урав-

нения расчета MTBF означает, что при

увеличении величины зависящего от

архитектуры схемы параметра tMET экс-

поненциально увеличивается и MTBF

синхронизатора. Например, если кон-

станта C2 для данного устройства и

режимов работы равна 50 пс, то увели-

чение tMET до 200 пс делает показатель

экспоненты равным 200/50, что означа-

ет увеличение MTBF в e4, или более, чем

в 50 раз, в то время как увеличение tMET

до 400 пс увеличивает MTBF в e8, или

почти в 3000 раз.

Синхронизатор с наихудшим значе-

нием MTBF играет решающую роль в

общей величине MTBF для всей схемы.

Рассмотрим схему, которая содержит

9  синхронизаторов с MTBF, равным

миллион лет, и один синхронизатор с

MTBF, равным 100 лет. Интенсивность

отказов схемы равна сумме интенсив-

ностей отказов каждого синхрониза-

тора, или 1/MTBF: 9 цепей × 1/1000000+

+ 1/100 = 0,01009. MTBF схемы получи-

лось равным 99 лет, что лишь немного

уступает MTBF наихудшего синхрони-

затора в схеме. Для улучшения MTBF

из-за метастабильности разработчики

могут увеличить tMET путем добавления

дополнительных каскадов регистров в

цепь синхронизации. Резерв времени

после каждого дополнительного реги-

стра добавляется к величине времени

tMET, что и используется в расчете MTBF.

Разработчики обычно используют два

регистра для синхронизации сигнала,

однако этого может быть недостаточно

для получения высокого MTBF, когда

схема работает на высокой тактовой

частоте. Для улучшения степени защи-

ты от метастабильных явлений реко-

Page 31: Электронные компоненты №1_2010

ПЛ

ИС

И С

БИ

С

29

Электронные компоненты №1 2010

мендуется использовать три регистра. Для разработчиков,

использующих FIFO-логику между синхронизируемыми обла-

стями, специальная FIFO-функция с набором параметров,

предлагаемая компанией Altera, обеспечивает улучшение

защиты от метастабильности с помощью трех и более каска-

дов синхронизаторов. Добавление регистра обеспечивает

дополнительное время ожидания для синхронизирующей

логики, поэтому разработчики должны предварительно оце-

нить, допустимо ли это для работы схемы.

Разработчики могут также оптимизировать располо-

жение синхронизаторов в FPGA для увеличения времен-

ной паузы и уменьшения MTBF. Программный инструмент

Quartus II компании Altera предлагает анализ метастабиль-

ности и возможность оптимизации схемы для увеличения

tMET в цепи регистров синхронизации. После определения

параметров синхронизаторов программный инструмент

позволяет разместить синхронизирующие регистры как

можно ближе друг к другу для увеличения необходимого

запаса времени на выходе.

ЗАКЛЮЧЕНИЕ

Явление метастабильности может возникать при пере-

даче сигналов между несвязанными областями синхрони-

зации или в асинхронных схемах. Среднее время между

отказами, вызванными метастабильностью, связано с тех-

нологическим процессом изготовления устройства, пара-

метрами схемы и временной диаграммой работы логики

синхронизации. Поставщики FPGA могут улучшить мета-

стабильность путем совершенствования технологии изго-

товления и архитектуры схемы. Разработчики систем на

базе FPGA могут увеличить MTBF и улучшить надежность

системы путем увеличения времени tMET схемотехнически-

ми методами или путем оптимизации расположения блоков

схемы на кристалле. Разработчики, использующие FPGA

компании Altera, могут оценить преимущества специализи-

рованного программного обеспечения, которое позволяет

рассчитать величину MTBF для проекта и оптимизировать

расположение узлов схемы для увеличения MTBF.

ЛИТЕРАТУРА1. Jennifer Stephenson. Don't Let Metastability Cause Problems in

Your FPGA-Based Design//www.pldesignline.com.

СОБЫТИЯ РЫНКА

| У РОССИЙСКОЙ ЭЛЕКТРОНИКИ БУДЕТ СВОЯ НЕДЕЛЯ | «Российская неделя электроники» — под таким названием пройдет

в 2010 г. комплекс конгрессно-выставочных мероприятий по разработке, производству, поставке компонентов и модулей

радиоэлектронной аппаратуры, подготовке инженерных кадров для отрасли, продвижению продукции радиоэлектронного

комплекса на отечественном и зарубежном рынках.

В состав «Российской недели электроники» вошли выставки ChipEXPO, DISPLAY, «Беспроводные и мобильные тех-

нологии», «Энергетика. Электротехника и промышленная электроника», Wireless Communications, а также новые меро-

приятия — «Промышленная и встраиваемая электроника», «Потенциал» (подготовка кадров для радиоэлектронного

комплекса), «Радиокон» (выставка-смотр радиолюбительских конструкций). Также запланирована серия конференций по

актуальным вопросам производства, снабжения, сбыта изделий электронной техники.

Основная цель объединяющего форума — содействие структурной реорганизации и качественному росту отече-

ственной электронной промышленности. По словам заместителя Министра промышленности и торговли Российской

Федерации Ю.И. Борисова, «Комплекс выставок должен подчеркнуть усилия по обеспечению мер государственной под-

держки отрасли, решению задач импортозамещения, росту кадрового потенциала, развитию частно-государственного

партнерства, совершенствованию материально-технической базы разработки и производства электроники. «Российская

неделя электроники» должна стать такой же «визитной карточкой» России в области высоких технологий, как Московский

авиационно-космический салон в области авиастроения и Военно-морской салон в С.-Петербурге в области судостро-

ения».

Мероприятие состоится 26—28 октября 2010 г. в Москве, в «Экспоцентре» на Красной Пресне.

Оргкомитет «Российской недели электроники»

служба PR и рекламы

тел. +7 (495) 221-50-15

www.RussianElectronicsWeek.ru

НОВОСТИ ЦИФРОВОЙ ЭЛЕКТРОНИКИ

| CORE i7 970 — «НЕЭКСТРЕМАЛЬНЫЙ» ШЕСТИЯДЕРНИК

С ЧАСТОТОЙ 3,2 ГГц И TDP В 130 Вт | Недавно сообща-

лось, что корпорация Intel, помимо уже хорошо извест-

ного шестиядерного чипа Core i7 980X, вероятно, готовит

еще один аналогичный процессор под названием Core

i7 970. Причем, в отличие от старшей «экстремальной»

модели, чип Core i7 970 в большей степени рассчитан на

массового потребителя.

Наконец, ресурс Fudzilla не только подтвердил опу-

бликованную ранее информацию, но и сообщил инте-

ресные подробности о новом шестиядернике Core i7

970. Данный ЦП обладает тактовой частотой 3,2 ГГц, а

при включении функции Turbo Boost его частота может

достигать 3,46 ГГц. При этом Core i7 970 поддерживает

технологию Hyper Threading, что позволяет ему реали-

зовать 12 вычислительных потоков.

Производительность шины QPI у процессора Core i7

970 составляет 6,4 ГТ/с. Кроме того, этот чип поддержи-

вает память DDR3 1066 и обладает показателем TDP в

130 Вт (как и старшая модель Core i7 980X). Появления

чипа Core i7 970 следует ожидать в III кв. 2010 г.

www.russianelectronics.ru

Page 32: Электронные компоненты №1_2010

30

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

Современные FPGA позволяют реализовать в своей структуре несколь-ко программных процессоров или использовать в ней аппаратные цен-тральные процессоры. В статье рассматриваются требования к раз-рабатываемым схемам в каждом из этих случаев, а также при их комбинации.

ВСТРАИВАЕМЫЕ FPGA-ПЛАТФОРМЫ

ДЛЯ ОБРАБОТКИ ДАННЫХ

ГЛЕНН ШТАЙНЕР (GLENN STEINER), ДЭН АЙСААКС (DAN ISAACS), Xilinx

Встраиваемые в FPGA блоки обра-

ботки данных позволяют полностью

удовлетворить требованиям заказчика

и добиться масштабируемой произво-

дительности. Способность этих ИС при-

способиться и быстро среагировать на

изменяющиеся требования системы

обеспечивает значительные преиму-

щества в широком ряду приложений.

По сути, FPGA являются платформами

общего назначения, на базе которых

разработчики проектируют одно- или

многопроцессорные системы.

Если у дискретных серийно выпу-

скаемых устройств на базе ASSP или

ASIC выбор процессоров, периферии

и производительности строго опреде-

лен, то благодаря возможности FPGA

интегрировать функцию обработки

данных с помощью встроенного аппа-

ратного или программного процессор-

ного блока разработчики настраивают

системы таким образом, чтобы удо-

влетворить требованиям специализи-

рованных приложений. Возможности

FPGA не ограничиваются заранее опре-

деленной системной архитектурой —

эти ИС программируются и настраива-

ются. На самом деле, благодаря FPGA

достигается баланс между процессо-

ром, выполняющим команды и функции

управления, и логикой FPGA, осущест-

вляющей обработку данных с высокой

скоростью.

СОЗДАНИЕ ЗАКАЗНОГО

ПРОЦЕССОРА НА ОСНОВЕ FPGA

Для встраиваемых систем выполне-

ние определенных программных задач

аппаратными средствами может ока-

заться дорогостоящим из-за требую-

щихся с этой целью блоков логики. В

то же время скорость выполнения про-

граммными методами некоторых задач,

решаемых аппаратными средствами,

может оказаться слишком медленной,

чтобы удовлетворить требованиям

системы. С помощью процессоров на

базе FPGA у разработчиков имеется воз-

можность использовать гибкость этих

ИС для создания законченной системы

на едином устройстве и добиться ком-

промисса между требуемым набором

функций, производительностью и стои-

мостью.

Как правило, разработчики выби-

рают кристалл, основываясь на соот-

ношении цена/производительность.

Затем они создают один или несколь-

ко программных процессоров, выби-

рают встраиваемое ядро аппаратного

процессора, обеспечивающего тре-

буемый уровень производительно-

сти. Далее выбирается конфигурация

периферии и памяти в соответствии

с требованиями проекта. В дальней-

шем систему можно оптимизировать,

например, добавив программный

блок вычислений с плавающей точкой

или создав заказные внешние устрой-

ства. С помощью программного про-

цессора разработчики оптимизируют

архитектуру ядра центрального про-

цессора.

Максимально увеличить произво-

дительность системы можно путем

ускорения выполняющихся команд в

FPGA. Этот механизм используется для

разгрузки процессора при решении

задач, требующих большого объема

вычислительных ресурсов, или в слу-

чае сложных повторяющихся процес-

сов для ускорения обработки данных.

Методы сопроцессорного ускорения на

базе FPGA обеспечивают в 5—20  раз

большую производительность, чем

достигается при перезаписи программ-

ного кода. Практика показывает, что

оптимизация кода обычно позволяет

лишь незначительно увеличить быстро-

действие системы.

ПРОГРАММНЫЕ ПРОЦЕССОРЫ НА

БАЗЕ FPGA

Программные процессоры FPGA

обеспечивают возможность изменения

конфигурации и переносимость, бла-

годаря чему устройства на их основе

быстрее выходят на рынок и дольше

остаются на нем. Реализованные с

помощью логики общего назначения,

программные процессоры использу-

ют гибкую структуру FPGA на уровне

кристалла и легко комбинируются с

настраиваемыми IP-блоками, удовлет-

воряя тем самым требованиям по про-

изводительности, функциональности и

стоимости.

Разработчики имеют возможность

создавать единое настраиваемое

устройство по обработке данных, отли-

чающееся высокой гибкостью и опти-

мизированное на системном уровне по

быстродействию. Поначалу разработ-

чики выбирают и настраивают IP-блок,

удовлетворяющий оптимальному соот-

ношению между функциями, размером

и стоимостью. Система допускает воз-

можность встраивания заказной логи-

ки, отладки архитектуры на всем этапе

проектирования и даже на его завер-

шающей стадии. Благодаря тому, что

программные процессоры легко при-

спосабливаются к новым функциям или

стандартам, их применение позволяет

снизить стоимость решения и риски,

связанные с моральным износом про-

дукции.

Программные процессоры облада-

ют высокой гибкостью за счет того,

что реализуются с использованием

программируемых логических при-

митивов (ячеек) FPGA. Эти ячейки

допускают возможность многократ-

ного перепрограммирования, за счет

чего достигается требуемая произво-

дительность и стоимость устройства.

Благодаря совместимости кода между

разными устройствами и продукта-

ми разных поколений программные

процессоры позволяют сэкономить

на средствах, вложенных в программ-

ный код.

Программные процессоры настра-

иваются с помощью элементов, кон-

фигурируемых в соответствии с требо-

ваниями встраиваемого приложения,

что позволяет эффективно изменять

производительность процессора и

его размер. К этим элементам отно-

сятся многорегистровое устройство

циклического сдвига, делитель, блок

перемножения, кэш команд и кэш

Page 33: Электронные компоненты №1_2010
Page 34: Электронные компоненты №1_2010

32

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

данных, блок выполнения операций

с плавающей точкой, логика отладки

оборудования, интерфейсы для под-

ключения стандартных или заказных

внешних устройств. Некоторые про-

граммные процессоры оснащены

также виртуальной памятью и под-

держкой защиты памяти, благодаря

чему большие приложения и несколь-

ко программ могут одновременно

выполняться в среде таких мощных

операционных систем как Linux. Эти

средства позволяют особенно хоро-

шо приспособить программные про-

цессоры к приложениям с повышен-

ными требованиями к безопасности

и надежной работе. Кроме того, эти

средства поддерживают методы сво-

пинга, которые позволяют программ-

ным процессорам выполнять опе-

рации с использованием меньшего

объема физической памяти, за счет

чего снижается стоимость решения и

энергопотребление.

Повышение скорости выполнения

кода достигается с помощью про-

граммных процессоров, использую-

щих дополнительные заказные сред-

ства обработки данных (аппаратные

ускорители). Ускорители подключают-

ся с помощью интерфейса шины или

линии прямой связи к программному

ЦП через высокоскоростной тракт дан-

ных. Использование шинных акселера-

торов проще, с точки зрения интерфей-

са системы. Они идеально подходят

для коллективного обмена большими

блоками данных через стандартную

память, напоминая стандартные пери-

ферийные устройства. Однако из-за

конфликтных ситуаций с шинами, к

которым стремятся получить доступ

несколько ведущих устройств, про-

изводительность системы снижает-

ся. Такие двухточечные интерфейсы

как MicroBlaze Fast Simplex Link (FSL)

или PowerPC APU (Auxiliary Processor

Unit  — вспомогательный процессор-

ный блок) обеспечивают поток данных

с малой задержкой к процессору и от

него. Данные передаются между FPGA

и процессором через один и более

высокоскоростные выделенные кана-

лы, которые через интерфейсы FIFO

подключаются к процессорному кон-

вейеру.

Для размещения ядер программ-

ного процессора в FPGA их следует

синтезировать в FPGA. К счастью,

усовершенствованные средства про-

ектирования и стандартные про-

граммные платформы в значительной

мере упростили процесс реализации

с помощью заранее определенных

драйверов устройства и стеков про-

токолов, автоматизированных функ-

ций, пакетов проектирования тополо-

гии печатных плат и т.д. Кроме того,

предустановленные системы (базовые

образцы разработки), использующие

уже утвержденные периферийные

устройства и контроллеры памяти в

IP-библиотеках, которые поставляются

с некоторыми средствами проектиро-

вания, позволяют инженерам рабо-

тать с ASSP-подобными решениями в

полном соответствии с требованиями

заказчика.

В качестве примера рассмотрим

многоосевую робототехническую сис-

тему, в которой каждый сустав робота

с механическим приводом управля-

ется специализированным про грамм-

ным процессором (см. рис. 1). Такой

подход позволяет распределить

нагрузку процессора. Для того чтобы

исключить задержку и упростить код,

в схеме используется один процессор

на сустав, число которых может быть

разным.

АППАРАТНЫЕ ПРОЦЕССОРЫ FPGA:

МАКСИМАЛЬНОЕ БЫСТРОДЕЙСТВИЕ

Аппаратные процессоры FPGA

создаются на транзисторном уровне

полупроводникового устройства, обе-

спечивая его максимальную скорость

и производительность. В сочетании с

программной обработкой данных на

основе FPGA эти специализированные

жестко закодированные ядра обеспе-

чивают широкий диапазон опций по

оптимизации производительности.

Полностью интегрированный аппа-

ратный процессор и коммутатор дан-

ных позволяют в большей степени

увеличить скорость обработки данных,

чем программные процессоры, а также

существенно уменьшить время ожида-

ния системы. Современные аппаратные

процессоры на базе FPGA обеспечивают

высокую степень интеграции в систему,

в результате чего значительно повыша-

ется ее производительность и снижает-

ся общая стоимость. Это достигается за

счет следующих возможностей:

– высокой пропускной способнос-

ти неблокирующей коммутационной

матрицы, которая обеспечивает пря-

мое соединение с меньшим временем

ожидания;

– интегрированных шинных интер-

фейсов с динамической настройкой

разрядности шины для подключения

программно формируемой периферии;

– специализированных средств

прямого доступа к памяти (DMA) для

максимального увеличения пропуск-

ной способности и производительно-

сти IP-ядра;

– одновременный ввод/вывод и

доступ к памяти максимально увеличи-

вают скорости передачи данных;

– интерфейс контроллера высоко-

производительной выделенной памяти

достаточно гибок, чтобы подключиться

к заказному или поставленному вендо-

ром контроллеру памяти.

Разумеется, показатель мощности

является определяющим. Например,

такие встраиваемые ядра как процес-

сор PowerPC 440 с тактовой частотой

550 МГц, достигают производительно-

сти до 2200 DMIPS (более 1100 DMIPS

на процессор) на базе FPGA самого

последнего поколения, функционируя

на частотах, в два раза превышающих

показатели программных процессо-

ров и имея значительно меньшую

посадочную площадь. Этот конвей-

ерный процессор, одновременно осу-

ществляя множество транзакций,

эффективнее выполняет команды и

передает данные. Помимо того, аппа-

ратные процессоры обеспечивает

намного более эффективный доступ

к памяти и шине благодаря в два раза

большему кэшу команд и данных, по

сравнению с устройствами преды-

дущих поколений, и 128-разрядной

шине данных в многокоординатном

переключателе шин.

Как и программные, аппаратные

процессоры FPGA поддерживают

интеграцию заказных сопроцессор-

ных устройств. В случае с процессо-

ром PowerPC в FPGA компании Xilinx

выполнение кода ускоряется с помо-

щью жестко связанного интерфейса

APU с исполнительным блоком про-

цессора. 128-разрядный интерфейс

APU допускает передачу четырех слов

в одной команде, что позволяет зна-

чительно облегчить исполнение на

ЦП таких ресурсоемких операций как

обработка изображения, сигнала и

векторных данных. В структуру про-

граммной логики FPGA можно также

установить дополнительное устрой-

ство для выполнения операций с пла-

вающей точкой, работающее на впо-

ловину меньшей частоте встроенного

аппаратного процессора.

В отличие от программных процес-

соров, аппаратные ядра не требуют

Рис. 1. Программные процессоры на базе FPGA для управления приводом робототехники с расширяемой архитектурой

Page 35: Электронные компоненты №1_2010
Page 36: Электронные компоненты №1_2010

34

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

логического синтеза. Разработчикам

не придется заниматься синтезом,

размещением и разводкой аппарат-

ного ядра — теми важными задача-

ми проектирования, которые имеют

определяющее значение. Аппаратные

процессоры, выполняющие заданную

функцию, занимают меньшую пло-

щадь на кристалле, чем программные

устройства, за счет чего снижается

стоимость решения на системном

уровне.

Например, в медицинских прило-

жениях по формированию изобра-

жения обычно требуется несколь-

ко аппаратных процессоров, чтобы

справиться с обработкой данных и

его передачей. В зависимости от тре-

бований на системной уровне, функ-

циональное разделение выполняется

несколькими способами. Например,

первый процессор может отвечать за

сбор данных изображения от удален-

ной видеокамеры наряду с ее кали-

бровкой и управлением. Собираемые

данные первый процессор передает

на второй процессор для фильтрации,

коррекции искажений и улучшения

картинки. Обработанное изображе-

ние затем передается на внешнюю

централизованную систему для ото-

бражения. Второй процессор также

запускает операционную систему,

отвечающую за управление на систем-

ном уровне и передачу данных на

внешний хост, включая множествен-

ные управляющие каналы Gigabit

Ethernet с ускорением протокола TCP/

IP. В этом сценарии требования к раз-

меру процессора, сложности зада-

чи, производительности и времени

отклика диктуют необходимость в

применении нескольких аппаратных

процессоров. На рисунке 2 показа-

на система, в которой FPGA исполь-

зует несколько аппаратных процес-

соров.

ДОПОЛНИТЕЛЬНЫЕ ФУНКЦИИ

ПРОЦЕССОРОВ

Несмотря на то, что и программные,

и аппаратные процессоры FPGA имеют

свои уникальные преимущества, они

могут играть дополнительные функции

и сосуществовать в одной схеме, обе-

спечивая более высокие уровни инте-

грации и параллелизм. Для разработ-

чиков совместное использование тех и

других ядер во встраиваемых системах,

несомненно, имеет большое значение.

При этом требуется определить опти-

мальное разделение аппаратных и про-

граммных функций, чтобы оптимизиро-

вать параллелизм процессов наряду с

унификацией и механизмом миграции

IP на аппаратные и программные про-

цессоры. Кроме того, возникает более

тесная интеграция между управляю-

щим и подчиненными процессорами,

что позволяет уменьшить задержку

(например, минимизировать задержку

между командами и движением суста-

вов робота в примере с многоосевой

системой).

Помимо тех многочисленных пре-

имуществ, которые получают системы

на основе аппаратных и программных

процессоров, производители пред-

лагают разработчикам реализовать

дополнительные предложения, харак-

теризующиеся предсказуемым и опти-

мизированным набором специализи-

рованных технологий, позволяющих

ускорить проектирование схем и соз-

дать инновационные приложения во

встраиваемых системах.

Рассмотрим дополнительные воз-

можности использования аппаратных

и программных процессоров FPGA на

примере приложения по управлению

беспилотным летательным аппаратом

(см. рис. 3). Работа каждой подсистемы

управления полетом основана на вход-

ных сигналах датчиков. Программные

процессоры можно использовать для

сбора, объединения и задания форма-

та данных с датчика в соответствии с

курсом самолета, его высотой, скоро-

стью полета и положением, опреде-

ляемым системой навигации. Однако

дополнительные проблемы, вызван-

ные ошибочными данными с датчика

или их отсутствием, а также влиянием

рельефа местности на прием сигнала

системой навигации, наилучшим обра-

зом решаются с помощью аппаратного

процессора, имеющего большую про-

изводительность.

Тем не менее для реализации функ-

ций управления полетом рекоменду-

ется использовать как аппаратные,

так и программные FPGA-процессоры.

Программные процессоры собирают,

объединяют и задают формат вход-

ного сигнала датчика для системного

процессора, включая данные двига-

теля (о подаче топлива, температурах

масла и турбин и т.д.) и сигнал обрат-

ной связи от управляющих двигателей.

Аппаратный процессор, обрабатывая

навигационные данные и информа-

цию с датчика, вычисляет тангаж, крен,

рысканье и тягу двигателей. Нагрузку

как программных, так и аппаратных

процессоров можно уменьшить с помо-

щью сопроцессора. Используя несколь-

ко процессоров в FPGA, все функции

по навигации и управлению полетом

можно реализовать в едином устрой-

стве, что позволяет уменьшить размер

платы, снизить стоимость системы и

увеличить ее надежность.

ВЫВОДЫ

Встраиваемые в FPGA процессоры

обеспечивают гибкие и настраивае-

мые под нужды заказчика платформы.

Разработчики могут быстро изменять

производительность, функции и стои-

мость систем обработки данных в соот-

ветствии с требованиями конкретного

приложения. Разные варианты исполь-

зования программных и аппаратных

ядер позволяют создавать оптимизиро-

ванные вычислительные подсистемы на

одном кристалле. Расширяемые архи-

тектуры процессоров FPGA поддержи-

вают интеграцию таких программно-

вычислительных элементов как блоки

выполнения операций с плавающей

точкой, конфигурация с оптимальным

сочетанием периферии и функций,

необходимых для реализуемого при-

ложения. Нагрузку при решении задач,

требующих больших вычислительных

ресурсов, можно уменьшить за счет

сопроцессоров в той же FPGA, чтобы

обойти узкие места в программном

обеспечении.

Рис. 2. Несколько аппаратных процессоров FPGA обеспечивает сбор данных изображения, обработку и передачу в централизованную систему для отображения

Рис. 3. Многопроцессорная система FPGA для управления полетом и навигации беспилотного летательно-го аппарата

Page 37: Электронные компоненты №1_2010

ПЛ

ИС

И С

БИ

С

35

Электронные компоненты №1 2010

В статье рассмотрены особенности построения самосинхронных схем, приведена их классификация и названы основные отличия от синхронного подхода к проектированию. Приводится анализ факторов, влияющих на скорость работы схем. Отдельно рассмотрены преимущества и недо-статки самосинхронных схем.

САМОСИНХРОННЫЕ СХЕМЫ.

ОСОБЕННОСТИ И ПРЕИМУЩЕСТВА

АЛЕКСЕЙ БУМАГИН, к. т. н., АЛЕКСЕЙ ГОНДАРЬ, МИХАИЛ КУЛЯС, АЛЕКСАНДР РУТКЕВИЧ,

ВЛАДИМИР СТЕШЕНКО, к. т. н., АЛЬ-МЕХДИ ТАЙЛЕБ, ГРИГОРИЙ ШИШКИН, ЗАО «Ди Эс Технолоджи»

До настоящего времени традицион-

ным подходом к построению цифро-

вых схем являлся синхронный подход

с использованием глобального дерева

синхронизации. С переходом к техно-

логическим нормам 45 нм и ниже разра-

ботчики синхронных схем столкнулись

с рядом проблем, касающихся труд-

ностей построения тактового дерева,

дальнейшего увеличения производи-

тельности и размеров схемы, снижения

энергопотребления кристаллов. При

использовании самосинхронного под-

хода при проектировании схем боль-

шинства проблем можно избежать. Так,

по данным ITRS (International Technology

Roadmap for Semiconductors) [1] наме-

тилась четкая тенденция к сдвигу в

сторону самосинхронной парадигмы

проектирования (см. рис. 1). В прогно-

зах ITRS предсказывается, что к 2012 г.

применение самосинхронных цепей в

проекте составит 20% от всей схемы, а

к 2020 г. — уже 40%.

Самосинхронные схемы потребляют

меньше энергии, характеризуются низ-

ким уровнем перекрестных наводок и

электромагнитного излучения, имеют

большую стойкость к технологическо-

му разбросу параметров элементов,

температуры и напряжения питания.

Большим преимуществом использо-

вания самосинхронных схем является

также более высокая защита от взлома

устройств на основе анализа электро-

магнитного излучения и тока потре-

бления.

В настоящее время наиболее пер-

спективным вариантом использования

самосинхронной схемотехники явля-

ется реализация каналов передачи

данных между синхронными блоками

(глобально асинхронное — локально

синхронное проектирование). Такое

решение позволяет уменьшить разме-

ры тактовых областей, увеличив ско-

рость их работы. Одним из лидеров

в данной области является компания

Fulcrum Microsystems, которая исполь-

Рис. 1. Прогноз доли самосинхронной логики в разрабатываемых микросхемах (по данным ITRS)

Рис. 2. Синхронный (а) и самосинхронный (б) подходы к проектированию схем

а)

б)

зует самосинхронную реализацию

матрицы соединений в линейке микро-

схем, предназначенных для построения

высокоскоростных маршрутизаторов.

Использование такого подхода позво-

лило значительно улучшить характе-

ристики конечного устройства: умень-

шить задержку передаваемых данных

в 10 раз, снизить энергопотребление в

4 раза (Scaling the Cloud).

ОСНОВЫ ПОДХОДА

Особенностью самосинхронных

схем является отсутствие глобальных

тактовых сигналов, используемых в син-

хронной схемотехнике. Синхронизация

работы синхронных схем осуществля-

ется при помощи глобальных цепей

распространения тактового сигнала

(тактового дерева) (см. рис. 2а). С увели-

чением размера кристалла и сложно-

сти схемы разработка такого тактового

дерева становится затруднительной.

Большое количество буферных элемен-

тов и длинные соединительные линии

вызывают расфазировку и дрожание

фронта тактового сигнала на тактовых

входах триггерных элементов схемы,

из-за чего в итоге происходит задержка

во времени распространения сигналов

Page 38: Электронные компоненты №1_2010

36

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

Рис. 3. Влияние различных факторов на скорость работы схемы

Рис. 4. Определение критического пути

от триггера до триггера и снижается

быстродействие. Одним из способов

решения этой проблемы является

использование локальных методов так-

тирования, к которым относится само-

синхронизация.

При самосинхронном подходе

каждый комбинационный блок после

завершения переходных процессов

должен вырабатывать сигнал готов-

ности приема следующих данных, по

которому осуществляется синхрониза-

ция предыдущих блоков, обеспечивая

тем самым логическое упорядочивание

событий в схеме (см. рис. 2б). Блок,

вырабатывающий сигнал окончания

переходного процесса, называется бло-

ком индикации. Данные между логиче-

скими блоками передаются с примене-

нием некоторого протокола передачи,

который обеспечивает синхронизацию

информации. В задачу протокола вхо-

дит сопровождение передаваемых дан-

ных парой «запрос/подтверждение»,

которая обеспечивает синхронизацию

на локальном участке схемы.

Разработчикам синхронных схем не

нужно отслеживать порядок прохожде-

ния данных через блоки — это делается

автоматически при использовании гло-

бального тактового сигнала и проверке

соблюдения ограничений проекта. Для

самосинхронной схемотехники, где нет

глобальной синхронизации, необхо-

димо строго контролировать порядок

прохождения данных через модули —

это требует дополнительных аппарат-

ных затрат: введения дополнительных

маркеров данных и сигналов синхрони-

зации работы отдельных блоков. Задачи

синхронизации данных могут решаться

как разработчиком при создании опи-

сания схемы, так и с помощью специ-

альных программных средств на этапе

синтеза схемы из ее высокоуровневого

описания на специальном языке описа-

ния аппаратуры.

Поскольку в самосинхронных схе-

мах отсутствуют тактовые сигналы,

такие схемы характеризуются низким

уровнем электромагнитного излуче-

ния, которое возникает в синхронных

схемах в результате пиков потребле-

ния мощности при одновременном

переключении большого количества

триггеров по фронту тактового сиг-

нала. В самосинхронных схемах пере-

ключение элементов происходит не

периодически с какой-то частотой, а

по мере поступления данных, в раз-

личные моменты времени. Кроме того,

эта особенность самосинхронных схем

приводит к уменьшению пикового тока

потребления схемы, что может иметь

большое значение для устройств без

собственного источника питания,

например меток радиочастотной иден-

тификации (RFID).

Необходимо также отметить, что

самосинхронные схемы обеспечи-

вают более низкое энергопотребле-

ние, т.к. переключения логических

элементов происходят только при

обработке данных, а при их отсут-

ствии — не происходят. Такие схемы

могут также работать при критиче-

ских значениях внешних параметров:

напряжения питания и температуры.

Единственным условием работоспо-

собности схемы является сохране-

ние переключательных спо собностей

транзисторов. В таких условиях ана-

логичные синхронные схемы оказы-

ваются неработоспособными. По дан-

ным компании Achronix [2], некоторые

образцы выпускаемых ими микросхем

(самосинхронные ПЛИС по технологии

90 нм с напряжением питания ядра 1,2

В) остаются работоспособным при сни-

жении напряжения питания до 0,2  В.

Кроме того, снижение этого параметра

с 1,2 до 0,6 В приводит к уменьшению

энергопотребления на 87%.

Одним из базовых элементов постро-

ения самосинхронных схем является

гистерезисный триггер (G-триггер). Он

представляет собой элемент, сигнал

на выходе которого появляется толь-

ко при наличии сигналов на всех его

входах. Расширением такого метода

построения самосинхронных цепей

является использование пороговых

элементов NCL (NULL Convention Logic),

предложенных компанией Theseus

Research [3]. Высокий уровень сигнала

на выходе этих элементов появляется

только в случае, если на определенном

количестве входов также присутствует

высокий уровень сигнала, и высокий

уровень на выходе держится до тех

пор, пока не будет достигнут низкий

уровень сигнала на всех входах такого

элемента.

КЛАССИФИКАЦИЯ

САМОСИНХРОННЫХ СХЕМ

Можно выделить следующие типы

самосинхронных схем [2]:

– строгосамосинхронные схемы.

Являются полностью нечувствитель-

ными к задержкам (Delay-Insensitive),

не создают никаких временных огра-

ничений и задержек распростране-

ния сигнала. В индикаторных блоках

схемы реализован непосредственный

контроль окончания переходных про-

цессов;

– квазисамосинхронные схемы. В

данном классе схем нет непосредствен-

ного контроля окончания переходного

процесса. Одним из способов реализа-

ции таких схем является использова-

ние линий задержки для оценки време-

ни окончания переходного процесса.

Задержка должна соответствовать худ-

шему случаю работы части схемы.

Такое решение, в отличие от синхрон-

ных схем, где скорость работы соответ-

ствует наихудшему случаю работы всей

схемы, позволяет ограничить скорость

работы наихудшим случаем работы

только отдельного блока.

ПРЕИМУЩЕСТВА

САМОСИНХРОННОГО ПОДХОДА

Рассмотрим более подробно пре-

имущества самосинхронных схем по

сравнению с синхронными. Скорость

работы синхронной схемы определя-

ется следующими параметрами (см.

рис. 3):

– временем распространения сиг-

нала по самой медленной цепи;

– учетом наихудших условий по

температуре и напряжению питания;

– наихудшим случаем технологиче-

ского разброса параметров при произ-

водстве микросхемы;

– нарушением целостности сигна-

ла в результате скачков напряжения и

перекрестных наводок;

– расфазировкой и дрожанием

фронта тактового сигнала [2].

Из рисунка 3 можно сделать заклю-

чение, что скорость работы самосин-

хронной схемы выше в результате

отсутствия задержек, вызванных раз-

бросом параметров тактового дерева,

и отсутствием необходимости учи-

тывать наихудшие условия работы и

возможный технологический разброс

параметров.

Для определения худшего случая

в синхронных схемах выделяют так

называемую критическую цепь с наи-

большей задержкой и стараются ее

оптимизировать. На рисунке 4 показан

пример структурной схемы устройства

с разветвлением блоков, причем блок В

используется редко и имеет большую

Page 39: Электронные компоненты №1_2010

ПЛ

ИС

И С

БИ

С

37

Электронные компоненты №1 2010

задержку. Для синхронных схем лими-

тирующей цепью (по которой будет рас-

считан худший случай) является А–В–Г.

Самосинхронная схема будет работать

в этом случае быстрее, т.к. в большин-

стве случаев станет использоваться

блок Б, и задержка будет определяться

цепью А–Б–Г.

Еще одним фактором снижения ско-

рости работы синхронных схем явля-

ется разброс параметров тактового

дерева [4]. На рисунке 5 показаны два

блока с задержками прихода тактовых

сигналов. Как видно из рисунка, при

такой расфазировке тактовых сигна-

лов возникает дополнительная потеря

времени, которую необходимо учи-

тывать при расчете частоты работы

схемы.

Самосинхронные схемы обеспе-

чивают низкое энергопотребление

в результате того, что переключе-

ния в них возникают только по мере

поступления данных, а не на каж-

дый такт, как в синхронных схемах.

Энергопотребление снижается также

за счет отсутствия сильноразветвлен-

ных цепей распространения тактового

сигнала с высокими требованиями к

задержкам.

В качестве примера можно рассмо-

треть процессорное ядро ARM996HS,

разработанное фирмой Handshake

Solution [5] и имеющее в 2,8 раз мень-

шее энергопотребление по сравне-

нию со своим синхронным аналогом

ARM968E-S при той же производитель-

ности. Кроме того, самосинхронный

процессор имеет меньшие в 2,4 раза

токовые выбросы во время работы.

Из-за определенной частоты пере-

ключения транзисторов синхронные

схемы характеризуются наличием

электромагнитного излучения. Такое

излучение может влиять на другие

устройства, расположенные рядом. В

самосинхронных схемах это излучение

намного ниже, поскольку нет посто-

янной частоты переключений, вслед-

ствие чего спектр излучения таких схем

более плоский.

В настоящее время широкое рас-

пространение получили смарт-карты и

другие устройства защиты персональ-

ной информации, имеющие алгоритмы

криптозащиты данных. Используя мето-

Рис. 5. Расфазировка тактового дерева

Рис. 6. Временная диаграмма потребления тока для двух различных команд

ды анализа тока потребления таких

устройств [6], можно определить, какая

именно инструкция выполняется в дан-

ный момент; таким образом, алгоритм

шифрования становится уязвимым.

На рисунке 6 показаны зависимости

тока потребления во времени в про-

Page 40: Электронные компоненты №1_2010

38

ПЛ

ИС

И С

БИ

С

WWW.ELCP.RU

цессоре для двух различных команд — с помощью анализа

этих данных можно восстановить выполняемую программу.

Самосинхронные схемы имеют естественную защиту от тако-

го вида взлома из-за сниженных пиков тока.

НЕДОСТАТКИ САМОСИНХРОННОГО ПОДХОДА

К недостаткам самосинхронных схем можно отнести

более сложные требования к процессу проектирования

схемы. Если при синхронном проектировании работа велась

с отдельными сигналами и синхронизация осуществлялась

за счет общего тактового сигнала, то в самосинхронных схе-

мах такой подход не применим. При разработке этих схем

необходимо использовать канал, через который осущест-

вляется передача данных, сигнала запроса и подтверж-

дения между блоками. С его помощью осуществляется

передача данных между двумя блоками и синхронизация их

работы. Соединить вместе несколько блоков невозможно

без использования специальных компонентов, обрабаты-

вающих сигналы запроса и подтверждения. Кроме того, для

синхронизации работы схемы в целом необходимо исполь-

зовать дополнительные сигналы. Все это требует изменения

мышления разработчика. Попытки сделать автоматический

синтез самосинхронных схем из синхронного описания не

приносят вполне удовлетворительного результата, хотя

такой подход тоже возможен.

Дополнительные сложности при проектировании само-

синхронных схем вызывает так называемый эффект блоки-

ровки (deadlock). Такой эффект наблюдается, если несколько

блоков охвачено логическими обратными связями и ждет

сигналов подтверждения друг от друга для продолжения

работы. Происходит блокировка работы схемы, и для после-

дующей правильной работы необходимо произвести ее

сброс. Такие связи могут быть неявными и охватывать боль-

шое количество связанных блоков. Ошибки, относящиеся к

блокировке работы схемы, обнаруживаются и устраняются

на этапе ее проектирования в результате анализа и модели-

рования работы конкретных блоков.

Необходимо также отметить сложности проектирования

самосин хронных схем в результате недостаточного коли-

чества современных САПР для разработки. Существующие

САПР, разработанные для синхронных схем, не позволя-

ют проверить схему на нарушения самосинхронности.

Специализированные среды разработки основаны на соб-

ственных языках описания аппаратуры, они только начина-

ют появляться, и на данный момент еще нет четких методик

проектирования.

В заключение стоит отметить, что рассмотренные преиму-

щества самосинхронных схем перед синхронными открыва-

ют большие перспективы их применения, но без поддержки

современными средствами автоматизированного проекти-

рования процесс разработки и полномасштабного тестиро-

вания таких схем становится затруднительным.

ЛИТЕРАТУРА1. International Technology Roadmap for Semiconductors 2007.

Design//www.itrs.net.

2. Alexander Taubin, Jordi Cortadella, Luciano Lavagno, Alex

Kondratyev and Ad Peeters. Design Automation of Real-Life Asynchronous

Devices and Systems in Foundations and Trends(r) in Electronic Design

Automation — Vol.2, No.1, September, 2007., pp.1—133.

3. Karl Fant, Logically Determined Design: Clockless System Design

with NULL Convention Logic, Wiley-Interscience, 2005.

4. Endecott P.B., Processor Architectures for Power Effi ciency and

Asynchronous Implementation, MSc Thesis, Dept. of Computer Science,

University of Manchester, 1993.

5. www.arm.com.

6. Zhongchuan Yu. An Investigation into the Security of Self-Timed

Circuits. PhD Thesis, Dept. of Computer Science, University of Manchester,

2004.

СОБЫТИЯ РЫНКА

| КОНФЕРЕНЦИЯ IR ДЛЯ ДИСТРИБЬЮТОРОВ ЭЛЕКТРОННЫХ КОМПОНЕНТОВ | В начале февраля этого года в Москве прошла

конференция компании International Rectifi er, организованная российским представительством этой компании.

На конференции выступила Линда Кинг (Linda King), вице-президент отдела дистрибуции, контрактного производства и

развития бизнеса. Она обрисовала основную деятельность компании, предлагаемую на рынке продукцию и перспективы

ее дальнейшего развития. Выступивший вслед за Линдой Волкер Шендел (Volker Schendel), директор отдела по планиро-

ванию развития бизнеса, рассказал о положении компании на мировом и российском рынках, о новой политике ценоо-

бразования, а также дал рекомендации дистрибьюторам по новой продукции, которая показывает в настоящее время

максимальную динамику роста.

IR вновь сосредоточила усилия на производстве MOSFET. Компания выводит на рынок ряд новой продукции: укомплек-

тована номенклатура средневольтных устройств; выпускаются приборы логического уровня управления, а также новое

семейство DirectFET и PQFN-устройств.

Борис Омаров, директор представительства, заявил, что кризис на производственном уровне завершился в июле 2009

г. Спрос на продукцию IR во много раз превышает предложение — все производственные линии загружены на максималь-

ную мощность. На российском рынке компания превзошла уровень продаж в IV кв. 2008 г.

После конференции в следующие дни представители IR провели ряд встреч с руководителями дистрибьюторский

компаний.

www.russianelectronics.ru

Page 41: Электронные компоненты №1_2010

99

Электронные компоненты №1 2010

ВВЕДЕНИЕ

Выпуск новой элементной базы при появлении сле-

дующего поколения технологических процессов является

ожидаемым событием для микроэлектронной отрасли. В

таком направления как проектирование цифровых систем

на базе микросхем программируемой логики разработчи-

ки традиционно ожидают не только количественных, но и

качественных улучшений, связанных с появлением новых

функциональных возможностей. Дополнительно к этому

появляются и новые подходы к проектированию, а также

новые области применения, возникающие в результате

добавления новых компонентов на программируемый

кристалл.

ТЕХНИЧЕСКИЕ ХАРАКТЕРИСТИКИ

Семейства ПЛИС с архитектурой FPGA Virtex-6 и

Spartan-6 выпускаются по технологическим процессам

с нормами 40 и 45 нм, соответственно. Использование

современных технологических процессов позволя-

ет рассчитывать на достижение высоких технико-

экономических показателей, поскольку уже привычным

стало то, что переход к следующему поколению техно-

логических процессов вызывает снижение стоимости и

повышение производительности цифровых микросхем.

Все это наблюдается и в новых FPGA Xilinx, которые про-

должают направления, обозначенные сериями Virtex и

Spartan, где Virtex представляют собой высокопроизводи-

тельные ПЛИС, вбирающие в себя последние достижения

цифровой электроники и микроэлектронных технологий,

а Spartan являются недорогими микросхемами, пред-

назначенными для серийного выпуска электронных

устройств. Размещение компонентов на кристаллах

Virtex-6 и Spartan-6 показано на рисунке 1.

Видно, что ПЛИС Virtex-6 выполнена по «колоночной»

архитектуре ASMBL (Advanced Silicon Modular Blocks), как

и предыдущие семейства Virtex, начиная с Virtex-4. Такая

архитектура подразумевает выполнение микросхемы в

виде вертикальных блоков с компонентами различных

типов. Поскольку блоки разрабатываются отдельно, при

необходимости из них можно относительно просто соста-

вить микросхему с требуемым соотношением ресурсов.

Такие подсемейства ПЛИС с разным соотношением основ-

ных блоков в терминологии Xilinx носят название плат-

форм.

Цветом выделены отдельные компоненты ПЛИС. Кроме

программируемых логических ячеек, современные FPGA

Xilinx имеют блоки следующих типов:

– блочная память;

– устройства «умножение с накоплением» XtremeDSP;

– модули формирования тактового сигнала;

– скоростные последовательные приемопередатчики

MGT;

– аппаратные блоки Ethernet MAC и PCI Express

endpoint;

– аппаратные контроллеры памяти DDR2/DDR3 (только

FPGA Spartan-6).

В статье рассматриваются вопросы применения новых семейств ПЛИС компании Xilinx.

ИЛЬЯ ТАРАСОВ, к.т.н., ведущий специалист «Инлайн Груп»

Применение новых семейств FPGA

Virtex-6 и Spartan-6 фирмы Xilinx

Главным отличием нового поколения FPGA является

изменение архитектуры логической ячейки, что проиллю-

стрировано на рисунке 2.

Ранее уже был отмечен переход от долгое время счи-

тавшейся стандартом 4-входовой таблицы истинности

(Look-Up Table, LUT) к 6-входовой. Такая таблица впервые

появилась в ПЛИС Virtex-5, и ее появление привело к пози-

тивному эффекту в виде сокращения числа логических

ячеек, требуемых для реализации основных цифровых

узлов. Таким образом, кроме чисто количественного роста

производительности и объема, вызванного переходом к

65-нм технологическому процессу в Virtex-5, разработчики

получили и более мощный логический генератор.

В то же время было замечено, что большое число суще-

ствующих компонентов и готовых решений (IP-ядер) не

получает выигрыша от нового логического генератора,

т.к. они построены с ориентацией на старую, 4-входовую

таблицу истинности. Ввиду этого в Virtex-6 была улучшена

архитектура за счет второго триггера, как показано на

рисунке 2. Дополнительно, логический генератор может

выступать не только как 6-входовая таблица истинности,

но и как две 5-входовые, что расширяет функциональные

возможности ячейки.

Весьма интересным шагом стал также переход к точно

такой же логической ячейке и в дешевом семействе

Spartan-6. Это позволяет разработчикам проводить иссле-

Рис. 1. Организация ПЛИС семейств Virtex-6 и Spartan-6

Рис. 2. Архитектура новой логической ячейки в семействах Virtex-6 и Spartan-6

Page 42: Электронные компоненты №1_2010

100

WWW.ELCP.RU

дования на полнофункциональной ПЛИС Virtex-6, которая

в минимальной степени ограничивает их действия, а затем

при необходимости существенно снижать стоимость гото-

вой продукции, перенося полученные решения в более

дешевые Spartan-6.

ПЛИС Spartan-6 получили еще один тип устройств,

ранее присутствовавший только в более дорогих Virtex —

аппаратные скоростные приемопередатчики (MGT, Multi-

Gigabit Transceivers). Эти модули могут являться основной

для реализации таких интерфейсов как Gigabit Ethernet,

PCI Express, Serial ATA и др.

В настоящее время выпускаются следующие платформы:

Virtex-6 LX/LXT — платформа с большим объемом логики;

Virtex-6 SXT — платформа, предназначенная для цифро-

вой обработки сигналов;

Virtex-6 HXT — платформа, предназначенная для

построения скоростных телекоммуникационных устройств

на основе приемопередатчиков GTH с пропускной способ-

ностью до 11,2 Гбит/с;

Virtex-6 CXT — платформа для замены LXT в системах с

пониженной стоимостью и производительностью;

Spartan-6 LX — недорогое семейство, предназначенное

для реализации устройств преимущественно с помощью

логических ячеек;

Spartan-6 LXT — семейство с высокоскоростными

последовательными приемопередатчиками GTP (до

3,25 Гбит/с).

В таблице 1 представлены основные характеристики

платформ ПЛИС Virtex-6, а в таблице 2 — ПЛИС Spartan-6.

В части последовательных интерфейсов можно

отметить два события: анонс платформы Virtex-6 HXT с

приемопередатчиками GTH, способными работать на ско-

ростях до 11,2 Гбит/с, и появление платформы Spartan-6

LXT. Последнее событие дает богатый материал для

переосмысления роли ПЛИС в практике конструирования,

поскольку появляется реальная возможность создания

недорогих устройств, содержащих такие интерфейсы как

Gigabit Ethernet, SATA и PCI Express, создаваемые на базе

гигабитных приемопередатчиков. На рисунке 3 можно

видеть, что Spartan-6 содержат блоки GTP, как в ранних

платформах семейства Virtex-5, а в Virtex-6 установлены

более скоростные блоки GTX.

ПРЕИМУЩЕСТВЕННЫЕ ОБЛАСТИ ПРИМЕНЕНИЯ

Из-за объективных особенностей ПЛИС имеют

меньшую производительность и более высокую стои-

мость, чем специализированные микросхемы (ASIC/

ASSP). Поэтому области их применения должны быть

такими, чтобы данные особенности не оказывали суще-

ственного влияния на общие характеристики изделия.

Традиционно к проектам, ориентированным на исполь-

зование ПЛИС, относятся макеты разрабатываемых

ASIC/ASSP, однако в последнее время проявляется еще

одна тенденция, связанная с повышением стоимости

разработки специализированных микросхем. Дело в

том, что стоимость подготовки производства микро-

схемы с технологическими нормами 90 нм и менее

превышает 1 млн долл., поэтому в микроэлектронной

промышленности наблюдается целый ряд решений, при-

званных уменьшить финансовые затраты и риски при

выпуске такой дорогостоящей в освоении продукции. В

данном случае ПЛИС, являясь неограниченно перепро-

граммируемыми, предлагают такие полезные свойства

как коррекция схемы изделия без замены аппаратной

платформы, широкие возможности для распараллели-

вания вычислений и скоростной коммуникации (за счет

большого количества соответствующих аппаратных

ядер), возможность выпуска изделий, которые из-за

ограниченного объема, востребованного на рынке, не

оправдывают разработку специализированной микро-

схемы. Последнее свойство является тем более акту-

альным, что, по различным оценкам, нижняя граница

объема выпускаемых изделий для возврата вложенных

средств находится на уровне 50—300 тыс. микросхем.

Такой тираж характерен не для всех областей примене-

ния электроники.

Можно упомянуть следующие области применения, кото-

рые рассматриваются компанией Xilinx в качестве приоритет-

Рис. 3. Разновидности высокоскоростных последовательных интерфейсов в семействах FPGA Xilinx

Таблица 1. Основные характеристики платформ ПЛИС Virtex-6

Платформа Virtex-6 LX/LXT SXT HXT CXT

Логических ячеек, тыс. 75—760 315—475 250—565 75—240

Блочной памяти, Мбит 5,6—25,9 25,3—38,3 18,1—32,8 5,6—15

Блоков XtremeDSP 288—864 1344—2016 576—864 288—768

Приемопередатчиков 12—36 24—36 48—72 12—24

Таблица 2. Основные характеристики платформ ПЛИС Spartan-6

Платформа Spartan-6 LX LXT

Логических ячеек, тыс. 4—150 25—150

Блочной памяти, Мбит 0,2—4,8 0,9—4,8

Блоков XtremeDSP 8—180 38—80

Приемопередатчиков — 2—8

Page 43: Электронные компоненты №1_2010

101

Электронные компоненты №1 2010

ных. Для этих применений имеются готовые технические реше-

ния (IP-ядра), методические рекомендации и готовые проекты.

– Автомобильная электроника (системы видеонаблюде-

ния, парковки, отслеживания дорожной разметки и знаков,

системы ночного видения, автомобильные мультимедий-

ные устройства).

– Аудио- и видеоаппаратура (видеоинтерфейсы DVI,

HDMI, Display Port, LVDS). Преимуществом новых семейств

являются аппаратные сериализаторы, которые требуются

для современных интерфейсов, использующих высокоско-

ростную последовательную передачу данных. Интересно

отметить появление аппаратных сериализаторов в FPGA

семейства Spartan-6, которое принадлежит к недорогим

ПЛИС, с традиционными ограничениями по функциональ-

ности.

– Бытовая электроника (ЖК-дисплеи и плазменные

панели).

– Обработка и хранение данных (серверы для хранения

данных, коммутационные устройства и мосты на основе

высокоскоростных приемопередатчиков).

– Промышленная и медицинская автоматика (управле-

ние двигателями, системы сбора данных, обработка изо-

бражений на основе параллельной обработки сигналов

блоками XtremeDSP).

– Проводные коммуникации представлены широким

спектром систем, основанных на аппаратных модулях

MGT, куда входят системы как уровня платы (Aurora,

HyperTransport), так и сети уровня Ethernet или Sonet.

– Беспроводные коммуникации также представлены

широким спектром решений, из которых следует отме-

тить различные модификации программно-зависимого

радио (SDR).

Перечисленными направлениями не исчерпываются

области применения ПЛИС, однако они дают основу для

представления о том, в каких конкретно сферах возможно

применение данной элементной базы. Можно упомянуть,

что, в отличие от серийно выпускаемой электроники,

системы на базе ПЛИС характеризуются высоким уровнем

инженерного труда, поскольку конкурировать с серийно

выпускаемой элементной базой они могут только за счет

предоставления качественно иных функциональных воз-

можностей. Именно на возможность реализации эксклю-

зивных функций на базе наукоемких технических решений

и следует ориентироваться при использовании данной

элементной базы.

В дискуссионном порядке можно высказать мнение, что

в текущей ситуации на российском рынке именно системы

на базе ПЛИС могут быть эффективным способом выхода

на мировой уровень разработок для небольших органи-

заций. В пользу этого утверждения говорит то, что при

использовании ПЛИС высокая стоимость элементной базы

обусловливает поиск областей применения, где при невы-

соких тиражах большую роль начинает играть собственно

суть проекта и его технические возможности. При этом

конкуренция производителей элементной базы отходит

на второй план, превращаясь в конкуренцию коллективов

разработчиков. В этом случае отсутствие собственного

производства играет меньшую роль, т.к. для выпуска кон-

курентоспособного изделия на базе ПЛИС можно ограни-

читься разработкой конфигурации для готовой микросхе-

мы, выполненной в инженерном центре и не требующей

больших капиталовложений.

ПОРЯДОК РАЗРАБОТКИ

Достижение высокой производительности и надежно-

сти проекта на базе ПЛИС с архитектурой FPGA основыва-

ется на следующих подходах.

1. Широкое использование аппаратных ядер, таких

как блочная память, аппаратные умножители и блоки

«умножение с накоплением», сериализаторы/десериали-

заторы и др.

2. Использование синхронного подхода к описанию

проектов, что хорошо соответствует архитектуре FPGA,

выполняемых по технологическим нормам 90 нм и

менее.

3. Настройка параметров синтеза и трассировки в САПР

с учетом желаемых характеристик проекта.

Аппаратные ядра играют все большую роль в FPGA.

Их главным достоинством является то, что и по произ-

водительности, и по стоимости они полностью соот-

ветствуют аналогичным блокам, выполняемым по такой

же технологии в ASIC/ASSP. В то же время проект в

ПЛИС, интенсивно использующий аппаратные блоки,

может реализовать архитектуру и функциональность,

существенно отличающуюся от стандартных микро-

схем, и, таким образом, разработчик сможет обеспе-

чить характеристики своего изделия, отличающиеся в

лучшую сторону за счет принятых им архитектурных

решений. В первую очередь, в этой связи можно при-

вести в пример блоки цифровой обработки XtremeDSP

и аппаратные скоростные приемопередатчики MGT.

Имея возможность изменять схему изделия в процессе

проектирования, разработчик может опробовать самые

разные варианты построения параллельных схем обра-

ботки сигналов (для чего полезны независимые блоки

XtremeDSP, которые в семействе Virtex-6 SXT размещены

в количестве до 2016) и коммуникационные устройства.

Например, ПЛИС семейства Virtex-6 HXT, имея до 64

аппаратных приемопередатчиков, позволяют реализо-

вать такие системы, для которых в настоящее время не

существует стандартных решений.

Особенностью цифровых систем, выполняющихся с

нормами 90 нм и менее, является сильная ориентация

на полностью синхронные процессы. Это связано с

тем, что периоды тактовых сигналов становятся сопо-

ставимы с временами распространения сигналов по

кристаллу, что приводит к нестабильной работе проекта

при появлении т.н. «гонок фронтов». Для ликвидации

подобных негативных эффектов в цифровые системы,

в т.ч. ПЛИС, вводят специальные цепи синхронизации,

распространяющие тактовые сигналы по всем модулям

микросхемы.

Для синхронного проекта наблюдаются следующие

свойства:

– число тактовых цепей в проекте минимально, в иде-

альном варианте все модули используют один тактовый

сигнал, формируемый аппаратным модулем FPGA (DCM,

CMT, MMCM или иным, специфичным для данного семей-

ства);

– все модули используют синхронный сброс;

– все модули используют только один перепад тактово-

го сигнала (как правило, фронт);

– используются триггеры, а не защелки;

– при наличии нескольких тактовых сигналов переход

данных от одного тактового домена к другому осуществля-

ется через специальные схемы синхронизации;

– выводы FPGA являются выходами регистра, входные

сигналы записываются в регистры насколько возможно

быстро;

– критичные цепи конвейеризованы.

Приведенные рекомендации не являются исчерпываю-

щими, однако позволяют рассчитывать на формирование

хорошей основы для последующего получения надежно

функционирующей схемы.

Наконец, корректная настройка САПР является мощ-

ным инструментом достижения качественных показа-

телей изделия. Следует заметить, что с возрастанием

логических объемов и сложности современных FPGA уже

Page 44: Электронные компоненты №1_2010

102

WWW.ELCP.RU

недостаточно обеспечить корректную электрическую

схему для разрабатываемого изделия. В зависимости от

настроек алгоритмов синтеза и трассировки, результаты

могут существенно различаться. Для разработчика инте-

рес представляют отличия в частоте, перекрывающие

один класс скорости (speed grade) или более, поскольку

для компенсации такого отличия придется переходить

к следующему, более дорогому, классу скорости. В то же

время, характеристики многих проектов на базе ПЛИС

можно существенно улучшить путем выбора правильных

настроек САПР, а также при использовании дополни-

тельных средств проектирования на уровне топологии

кристалла.

Для больших проектов эффективным способом повы-

шения их производительности является разбиение про-

екта на субмодули с полуавтоматическим размещением

их на кристалле. Для этого используется инструмент

PlanAhead, который ранее представлял собой отдельно

приобретаемый продукт, а начиная с актуальной на сегод-

ня версии САПР ISE 11.x он интегрирован в маршрут про-

ектирования. PlanAhead представляет собой графический

редактор размещения субмодулей на кристалле ПЛИС,

требующий определенной квалификации и понимания

происходящих процессов, однако позволяющий устранять

снижение производительности, вызванное неэффективной

«стратегической» работой автоматических алгоритмов. В

практике преподавания автором учебных курсов по про-

граммам Xilinx имеются примеры, когда последовательное

применение рекомендаций по проектированию цифровых

систем повышало тактовую частоту проектов до 3—5 раз.

Разумеется, в данном случае речь идет не о повышении

частоты «сверх допустимых пределов», а лишь об устране-

нии неэффективных технических решений. Вопросы, свя-

занные с эффективным проектированием на базе ПЛИС,

подробно рассмотрены в учебных курсах Xilinx, читаемых

в авторизованном учебном центре компании «Инлайн

Груп», и, в силу большого объема материала, не могут быть

сколько-нибудь подробно освещены в рамках журнальной

публикации.

В целом можно отметить, что эффективное проектиро-

вание современных цифровых систем на базе ПЛИС явля-

ется достаточно ответственной задачей, где результаты

существенно зависят от квалификации разработчика и

степени освоения им элементной базы и средств проек-

тирования. С другой стороны, такое положение дел может

являться основой для получения конкурентного преиму-

щества теми коллективами, которые соответствующими

навыками обладают.

СОБЫТИЯ РЫНКА

| РОССИЙСКОЙ МИКРОЭЛЕКТРОНИКЕ НЕ ХВАТАЕТ ПОТРЕБИТЕЛЯ | Российская электронная промышленность сегодня —

это 2,5 тыс. предприятий, на которых работает 360 тыс. сотрудников. Примерно столько же, сколько в Ю. Корее, Германии,

Израиле или на Тайване. Однако эффективность российских предприятий во много раз ниже.

При этом, как ни парадоксально, большая часть российской электронной промышленности находится в частных

руках. 500 компаний полностью или частично контролируются государством, около 30 предприятий созданы и контро-

лируются зарубежными компаниями, более 2 тыс. предприятий являются частными.

Правда, частные российские «электронные» компании в большинстве случаев являются малыми предприятиями: в

среднем, по 30 сотрудников (у госпредприятий — по 700 работников). При этом годовая выработка на сотрудника в

частных предприятиях в несколько раз больше, чем на предприятиях с государственным участием. С другой стороны,

контролируемые государством компании часто заняты выпуском стратегически важной (военной) продукции.

По данным ведущего эксперта УК «Финам Менеджмент» Дмитрия Баранова, доля российских предприятий микро-

электроники составляет всего 2—3% от общего числа промышленных предприятий страны. На мировом рынке доля

России не превышает 1%. Иностранные компании занимают около 90% рынка электронных компонентов.

Государство в последние несколько лет повернулось лицом к отрасли и надеется изменить ситуацию. Так, была раз-

работана ФЦП «Развитие электронной компонентной базы и радиоэлектроники» на 2008—2015 гг. Объем инвестиций

в электронную промышленность должен составить в указанный период 187 млрд руб. При этом из федерального бюд-

жета планируется выделить 110 млрд руб., остальные средства привлекут из внебюджетных источников.

К 2025 г. российская радиоэлектроника должна занимать более 50% российского рынка электронной аппарату-

ры. Перемены произойдут и в структуре отрасли. Минпромторг прорабатывает вопрос объединения «Ангстрема» и

«Микрона». По словам замминистра Юрия Борисова, это объединение — процесс непростой, т.к. за двумя проектами

стоят частные компании, однако государство в данном случае является серьезным игроком, который может способ-

ствовать объединению.

Создать массовый спрос на чипы в России трудно, поэтому появилась идея создать вертикально интегрированную

компанию, которая будет производить конечные устройства. Для этого требуется наладить не только производство

чипов, но и сборку оборудования, производство ПО, установку и техподдержку этих решений у заказчиков.

К планирующемуся альянсу «Ангстрем» + «Микрон» + Kraftway предполагается присоединить ряд компаний, зани-

мающихся производством комплектующих, сборкой ПК и разработкой софта. В первое время объединенная компания

будет ориентирована на производство продукции для государственных нужд: оборонного комплекса, МВД, МЧС и др.

Таким образом, заказ российским производителям ПК будет гарантирован. На втором этапе развития будет налажен

выпуск продукции, ориентированной на массовый рынок.

По мнению Дмитрия Баранова, для развития российского рынка микроэлектроники следует развивать и рынки

сбыта, т.е. в стране должно быть налажено массовое производство конечной продукции. Чтобы выжить в условиях кон-

куренции с иностранными производителями, наши производители микроэлектроники могут занять уникальные ниши,

не охваченные иностранными поставщиками.

Дмитрий Баранов считает, что у нашего рынка хорошие перспективы: он растет на 18—23% в год, по сравнению с

10—12% зарубежных рынков, что делает его привлекательным для прихода иностранных компаний.

www.russianelectronics.ru

Page 45: Электронные компоненты №1_2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

43

Электронные компоненты №1 2010

В статье рассмотрены особенности широкополосной технологии пере-дачи данных по электросети. Для достижения высокой пропускной спо-собности (до 200 Мбит/с) и приемлемой достоверности данных в этой технологии применяются многие из тех решений, которые используются в других проводных и беспроводных технологиях, в т.ч. метод модуляции с мультиплексированием и ортогональным частотным разделением, совре-менные высокоэффективные алгоритмы помехоустойчивого кодирования данных, что, несмотря на множество существующих проблем, позволяет, в конечном счете, обеспечить надежный прием/передачу данных.

ШИРОКОПОЛОСНАЯ PLC-ТЕХНОЛОГИЯ:

ПРОБЛЕМЫ И РЕШЕНИЯВИКТОР ОХРИМЕНКО, нач. отд., Государственный НИЦ прикладной информатики

ВВЕДЕНИЕ

По многим хорошо известным причи-

нам параметры линий электропередачи

(затухание сигнала, частотные и фазовые

искажения и др.) изменяются во времени

в зависимости от текущего уровня энер-

гопотребления, числа подключенного

оборудования и т.д., в то время как для

традиционных физических сред переда-

чи данных эти параметры сохраняются

более или менее стабильными. Поэтому

при использовании электросети для

высокоскоростной передачи данных тре-

буются различные методы компенсации

ошибок, возникающих в канале переда-

чи, помехоустойчивые методы обработ-

ки сигналов и кодирования данных.

При передаче высокочастотного

сигнала по электрическим сетям прихо-

дится сталкиваться со многими пробле-

мами, основными из которых являются:

– искажение сигнала вследствие

многолучевого распространения;

– затухание сигнала;

– большие помехи и межсимволь-

ная интерференция.

Все эти проблемы накладыва-

ют существенные ограничения при

практическом использовании техно-

логии передачи данных по электро-

сети [1—6].

ИСКАЖЕНИЯ

Структура электросетей и, в частно-

сти, домашней электропроводки изна-

чально не предназначалась для высо-

коскоростной передачи данных. В ней

содержится множество электрических

розеток, переключателей, раздели-

тельных трансформаторов и устройств

защиты от перегрузки по току (предохра-

нителей). Путь прохождения сигнала от

передающего устройства к приемному

зависит от многих факторов. В первую

очередь, от топологии электросети (т.е.

пути прокладки проводов в конкретной

квартире или офисе). Во-первых, из-за

разветвленности сети всегда существует

несколько путей распространения сигна-

ла от источника к приемнику. Во-вторых,

из-за наличия многочисленных неодно-

Рис. 1. Пример схемы распространения сигналов между розетками

Рис. 2. Векторные диаграммы сигналов частотой 4,49, 12,50 и 20,70 МГц

родностей в электрической сети в точку

приема поступает не только прямой

сигнал, но и многочисленные задержан-

ные во времени отраженные сигналы

(явление многолучевого отражения).

Поскольку неоднородности в линии

имеют разные коэффициенты отражения,

задержанные сигналы в точке приема

имеют разную амплитуду, а т.к. спектр

передаваемого сигнала достаточно

широк, фазы принимаемых отраженных

сигналов также сильно различаются. На

рисунке 1 приведен пример схемы рас-

пространения сигналов от розетки А к

розетке В и от С — к В. Кроме прямого

сигнала (красная линия), в точке приема

(в данном случае — розетке В) имеется

отраженный задержанный сигнал, посту-

пивший от розетки С (красная пунктир-

ная линия). Результирующий сигнал, при-

нимаемый в точке В, является сложным

составным сигналом.

На рисунке 2 приведены амплитуд-

но-фазовые характеристики резуль-

тирующих сигналов в точке приема

В. Векторные диаграммы приведены

для сигналов частотой (f) 4,49; 12,50 и

20,70 МГц. Эти частоты выбраны из рабо-

чего спектра, предусмотренного специ-

фикациями HomePlug 1.0. Для примера

расчета расстояние между В и С принято

равным 3 м. Скорость (V) распростране-

ния сигнала составляет около 2,4.108 м/с.

Предполагается, что коэффициент отра-

жения равен 0,5. В общем случае коэф-

фициент отражения зависит от параме-

тров нагрузки, подключенной к розетке

С. Длина волны для сигнала частотой

4,49 МГц равна 53,45 м (V/f). При рас-

стоянии между розетками 3 м фазовая

ошибка равна примерно 13°, а амплиту-

да результирующего сигнала увеличи-

вается на 3 дБ. Для результирующих сиг-

налов частотой 12,5 и 20,7  МГц фазовая

Page 46: Электронные компоненты №1_2010

44

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCOMPJOURNAL.RU

Рис. 3. Графики зависимости амплитуды результирующего сигнала в точке В от частоты при расстоянии между розетками 3 (а) и 12 м (б)

Рис. 4. Пример схемы электрической проводки

а) б)

ошибка равна, соответственно, 30 и 186°,

а длина волны, соответственно — 19,2 и

11,6 м, что приводит уже к существенно-

му искажению результирующего сигнала

в точке В на этих частотах. Графики зави-

симости амплитуды результирующего

сигнала в точке В от расстояния между

розетками В и С приведены на рисунке

3. По сути, спектральные характеристи-

ки принимаемого в каждой розетке сиг-

нала кроме изначальных существенных

различий между собой изменяются еще

и с течением времени в зависимости от

типа подключаемых нагрузок.

ЗАТУХАНИЕ

При распространении сигнала по

линии электросети вследствие затуха-

ния происходит снижение его уровня.

Еще одной причиной, вызывающей суще-

ственное уменьшение сигнала, является

наличие в структуре реальной электро-

сети коммутационных элементов. Как

правило, электрическая цепь содержит

разного рода рубильники, выключатели

и низкочастотные (50 Гц) трансформа-

торы, которые являются основным пре-

пятствием для прохождения ВЧ-сигнала.

На рисунке 4 приведен пример схемы

электрической проводки в обычном

доме. Такая схема электропроводки

характерна для использования в домах

Северной Америки. В широкополос-

ной технологии передачи данных по

электросети используется ВЧ-сигнал

в диапазоне 4…21 МГц (HomePlug 1.0)

или 2…30  МГц (HomePlug AV), поэтому

из-за того, что переключатель разрыва-

ет цепь прохождения сигнала, его рас-

пространение через разного рода пере-

ключатели, а также электрические щиты

и НЧ-трансформаторы осуществляется

благодаря сильной емкостной связи (см.

рис. 4). Однако при этом ослабление

сигнала на частотах ниже 1 МГц может

достигать более 20 дБ.

ПОМЕХИ

Источниками помех в обычных квар-

тирах и помещениях офисов могут быть

стандартные устройства для зарядки

аккумуляторов мобильных телефонов,

регуляторы яркости свечения гало-

генных ламп, а также другие бытовые

приборы. В результате работы при-

боров уровень помех в электросети в

полосе частот 4…21 МГц увеличивает-

ся примерно на 25 дБ по сравнению с

уровнем теплового шума проводов  [1].

Компрессоры систем отопления, венти-

ляции и кондиционирования воздуха,

устройства потребительской электро-

ники и т.д. генерируют помехи в частот-

ном диапазоне ниже 500 кГц. Уровень

этих помех существенно выше. Кроме

того, широко распространенное низко-

скоростное оборудование домашней

автоматизации, к примеру, разного рода

бытовые приборы на базе уже устарев-

шей технологии X10, также увеличивает

уровень помех на частотах до 500 кГц. В

технологии Х10 для кодирования данных

используются радиоимпульсы с частотой

несущей 120 кГц и длительностью 1 мс

(www.x10pro.com; www.smarthomeusa.

com/info/x10theory). Следует также учи-

тывать то, что многие источники гене-

рируют помехи, синхронизированные с

напряжением электросети. Эти помехи

небольшой длительности возникают

в моменты, когда амплитуда синусои-

дального напряжения частотой 50/60 Гц

достигает максимумов.

OFDM

В основе широкополосной техноло-

гии передачи данных с использованием

в качестве физической среды проводов

электросети лежит метод передачи, при

котором высокоскоростной поток дан-

ных разделяется на несколько относи-

тельно низкоскоростных потоков, каж-

дый из которых передается на отдельной

поднесущей. Этот метод модуляции

получил название OFDM (Orthogonal

Frequency Division Multiplexing — муль-

типлексирование с ортогональным

частотным разделением).

Пропускная способность системы

передачи цифровой информации тем

выше, чем больше мощность модули-

рованного сигнала и шире частотный

спектр. На практике, чтобы обеспечить

электромагнитную совместимость обо-

рудования, мощность сигнала ограни-

чивается соответствующими норма-

тивными документами. Например, в

США действует стандарт FCC (Federal

Communication Commission  — Фе де-

раль ной комиссии США по средствам

связи), в странах Европы — CENELEC

(Commission Européenne de Normalisation

Électrique  — Европей ско го комитета

по электротехническим стандартам).

Поэтому, чтобы достичь оптимальной

пропускной способности при заданной

ширине спектра, необходимо использо-

вать эффективные способы модуляции.

Если увеличение объема передаваемой

информации достигается за счет роста

скорости модуляции одной несущей

(другими словами, за счет уменьшения

длительности символов), это приводит к

расширению спектра. Однако при малой

длительности символов увеличивается

искажение сигнала, вызванное меж-

символьной интерференцией, посколь-

ку длительность символов становится

соизмеримой и даже может оказаться

меньше времени задержки распростра-

нения.

При использовании OFDM-модуляции

увеличение пропускной способности

происходит не за счет сокращения дли-

тельности передаваемых символов, а

благодаря существенному увеличению

числа информационных каналов (подне-

сущих). В случае использования OFDM-

модуляции длительность передаваемых

на поднесущей символов увеличивается,

но при этом для их передачи не требует-

ся широкая полоса частот. Соотношения

между спектрами широкополосного и

Page 47: Электронные компоненты №1_2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

45

Электронные компоненты №1 2010

OFDM-сигнала, а также длительностью

передаваемых символов приведены на

рисунке 5.

В рабочем диапазоне частот, в зави-

симости от используемой технологии,

формируются 84 (HomePlug 1.0), 1155

(HomePlug AV) или 1536 (UPA) под-

несущих. При этом в соответствии с

определенной технологией и ско-

ростью передачи символов на под-

несущей применяются разные виды

модуляции. Например, в стандарте

HomePlug 1.0 (максимальная скорость

14 Мбит/с) — это BPSK (Binary Phase

Shift Keying), DBPSK (Diff erential Binary

Phase Shift Keying) и DQPSK (Diff erential

Quadrature Phase Shift Keying). В стан-

дарте HomePlug AV (200 Мбит/с) —

BPSK и разновидности QAM (Quadrature

Amplitude Modulation). Для модуля-

ции поднесущей в спецификациях

UPA (Universal Powerline Association)

рекомендуется использовать модуля-

цию типа 1024/512/256/128/64/32/16/8

ADPSK (Amplitude Diff erential Phase Shift

Keying) [4]. Максимальная скорость

передачи при использовании стандар-

та UPA равна 200 Мбит/с.

OFDM — широкополосная тех-

нология передачи данных, отли-

чающаяся высокой частотной изби-

рательной способностью (другими

словами, спектральной эффективно-

стью). Преимущества метода OFDM-

модуляции заключается в следующем.

Во-первых, он позволяет повысить

помехоустойчивость передачи. Как

уже было показано, сигнал в каждой

точке приема (по сути, розетке), отли-

чается индивидуальными спектраль-

ными характеристиками. Поэтому

выбор поднесущих частот зависит от

параметров канала (т.е. АЧХ и помех

в рабочей полосе частот). При узко-

полосных помехах в канале искажа-

ется только часть поднесущих, а не

весь сигнал. Периодически переда-

вая через канал сигнал с известными

характеристиками, можно достаточ-

но просто и с малой погрешностью

вычислить амплитудные и фазовые

ошибки и затем скорректировать сиг-

нал на приемной стороне. В результате

мониторинга параметров канала связи

можно скорректировать значения

поднесущих частот и, в случае необхо-

димости, отключить некоторые из них.

Результат адаптации к параметрам

канала проиллюстрирован на рисун-

ке  6. Отключение некоторых из под-

несущих может привести к снижению

скорости передачи данных, однако

информация при этом не будет утеря-

Рис. 5. Соотношения между шириной спектра и длительностью символов при широкополосном сигнале с одной несущей (а) и OFDM-сигнале (б)

а)

б)

Рис. 6. Набор поднесущих до адаптации (а) и после адаптации (б)

а)

б)

Page 48: Электронные компоненты №1_2010

46

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCOMPJOURNAL.RU

Рис. 9. Структурная схема передатчика

на. Другими словами, использование

метода OFDM-модуляции позволяет

адаптироваться к параметрам физиче-

ской среды передачи.

Во-вторых, возможность селекции

поднесущих частот позволяет выбирать

только те частоты из рабочего диапазо-

на, которые разрешены к использова-

нию в соответствии с нормативными

документами, принятыми в той или

иной стране.

Меры, принимаемые при формиро-

вании OFDM-сигнала, позволяют избе-

жать как искажений, вызванных меж-

символьной интерференцией (ISI), так и

искажений, обусловленных взаимным

влиянием поднесущих (Inter Carrier

Interference — ICI).

Чтобы устранить влияние межсим-

вольной интерференции, длитель-

ность OFDM-символа увеличивается на

время т.н. защитного интервала (Guard

Interval  — GI). Его длительность выби-

рается больше, чем значение наиболь-

шей ожидаемой задержки отраженных

сигналов. Таким образом, OFDM-символ

содержит собственно информацион-

ный компонент (FFT-интервал длитель-

ностью 5,12 мкс) и вспомогательный

защитный интервал длительностью

3,44 мкс. На рисунке 7 показан пример

взаимного расположения отраженного

и принятого прямого OFDM-символов.

В случае если длительность защитного

интервала выбрана достаточно боль-

шой, отсутствуют наложения прямого

и отраженных символов в области FFT-

интервала. При этом информационная

область символа не искажается сосед-

ними символами, что позволяет в даль-

нейшем достаточно точно с помощью

прямого FFT-преобразования восста-

новить сигнал на поднесущих.

Чтобы избежать взаимовлияния

поднесущих, величина разноса частот

(Δf) между ними выбрана равной

1/FFTИНТЕРВАЛ, что приводит к тому, что

нулевые значения функций sinx/x, кото-

рыми представлены соседние подне-

сущие в частотной области, совпадают

с максимальным значением каждой из

выбранных поднесущих, что и показа-

но на рисунке 8. Строгое соблюдение

условия 1/Δf = FFTИНТЕРВАЛ, с одной сто-

роны, позволяет минимизировать вза-

имное влияние соседних поднесущих,

а, с другой, эффективнее использовать

выделенную полосу частот. В техноло-

гии HomePlug 1.0 при 84-х поднесущих

разнос частот составляет 195,3125 кГц,

в HomePlug AV при числе поднесущих

1155 — 24,4 кГц.

ПЕРЕДАТЧИК

Метод OFDM-модуляции имеет мно-

жество преимуществ, что позволяет

эффективно использовать его в широко-

полосных технологиях передачи данных

по электросети. Однако из-за пропада-

ния и искажения сигнала в линии вслед-

ствие многолучевых отражений, боль-

шого уровня помех, а также коротких по

длительности, но мощных импульсных

помех в электросети, являющихся при-

чиной ошибок в пакетах данных, одной

только OFDM-модуляции недостаточно

для надежной передачи информации.

Чтобы обеспечить приемлемую досто-

верность данных, необходимо принять

и другие меры.

Структурная схема передатчика в

технологии HomePlug 1.0 приведена на

рисунке 9 [1]. Перед процессом OFDM-

модуляции поток цифровых данных

подвергается ряду последовательных

преобразований:

– скремблированию;

– помехоустойчивому кодирова-

нию;

– уплотнению (puncturing);

– перемежению (interleaving).

СКРЕМБЛИРОВАНИЕ

В результате скремблирования в

потоке данных устраняются длинные

последовательности, содержащие

только 0 или 1. При обработке дан-

ных в некоторых устройствах вслед-

ствие особенностей обрабатываемой

информации на их выходе (к примеру,

в факсимильном аппарате) могут фор-

мироваться очень длинные последо-

вательности из 0 и 1. Следствием этого

могут быть изменения спектральных

характеристик передаваемого сигнала,

что, в свою очередь, может привести к

нарушению существующих соглашений

и невыполнению требований норма-

тивных ограничительных документов

по использованию выделенного частот-

ного диапазона. Скремблирование

осуществляется достаточно просто с

использованием сдвигового регистра и

логических элементов XOR (исключаю-

щее ИЛИ). Пример возможной струк-

туры скремблера приведен на рисун-

ке 10.

КОДИРОВАНИЕ

Использование прямых корректиру-

ющих кодов (Forward Error Cor rec tion —

FEC) позволяет увеличить достоверность

данных и во многих случаях избежать их

повторной передачи в процессе обме-

на данными. Для исправления ошибок

на приемной стороне в передаваемый

поток данных вводится дополнительная

контрольная информация. Применение

корректирующих кодов вносит избы-

точность в передаваемое сообщение,

что, в конечном счете, снижает скорость

передачи информации.

В стандарте HomePlug 1.0 предусмо-

трено использование двух классов

корректирующих кодов — это коды

Рис. 8. Представление поднесущих в частотной области

Рис. 7. Взаимное расположение отраженного (а) и прямого (б) OFDM-сигналов

Рис. 10. Структура скремблера

Page 49: Электронные компоненты №1_2010
Page 50: Электронные компоненты №1_2010

48

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCOMPJOURNAL.RU

Рида-Соломона и сверточные коды.

При формировании потока данных на

передающей стороне вначале осущест-

вляется кодирование с применением

кодов Рида-Соломона, а затем исполь-

зуется сверточное кодирование. Коды

Рида-Соломона относятся к классу

блочных кодов. В результате кодиро-

вания к последовательности из n бай-

тов добавляется k–n дополнительных

контрольных байтов. В результате

этого последовательность из n байтов

преобразуется в последовательность

k байтов. Использование кодов Рида-

Соломона позволяет восстанавливать

до (k-n)/2 «искаженных» байтов. К при-

меру, код Рида-Соломона (типа 255,239)

может восстанавливать до восьми

ошибочных байтов. Как правило, при-

менение блочных кодов позволяет

устранить достаточно редкие ошибки

в пакетах (блоках) данных. При много-

численных битовых ошибках эти коды

менее эффективны.

При сверточном кодировании плохо

исправляются ошибки, вызванные иска-

жениями пакетов данных, однако этот

тип кодирования более эффективен

при устранении одиночных битовых

ошибок. При сверточном кодировании

информационная последовательность,

в отличие от кодирования по Риду-

Соломону, не разбивается на блоки

(байты). Пример структурной схемы

формирования сверточного кода при-

веден на рисунке 11. Цифровые данные

с соответствующих выходов сдвигово-

го регистра поступают на сумматоры

по модулю 2, на выходах которых обра-

зуются два потока уже преобразован-

ных данных (X и Y). При использовании

приведенного алгоритма кодирования

скорость потока данных снижается в

два раза.

В стандарте HomePlug AV, регламен-

тирующем пиковую пропускную спо-

собность 200 Мбит/с, для избыточного

кодирования применяются сверточные

турбокоды (Turbo Convolutional Codes).

Этот класс кодов в настоящее время

широко применяется в технике связи,

а патент на него выдан французской

компании France Telecom. В специфика-

циях HD-PLC (High-Defi nition Powerline

Communications), продвигаемых Аль-

янсом с одноименным названием, в

алгоритмах прямой коррекции ошибок

используются коды LDPC (Low-Density

Parity-Check Codes — коды с малой

плотностью проверок на четность).

Применение корректирующих

кодов при передаче данных по каналам

с пропаданием сигнала еще не может

гарантировать высокую достоверность

приема. Основная причина заключа-

ется в резком снижении эффективно-

сти корректирующих кодов при воз-

никновении в канале пакетов ошибок,

вызванных большими импульсными

помехами, и, как следствие, частичным

пропаданием сигнала. Для борьбы с

ошибками такого вида используется

другой подход — перемежение дан-

ных.

УПЛОТНЕНИЕ

В теории кодирования под терми-

ном puncturing (уплотнение) подразу-

мевается процесс удаления заранее

известным способом некоторых из кон-

трольных битов, полученных в резуль-

тате сверточного кодирования. Это

делается для того, чтобы уменьшить

избыточность передаваемого сообще-

ния и тем самым увеличить скорость

передачи данных. Вместе с тем, в этом

случае при декодировании можно

использовать тот же декодер, что и без

уплотнения. Это позволяет увеличить

гибкость системы, не повышая ее слож-

ность. Оказывается, зачастую намного

проще восстановить отсутствующие

биты, зная порядок их расположения в

потоке данных, чем идентифицировать

и исправить случайную ошибку, место-

расположение которой в потоке неиз-

вестно. В результате использование

кода с уплотнением 3/4 может оказать-

ся более эффективным, в сравнении

со стандартным кодом без уплотнения.

В таблице 1 приведены соотношения

между пропускной способностью,

видом модуляции и способом кодиро-

вания (HomePlug 1.0) [3].

ПЕРЕМЕЖЕНИЕ

Согласно структурной схеме пере-

датчика, перед OFDM-модулятором

располагается блок перемежения

(interleaver). Назначение этого блока —

распределение закодированного пото-

ка двоичных данных между поднесущи-

ми с учетом их числа, вида модуляции и

длины пакета для обеспечения высокой

достоверности принятой информации.

Как правило, наличие мощных помех

небольшой длительности приводит к

большим искажениям или пропаданию

части сигнала. При этом при правиль-

но сформированных пакетах (блоках)

искажается или «теряется» только часть

данных. К таким же последствиям при-

водит появление узкополосных помех,

блокирующих только некоторые из

поднесущих. Использование алгорит-

мов помехоустойчивого кодирования

позволяет восстановить «утерянные»

данные на приемной стороне без их

повторной передачи.

Блок перемежения — это устройство,

в котором осуществляется перемеже-

ние (interleaving) или, иными словами,

перестановка символов (бит), которая

эффективно используется для увели-

чения достоверности принимаемой

информации. В передатчике данные из

потока распределяются по известному

закону между пакетами, а затем с учетом

этого же закона восстанавливаются на

приемной стороне. Перестановка позво-

ляет разнести биты, стоящие рядом в

потоке данных, таким образом, чтобы

они оказались разделенными группой

других данных, передаваемых в том

же блоке. Такая процедура не вносит

избыточности, а лишь изменяет поря-

док следования символов или битов. В

этом случае возникающие импульсные

помехи искажают не пакет целиком, а

только часть данных в пакете. Однако

чем больше глубина перемежения (т.е.

максимальное расстояние, на которое

разносятся соседние биты), тем больше

результирующая задержка выходных

данных. Этот метод борьбы с помехами

широко используется в технике связи, в

т.ч. и в цифровом телевидении.

В стандарте HomePlug 1.0 предусмо-

трены два различных алгоритма пере-

межения [1]. Первый — стандартный,

второй используется только в режиме

ROBO (ROBust OFDM — специальный

режим перемежения, предназначенный

для высоконадежной передачи данных

при большом уровне помех в линии

Таблица 1. Соотношения между пропускной способностью, видом модуляции и способом кодирования (HomePlug 1.0)

ОбозначениеВид

модуляцииСпособ кодирования

Пропускная спо-собность, Мбит/с

DQPSK 3/4DQPSK

Код Рида-Соломона + сверточный код 3/4 13,78

DQPSK 1/2 Код Рида-Соломона + сверточный код 1/2 9,19

DBPSK 1/2

DBPSK

Код Рида-Соломона + сверточный код 4,59

ROBOКод Рида-Соломона + сверточный код 1/2 + повторе-ние каждого бита 4 раза

1,02

Рис. 11. Структурная схема кодера

Page 51: Электронные компоненты №1_2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

49

Электронные компоненты №1 2010

электросети). В стандарте HomePlug

1.0 определено, что блок передачи на

физическом уровне (PHY) включает

такое число бит, которое можно пере-

дать с использованием точно заданного

количества OFDM-символов. PHY-блок

содержит 20 или 40 символов. При фор-

мировании пакета данных в зависимо-

сти от объема передаваемых данных

можно использовать разные размеры

PHY-блоков. К примеру, пакет данных,

для передачи которого необходимо

130 OFDM-символов, может содержать

три PHY-блока по 40 символов и один

20-символьный блок. В пакетах ROBO

используются только 40-символьные

PHY-блоки. Объем блока PHY равен про-

изведению числа OFDM-символов (20

или 40), числа битов, передаваемых на

поднесущей (1 бит при модуляции типа

BPSK/DBSK или 2 — DQPSK), и количе-

ства используемых поднесущих. Хотя

в стандарте HomePlug 1.0 допускается

одновременное использование 84-х

поднесущих, как правило, некоторые

из них можно отключить из-за помех,

вероятности больших искажений сигна-

ла или в соответствии с нормативными

документами по использованию частот-

ного диапазона.

Объем блока = число символов ×

× число битов на поднесущей ×

× число несущих.

Для примера, при 40 символах и 84

поднесущих объем блока PHY состав-

ляет 3360 бит (40×84). В результате не

очень сложной процедуры перемеже-

ния каждая из поднесущих последо-

вательно используется для передачи

вполне определенного набора битов

из передаваемой последовательности

в 3360 битов.

OFDM-МОДУЛЯЦИЯ

На завершающей стадии преобра-

зования данных в OFDM-модуляторе

выполняются следующие операции:

– модуляция поднесущих;

– синтез OFDM-символов;

– расширение OFDM-символов;

– сглаживание OFDM-символов.

После модуляции поднесущих сиг-

нал в частотной области представля-

ется как набор независимых модули-

рованных по фазе несущих с разносом

по частоте 195,3125 кГц (HomePlug 1.0).

Синтез OFDM-сигнала выполняется с

использованием инверсного быстрого

преобразования Фурье (FFT). В резуль-

тате этого преобразования во времен-

ной области OFDM-символ представля-

ется набором синусоид длительностью

5,12 мкс. Результат FFT-преобразований

из частотной области во временную

и обратно приведен на рисунке 12. В

отличие от принятого в технологиях

HomePlug 1.0, HomePlug AV и др. спо-

соба формирования OFDM-сигнала с

помощью преобразования Фурье, в тех-

нологии HD-PLC применяются Wavelet-

преобразования. Как утверждают авто-

ры этой технологии, использование

метода Wavelet-преобразований мини-

мизирует уровень боковых лепестков

поднесущих и, как следствие, улучша-

ет параметры ортогональности как в

частотной, так и во временной обла-

стях. По сравнению с методом FFT,

OFDM-модуляция типа Wavelet OFDM

отличается лучшими параметрами

фильтрации и обеспечивает более глу-

бокую режекцию сигналов помех [6].

Основная цель расширения OFDM-

символа — увеличение его длительно-

сти на величину защитного интервала.

В стандарте HomePlug 1.0 длительность

информационного интервала состав-

ляет 5,12 мкс (FFT-интервал). После рас-

ширения длительность символа равна

8,56 мкс. Благодаря этому устраняются

искажения, вызванные межсимвольной

интерференцией.

Заключительный этап формиро-

вания OFDM-символа — его сглажи-

вание. В противном случае быстрые

изменения сигнала в границах символа

приводят к расширению спектра, что

крайне нежелательно. При сглажива-

нии формируются плавные переходы

между символами, что минимизирует

ширину спектра передаваемого сигна-

ла. В таблице 2 приведены основные

параметры интерфейса физического

уровня (HomePlug 1.0) [1].

ЗАКЛЮЧЕНИЕ

В настоящее время наибольшее

распространение получили три тех-

нологии широкополосной передачи

данных по электросети: HomePlug AV

(HomePlug 1.0), HD-PLC и UPA. Во всех

этих технологиях реализован метод

Таблица 2. Основные параметры интерфейса физического уровня стандарта HomePlug 1.0

Число поднесущих 84

Разнос поднесущих частот (Δf), кГц 195,3125

Период OFDM-символов, мкс 8,4

FFT-интервал, мкс 5,12

Вид модуляции

управление/контроль BPSK

передача данных DBPSK, DQPSK

режим ROBO DBPSK

Пропускная способность, Мбит/спиковая 14

минимальная 1Рис. 12. Формирование OFDM-символа

OFDM-модуляции. Однако подходы и

решения для реализации интерфейса

на физическом уровне при использо-

вании этих технологий существенно

отличаются. Главные отличия заключа-

ются в использовании разных методов

синтеза OFDM-сигнала и применении

несовместимых между собой алгорит-

мов помехоустойчивого кодирования.

Применение в этих технологиях высо-

коэффективных способов модуляции

и алгоритмов кодирования данных

позволило достичь, по сравнению с

другими проводными и беспроводны-

ми технологиями, большой скорости

передачи (до 200 Мбит/с) и высокой

помехоустойчивости, что, в конечном

счете, и определяет постоянно расту-

щий интерес к этим методам.

Технология широкополосной пере-

дачи данных по электросети, другими

словами, технология BPL (Broadband

PowerLine) или PLC (Powerline Com mu-

ni ca tion), — это сравнительно новая

телекоммуникационная технология,

вобравшая передовые достижения в

области цифровой обработки сигналов

и помехоустойчивого кодирования, что

позволило достичь нового качествен-

ного уровня передачи данных.

Благодаря такому уровню, широко-

полосная PLC-технология в настоящее

время уже достаточно широко приме-

няется в разнообразных приложениях

и во многих из них на равных конку-

рирует с другими высокоскоростными

проводными и беспроводными техно-

логиями передачи данных.

Более полную информацию о харак-

теристиках, особенностях и преимуще-

ствах PLC-технологии см. в [1—6].

ЛИТЕРАТУРА

1. HomePlug 1.0 PHY for Smart Grid and

Electric Vehicle Applications. — Intellon, 2008

(www.intellon.com).

2. HomePlug Standard Brings Networking

to the Home. — CommsDesign. 2009 (www.

commsdesign.com/main/2000/12/0012feat5.

htm#reference).

3. HomePlug 1.0 Technology White

Pa per. — Intellon, 2008 (www.intellon.com).

4. First Draft of the OPERA Specifi cation

Version 2. — OPERA, June 2007 (www.ist-opera.

org).

5. http://en.wikipedia.org.

6. www.hd-plc.org.

Page 52: Электронные компоненты №1_2010

50

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCP.RU

Статья посвящена проблеме синхронизации часов в сети.

ПОВЫШЕНИЕ ТОЧНОСТИ

СИНХРОНИЗАЦИИ С ПОМОЩЬЮ

ПРОЗРАЧНЫХ ЧАСОВ

СЕРГЕЙ ПОНОМАРЕВ, научн. консультант, «ИД Электроника»

Проблема синхронизации двух

устройств не так проста, как может

показаться на первый взгляд. При

более тщательном рассмотрении ста-

новится ясно, что необходимо не толь-

ко точно фиксировать моменты вре-

мени, но и учитывать его промежутки,

потраченные на процедуру считыва-

ния и записи показаний, на пересылку

и коррекцию данных.

Кроме того, следует понять, какова

должна быть точность синхронизации

(порядка секунд, микросекунд или еще

точнее).

Стандарт IEEE-1588 определяет про-

цедуру передачи текущего време-

ни с помощью т.н. прозрачных часов

(Transparent Clocks). Однако прежде чем

перейти к рассмотрению этого реше-

ния, вспомним суть проблемы.

СМЕЩЕНИЕ И ЗАДЕРЖКИ

Разность между показаниями двух

часов называется смещением. Для обе-

спечения требуемой точности синхро-

низации смещение не должно превы-

шать установленный порог.

В первом приближении процесс

синхронизации происходит следую-

щим образом: главные часы посыла-

ют подчиненным текущие показания.

Схематично эта процедура изображена

на рисунке 1. Подчиненные часы уста-

навливают полученное значение с уче-

Рис. 1. Задержка распространения сигнала между двумя устройствами

том поправки на задержку распростра-

нения сигнала и времени установления

нового значения.

СИММЕТРИЧНЫЕ И

АСИММЕТРИЧНЫЕ ЗАДЕРЖКИ

Главная причина неточности син-

хронизации двух часов — задержка на

передачу сигнала времени и ошибки

ее компенсации. В сетях с пакетной

передачей (например, Ethernet) между

главными и подчиненными часами

периодически происходит обмен паке-

тами, содержащими текущие показа-

ния. Это позволяет вычислить смеще-

ние в обоих направлениях. Если они

равны (т.н. симметричная задержка), то

они взаимно уничтожаются при вычис-

лении смещения.

К сожалению, в большинстве систем

времена задержки распространения

сигнала от главных часов к подчинен-

ным, и наоборот, не равны, особенно

если в сети имеются маршрутизато-

ры или коммутаторы. Более того, эти

задержки сложно детерминировать и,

следовательно, скорректировать.

ОЧЕРЕДИ

Коммутаторы и маршрутизаторы

увеличивают задержку передачи паке-

та, поскольку они направляют пакет

далее по линии не сразу после его полу-

чения. Необходимо некоторое время

для того, чтобы выбрать подходящий

порт.

Получив пакет, коммутатор поме-

щает его в буфер соответствующего

порта, где пакет ожидает своей очере-

ди на передачу (см. рис. 2). Если сеть не

перегружена, то время буферизации

очень мало. Поскольку длина очереди

постоянно меняется, то непостоянна и

задержка передачи пакета синхрониза-

ции (Packet Delay Variation — PDV).

Именно из-за этой переменной

составляющей возникает проблема

точной синхронизации.

РЕШЕНИЕ ПРОБЛЕМЫ

Поскольку часы физически удалены

друг от друга на некоторое расстояние,

то сигнал между ними всегда будет рас-

пространяться с задержкой, к которой

необходимо прибавить время PDV, о

котором мы говорили выше.

Очевидный способ коррекции этих

задержек — обмен большим количе-

ством пакетов, среди которых отбира-

ются наиболее удачные, пришедшие за

минимальное время.

Если критерий точности синхрони-

зации не слишком строг, то этот способ

пригоден. Однако для микросекундно-

го диапазона такой подход предпола-

гает существенное увеличение скоро-

сти передачи и фильтрации пакетов, а

также очень сложные алгоритмы рас-

чета смещения и регулировки подчи-

ненных часов.

Стандарт IEEE-1588 предлагает аль-

тернативные пути.

Во-первых, можно использо-

вать промежуточные коммутаторы

(Boundary clock — граничные часы)

для передачи сигнала текущего вре-

мени. Второй способ заключается в

том, что в коммутаторе для каждого

пакета измеряется его индивидуаль-

ная задержка, которая затем учитыва-

ется при вычислении смещения. В этом

случае задержка, вносимая самими

коммутаторами, становится «прозрач-

ной» при расчете смещения, поэтому

устройства с такой функцией получи-

ли название transparent clock — «про-

зрачные часы».Рис. 2. Одновременная пересылка двух сообщений коммутатором

Page 53: Электронные компоненты №1_2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

51

Электронные компоненты №1 2010

Рис. 3. Двухступенчатый алгоритм синхронизации

ПАКЕТЫ С ВРЕМЕННОЙ ОТМЕТКОЙ

Стандарт IEEE-1588 редакции 2008 г. поддерживает очень

высокую скорость обмена пакетами. Однако и этого не доста-

точно для полноценного решения проблемы синхронизации

в субмикросекундном диапазоне.

В связи с этим было предложено обратиться к матрицам

FPGA, с помощью которых можно избавиться от задержек,

вносимых стеком ОС, и тем самым уменьшить общее время

PDV.

Стандартом определено два метода фиксации времени:

пакеты Sync и Delay_Request (сообщение о событии). Это

два типа пакетов, которые используются для измерения

задержки распространения между главными и подчинен-

ными часами. Пакеты Sync направляются от главных часов

к подчиненным, а Delay_Request — в обратном направле-

нии.

АЛГОРИТМЫ ОБМЕНА ПАКЕТАМИ

В настоящее время существует множество источников, в

которых подробно рассмотрены механизмы обмена пакета-

ми синхронизации. Мы рассмотрим лишь общий принцип.

Подчиненные часы могут рассчитать свое смещение по

четырем отметкам времени.

Поскольку вычисления производятся подчиненными

часами, то главные периодически посылают дополнительные

пакеты с данными, полученными в главных часах.

Стандарт IEEE-1588 редакции 2008 г. определяет два

метода обмена пакетами, содержащими текущие показания

часов. Оба метода основаны на фиксации точного момента,

когда пакет был послан часами в сеть, и реализуются на

FPGA.

Рассмотрим одноступенчатый алгоритм. Главные часы

записывают момент отправки в тело пакета, а подчиненные

часы фиксируют точный момент, когда они получили пакет.

Смещение вычисляется в подчиненных часах напрямую.

Второй, двухступенчатый, алгоритм предполагает нали-

чие некоего датчика, который фиксирует моменты отправки

и принятия пакета. В этом случае главные часы отсылают в

подчиненные данные о моменте передачи пакета.

Этот алгоритм больше похож на обмен пакетами Sync

и Delay_Request, чем предыдущий. Для наглядности рас-

смотрим рисунок 3. Когда сообщение о событии отсылается

центральным процессором в сеть, FPGA фиксирует точный

момент этого события и посылает данные в сеть.

Помимо двух пакетов от ЦП, подчиненные часы получают

два пакета с матрицы FPGA моментами: Follow_Up (момент

отправки синхронизационного пакета) и Delay_Response

(момент получения главными часами пакета Delay_Request).

Таким образом, для вычисления текущего времени имеются

четыре точки.

ПРОЗРАЧНОСТЬ КОММУТАТОРА

Любой коммутатор вносит задержку распространения

сигнала. Однако существует разница между обычными ком-

мутаторами и коммутаторами с функцией фиксации време-

ни. Прозрачным коммутаторам не требуется знать точное

время, они лишь измеряют промежуток времени, в течение

которого сообщение о событии находилось в коммутаторе.

Этот промежуток измеряется матрицей FPGA, фиксирующей

моменты получения сообщения и его отправки в порт.

Длительности задержки для пакетов Sync и Delay_Request

запоминаются в прозрачных часах. Когда приходят соответ-

ствующие пакеты Follow_Up и Delay_Response, прозрачные

часы изменяют информацию в них с учетом этих задержек.

Таким замысловатым образом информация о задержке в

очереди поступает в подчиненные часы. Эти задержки учи-

тываются в расчете и либо слабо, либо вовсе не влияют на

точность синхронизации.

ВЛИЯНИЕ ЗАДЕРЖЕК

Рассмотрим погрешность синхронизации, связанную с

задержкой пакета в очереди.

Для этого сначала синхронизуем часы без коммутатора

напрямую (с помощью только переходных кабелей).

Самый удобный способ сравнить время на подчиненных

часах со временем на главных осуществляется с помощью

выходного сигнала, содержащего 1 импульс в секунду. Для

измерения смещения между сигналами часов использует-

ся счетчик, осциллограф или встроенное в главные часы

устройство, измеряющее временные интервалы. Измерения

следует производить в течение длительного интервала,

Page 54: Электронные компоненты №1_2010

52

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCP.RU

Таблица 1. Точность хода подчиненных часов

Конфигурация

Без трафика С трафиком

Среднее, нс

Станд. откло-нение (р-р), нс

Среднее, нс

Станд. откло-нение (р-р), нс

Исполь зова-ние полосы

100 Base-T, %

Переходный кабель 60 7 (85) — — —

2 стандартных коммутатора 58 59 (3000) 24715 82190 (1.106) 4

2 прозрачных часов 76 10 (126) 76 9 (85) 97

чтобы повысить их точность. Результат

измерений обычно представляется в

виде среднего значения ошибки и ее

отклонения.

ОЧЕРЕДИ В ДВУХ КОММУТАТОРАХ

Поскольку очередь образуется

тогда, когда, по крайней мере, две

линии соединяются в одну, то два ком-

мутатора, соединенных одной линией,

также создадут очереди и задержки. В

этом случае коммутаторы должны быть

стандартными и класса Enterprise.

Изначально трафик формируется

только синхронизационными пакетами

между часами.

Затем активируются абоненты, и

точность подчиненных часов измеря-

ется еще раз (см. рис. 4). Таким образом

учитывается ряд факторов, влияющих

на точность хода часов, например

схемы очередности в коммутаторе,

природа потока данных (трафика), чув-

ствительность подчиненных часов к

PDV.

Далее стандартные коммутаторы

класса Enterprise заменяются прозрач-

ными часами, и измерения повторя-

ются (без информационного трафика

и в его присутствии). Результаты пяти

перечисленных измерений приведены

в таблице 1.

Видно, что при отсутствии инфор-

мационного трафика ухудшение точ-

ности хода подчиненных часов незна-

чительно зависит от типа используемых

устройств. Однако влияние информа-

ционного трафика велико.

С другой стороны, видно, что с

прозрачными часами и почти 100-%

загрузкой сети синхронизация практи-

чески не сбилась. Измерения различа-

ются менее чем на 100 нс и только на

15 нс отклоняются от основных изме-

рений напрямую. При использовании

стандартных коммутаторов точность,

на оборот, низка.

ЗАКЛЮЧЕНИЕ

Переходя на стандарт IEEE-1588,

следует четко определиться с уровнем

точности синхронизации, который тре-

буется для работы системы, и прове-

рить работу подчиненных часов без

использования устройств, соответству-

ющих этому стандарту.

Также следует учитывать, что в тех-

нической документации производи-

тель указывает лучшие результаты, т.е.

полученные, скорее всего, в отсутствие

трафика.

После установки подчиненных часов

стандарта IEEE-1588 следует убедиться,

что их реальные характеристики удо-

влетворяют требованиям сети.

ЛИТЕРАТУРА1. Paul Skoog. Using IEEE-1588 transparent

clocks to improve system time synchronization

accuracy//w w w.embedded.com/columns/

a rc h i ve / ?h o w M a ny =10 0 & s o r t= p u b l ish _

date+sort+desc&content_type=ti.

Рис. 4. Схема для измерения задержки, вносимой двумя коммутаторами

НОВОСТИ СИСТЕМ НАВИГАЦИИ

| FRONT-END-МОДУЛЬ GPS | GPS-модуль BGM781N11 компании Infi neon является, по данным производителя, самым миниа-

тюрным в мире. В модуле интегрированы все важные функции, требующиеся для усиления и фильтрации сигнала GPS. Размеры

модуля 2,5×2,5×0,7 мм. Модуль содержит GPS-LNA-чип и два ПАВ-фильтра (входной и выходной фильтры) с высокой устойчи-

востью к электростатическим разрядам в корпусе TSNP11-2.

В типичном применении BGM781N11 требуются всего два внешних пассивных компонента. Потребляемая мощность

BGM781N11 hat составляет 5,94 мВт; диапазон напряжения питания 1,5…3,6 В. Усиление приемника на входе — 18,6 дБ,

тогда как современные конкурирующие решения обеспечивают лишь 16,5 дБ.

www.russianelectronics.ru

СОБЫТИЯ РЫНКА

| EAGLE, HERON И MERLIN: ТРИ НОВЫХ ПРОЦЕССОРНЫХ ЯДРА ARM В 2010 г. | Отчитываясь о финансовых результатах ушед-

шего года, компания ARM сообщила, что в 2010 г. выпустит три процессорных ядра в дополнение к семейству Cortex. Как

заявил президент ARM Уоррен Ист (Warren East), лицензии на ядра с кодовыми названиями Eagle, Heron и Merlin будут доступ-

ны партнерам к концу этого и началу следующего года.

Eagle продолжит высокопроизводительную линейку ядер класса Cortex-A; Heron позиционируется как ядро процес-

соров Cortex-R для встраиваемых систем, а Merlin — как новое ядро группы Cortex-M. В случае с Eagle Ист отметил, что

новое ядро разрабатывается как замена популярному Cortex-A9, которое используется, в частности, в NVIDIA Tegra 2 и

четырехъядерном Marvell ARMADA.

Областью применения Eagle станут смартфоны, мобильные компьютеры, цифровое телевизионное и коммуникаци-

онное оборудование. Суммарный рынок в этой категории оценивается аналитиками почти в 3 млрд устройств в год.

Процессоры с ядрами Heron нацелены на рынок автомобилестроения и управляющих систем в производстве жестких

дисков (10 млрд устройств в год). Ядро Merlin будет использоваться в системах управления двигателями, промышленными

установками и в аудиопроцессорах (16 млрд в год).

www.russianelectronics.ru

Page 55: Электронные компоненты №1_2010

БЕ

СП

РО

ВО

ДН

ЫЕ

ТЕ

ХН

ОЛ

ОГ

ИИ

53

Электронные компоненты №1 2010

Данный информационный материал является продолжением статьи «Технология Ethernet + WLAN Plus» (см. ЭК2, 2008 г.), в которой на практи-ческом примере был дан краткий обзор перспектив развития телеком-муникационных технологий с высоким уровнем интеграции комплексных услуг. В этой публикации приводятся данные о внедрении беспроводного доступа последней мили на базе конкретного оператора.

БЕСПРОВОДНОЙ ДОСТУП ПОСЛЕДНЕЙ

МИЛИЮЛИЙ КРЫЛОВ, к.т.н., гл. конструктор, ЗАО «Т&Т Телеком»

ВЛАДИМИР ТИХОНОВ, к.т.н., член-корр. РАЕН, ген. директор ЗАО «Тольятти телеком»

НИНА ШАРУК, дизайнер .

ВВЕДЕНИЕ

Цифровые города развивают-

ся во всем в мире. Они обеспечи-

вают населению информационную

среду для повседневного пользова-

ния. Ключевым элементом развития

городов и улучшения качества жизни

являются широкополосные услуги.

Местное самоуправление играет все

более значительную роль в совер-

шенствовании устойчивых широко-

полосных услуг, особенно в сель-

ских местностях, которые не очень

привлекательны для коммерческих

операторов из-за нерентабельности

капиталовложений в широкополос-

ную инфраструктуру. Следовательно,

именно местное самоуправление

должно поощрять развитие широ-

кополосного доступа, обеспечивая

гражданам информационные услуги

и определяя политику и программы,

стимулирующие введение приемле-

мых широкополосных услуг на мест-

ном уровне. Предлагаемая компани-

ей «Тольятти Телеком» сеть доступа

с доведением оптического кабеля до

жилых зданий, производственных и

отдельных строений может оказать

помощь городским властям и комму-

нальным предприятиям в реализации

оптоволоконных сетей доступа, кото-

рые позволят создать конкурентные

условия между всеми поставщиками

услуг на рынке телекоммуникаций.

Построение цифрового города

позволит местному самоуправлению

добиться следующих целей:

– уменьшить расходы городской

власти, повысив эффективность ее

работы;

– повысить удовлетворенность и

качество жизни граждан;

– обеспечить большее число услуг

для граждан и деловых субъектов;

– ускорить экономическое разви-

тие городов;

– увеличить конкурентоспособ-

ность городов;

ТЕРМИНОЛОГИЯ

GEPON (Gigabit Ethernet Passive Optical Network) — пассивная оптическая сеть, функционирующая в режиме «точка – много точек».

BPON (Broadband Passive Optical Network) — широкополосная пассивная оптическая сеть.EPON (Ethernet Passive Optical Network) — пассивная оптоволоконная сеть на основе Ethernet.OLT (Optical Line Termination) — оконечное оборудование оптической линии.ONU (Optical Network Unit) — блок сети оптической связи.МАС — уникальный идентификатор сетевого устройства Ethernet.HDTV (High Defi nition Television) — телевидение высокой четкости.802.11а/g, 802.11n — набор стандартов связи в беспроводной локальной сетевой зоне частотных диапазонов 2,4; 3,6 и 5 ГГц.ATM (Asynchronous Transfer Mode) — асинхронный режим передачи.TDM (Time Division Multiplexing) — мультиплексирование с разделением времени.Сплиттер — устройство, предназначенное для разделения сигнала на первой промежуточной частоте.

– повысить общую безопасность и

степень защищенности граждан;

– стимулировать рост и массовость

широкополосного доступа, т.е. исполь-

зование интернета;

– снизить расходы операторов и

поставщиков услуг;

– создать инфраструктуру много-

целевой универсальной службы город-

ской администрации.

КОНЦЕПЦИЯ

Абонентская сеть доступа — струк-

тура, соединяющая поставщика услуг

с пользователем. Аппаратура связи

определяет маршруты и коммутирует

информацию в городской сети. На этот

узел приходится большая часть средств

финансирования оператора. Методы и

решения с использованием технологии

передачи DSL, E1/E3 сегодня уже не

устраивают операторов в силу отсут-

ствия ее гибкости в наращивании емко-

сти передаваемой информации.

Выходом из такого положения

может служить применение техно-

логии Ethernet, поддерживающей

все виды информации — видео, дан-

ные, голос — и позволяющей про-

граммно изменять скорость доступа.

Технология Ethernet не ограничена

средой передачи и работает как по

медному и оптическому кабелям, так и

в радиоканале. Кроме того, обеспечи-

вается совместимость оборудования

различных компаний изготовителей,

что удобно для поставщиков услуг.

Доступ определен тремя основными

видами этой технологии:

– точка-точка по медной линии;

– точка-точка по оптоволокну и

радиоканалу;

– точка-многоточка по оптоволок-

ну и радиоканалу.

Современный стандарт GEPON

является примером решения многото-

чечного режима. Один порт GEPON-

коммутатора позволяет подключить по

одному волокну до 32 GEPON-модемов

со скоростью передачи до 1 Гбит/с.

Основные преимущества оптоволо-

конной сети GEPON, по сравнению с

традиционной технологией DSL, E1/E3:

1. Модульность конструкции, что

позволяет менять интерфейсы при

изменении функций.

2. Развитое ПО с обширным меню.

3. Поддержка высоких скоростей

входного информационного потока.

4. Работа с более информационны-

ми видами модуляции.

5. Наличие встроенных измерите-

лей достоверности приема.

6. Возможность дистанционного

(через интернет) управления или заме-

ны ПО через спутниковый канал.

7. Повышенная надежность и нара-

ботка на отказ.

8. Меньшая излучаемая мощность,

необходимая для обеспечения той же

Page 56: Электронные компоненты №1_2010

54

БЕ

СП

РО

ВО

ДН

ЫЕ

ТЕ

ХН

ОЛ

ОГ

ИИ

WWW.ELCP.RU

Рис. 3. Беспроводная система доступа на объекте

Рис. 1. Прямой поток

Рис. 2. Обратный поток

Таблица 1. Сравнительные характеристики сетевых технологий

BPON EPON GPONТерм-027

Терм-027.1

Стандарт ITU-T G.983 IEEE 803.2ah ITU-T G.984 802.11n 802.11a/b/g

ЕмкостьВходящее

направление до 622 Мбит/с

Симметрично до 1,2 Гбит/с

Входящее направление до 2,5 Гбит/с; исходящее

направление до 2,5 Гбит/с

802.11a — 6...54 Мбит/с 802.11b — 1...11 Мбит/с 802.11g — 6...54 Мбит/с

802.11n — до 300 Мбит/с

Исходящее направле-ние 155 Мбайт/с

Симметрично до 1,2 Гбит/с

Входящее направление до 2,5 Гбит/с

1490 и 1550

Исходящее направле-ние до Гбайт/с

1310

Длина волны во вхо-дящем направлении, нм*

1490 и 1550 1550 1490 и 1550

4,900…5,950 ГГцДлина волны в исходящем направле-нии, нм*

1310

Передача ATM Ethernet ATM, Ethernet, TDM Ethernet

зоны покрытия (или большая устойчи-

вость к шумам и помехам при той же

мощности).

9. Лучшее качество передачи.

10. Возможность одновременной

передачи вспомогательной информа-

ции.

11. Масштабируемость.

12. Гибкость архитектуры.

13. Обеспечение качества обслужи-

вания.

14. Управление сервисами.

В сети GEPON присутствуют два

основных звена: линейный терминал

на стороне оператора (OLT) и сетевое

устройство на стороне абонента (ONU).

Переданные от терминала кадры полу-

чают все абонентские устройства, а

извлекаются из сети только те, МАС-

адрес которых совпадает с указанным

в кадре МАС-адресом (специальный

идентификатор). Типовая схема обмена

состоит из трех звеньев: OLT, сплиттера,

ONU. Обратный поток образуется из

потоков данных, которые генерируют-

ся различными абонентскими устрой-

ствами (см. рис. 1 и 2).

ВНЕДРЕНИЕ

Технология GEPON применяется для

организации оптических каналов, свя-

занной с прокладкой оптоволоконно-

го кабеля вплоть до конкретного або-

нента. Эффективность этого решения

зависит от многих факторов, и главный

из них — себестоимость подключе-

ния абонентов по оптической линии.

Стоимость прокладки волокна по дому

может достигать 500 долл. Цель этой

статьи — показать, как на практике опе-

ратор «Тольятти Телеком» обеспечива-

ет доставку информации к абоненту в

беспроводном варианте. В данном слу-

чае в качестве беспроводного устрой-

ства ONU выступает «Терминал-017». На

рисунке 3 показана его схема доступа.

Устройства Терм-027 и Терм-027.1

разработаны на базе микросхем

MtW817x  — MIMO 2×3 MAC/BB и

MtW815x — MIMO 2×3 RFIC.

С распространением Ethernet в

городских сетях проблема последней

(или первой, если смотреть со сторо-

ны абонента, а не поставщика услуг)

мили, узкого места между локаль-

ными и магистральными сетями или

частными клиентами и сетями общего

пользования, приобретает еще один

аспект, связанный с необходимостью

преобразования в иные формы тра-

фика, который нередко начинается и

заканчивается в сети Ethernet. Зачем

нужны массовые (домашние) локаль-

ные сети с пропускной способностью

свыше 100 Мбит/с? Ответ очевиден —

для трансляции мультимедийных дан-

ных. В настоящее время востребованы

беспроводные сети с возможностью

передачи высокоскоростных видеопо-

Page 57: Электронные компоненты №1_2010

За более подробной информацией обращайтесь в оргкомитет конференции.Контактное лицо: Динара Бараева Тел.: (495) 741-7701, доб.2233E-mail: [email protected]

УЧАСТНИКИ конференции – российские и зарубежные компании-производители:• полупроводниковых источников света;

• светотехнических изделий;

• силовой электроники для светотехнических изделий;

• систем управления освещением.

ПРОГРАММА КОНФЕРЕНЦИИ10:00 – 13:00 Пленарная часть

• Обзор российского и зарубежного рынков светотехники. Александр Полищук, технический директор, Полупроводниковая светотехника

• Концепция развития российских производителей светотехнических приборов: стратегии и аналитические прогнозы отечественного светотехнического рынка. Юрий Косминский, главный специалист группы по светотехнике и источникам света, ИНТЕРЭЛЕКТРО

• Основные направления создания энергосберегающих систем на основе полупроводниковых источников света. Проблемы явных и скрытых энергетических потерь. Геннадий Терехов, технический директор, Светотроника

• Опыт и проблемы стандартизации и сертификации светотехнических изделий. Анатолий Черняк, зав. лабо-раторией, ВНИСИ им. С.И. Вавилова Ольга Пасынкова, руководитель органа по сертификации, СветоС

• Светодиодные источники света: продукция компаний – мировых лидеров

• Системы управления освещением: продукция компаний – мировых лидеров

• Особенности проектирования и эксплуатации современных источников света

• Силовая электроника для светотехнической продукции.

13:00 – 14:00 Обед. Работа выставки

14:00 – 18:00 Работа секций

1. Светодиоды. Производство светотехнических приборов

2. Силовая электроника для светотехники. Системы управления освещением.

18:00 – 22:00 Фуршет

Page 58: Электронные компоненты №1_2010

* Для оформления счета-фактуры и акта сдачи-приемки

СТОИМОСТЬ УЧАСТИЯ В КОНФЕРЕНЦИИ:

7 000 рублей за одного представителя компании;

13 000 рублей за двух представителей компании;

17 000 рублей за трех представителей компании.

СКИДКИ (отметьте пункты, относящиеся к Вашей компании; скидки суммируются):

подписчик «ИД Электроника» (5% от стоимости участия);

рекламодатель «ИД Электроника» (5% от стоимости участия);

участник прошлых конференций «ИД Электроника» (5% от стоимости участия);

Дополнительную информацию о программе конференции, условиях участия в выставке и возможностях выступления

с  докладом мы предоставим Вам по запросу.

Получить бухгалтерские документы и отметить командировочное удостоверение представители фирм-участников смогут

в  день работы конференции у стола регистрации.

ВНИМАНИЕ! Для получения финансовых документов необходимо иметь при себе доверенность от организации-плательщика на

право подписи.

Компания: ............................................................................................................................................................................................................

1. Ф.И.О.: ..............................................................................................................................................................................................................

Должность: .........................................................................................................................................................................................................

2. Ф.И.О.: ..............................................................................................................................................................................................................

Должность: ........................................................................................................................................................................................................

3. Ф.И.О.: ...............................................................................................................................................................................................................

Должность: .........................................................................................................................................................................................................

Телефон: ................................................ Факс: ............................................................... Эл. почта: ................................................................

............................................................................................................................................................................................................................

Юридический адрес компании*: ..........................................................................................................................................................................

............................................................................................................................................................................................................................

Почтовый адрес*: ..............................................................................................................................................................................................

............................................................................................................................................................................................................................

ИНН*: .................................................... КПП*: .....................

Просим Вас заполнить бланк заявки и направить его по факсу (495) 741-77-02

или по эл.почте [email protected]. На основании заявки будет выставлен счет, который необходимо оплатить до 15 марта 2010 г.

ВНИМАНИЕ! Заявки на конференцию принимаются до 12 марта 2010 г.

СОВРЕМЕННАЯСВЕТОТЕХНИКА18 марта 2010 г., Москва

Page 59: Электронные компоненты №1_2010

БЕ

СП

РО

ВО

ДН

ЫЕ

ТЕ

ХН

ОЛ

ОГ

ИИ

57

Электронные компоненты №1 2010

токов, в т.ч. в формате HDTV, причем

в пределах всей квартиры/дома, а не

одной комнаты. Современные мульти-

медийные приложения уже требуют

скоростей передачи порядка 60 Мбит/с

(для трансляции трех потоков HDTV) и,

что немаловажно, с высокой надежно-

стью и качеством. Существующие WiFi-

технологии с этой задачей не справля-

ются. Несмотря на то, что номинальная

скорость стандартов IEEE 802.11а/g —

54 Мбит/с, реальная пропускная спо-

собность таких сетей не превышает

25 Мбит/с, причем на расстояниях не

более 10 м в зоне прямой видимос-

ти. А в квартире или офисе скорость

передачи современных беспровод-

ных сетей зачастую не превосходит

10 Мбит/с. Стандарт 802.11n (ТЕРМ-027)

предполагает использование беспро-

водной связи для передачи, например,

от телевизионной приставки (STB) к

ТВ-приемнику.

Метод доставки ТВ-сигнала являет-

ся хорошей альтернативой кабельно-

му, эфирному ТВ и при определенных

условиях может оказаться конкурен-

тоспособным. Одним из преимуществ

является то, что абонент имеет воз-

можность принимать независимое

решение, заказав себе большее число

каналов. Еще одним преимуществом

является интерактивный доступ —

видео по запросу.

Система ТЕРМ-027, в отличие от

предыдущих технологий — 802.11a/b/g

ТЕРМ-027, доставляет видеосигнал по

беспроводной локальной сети.

В таблице 1 приводятся сравнитель-

ные характеристики нескольких совре-

менных сетевых технологий.

ЗАКЛЮЧЕНИЕ

Таким образом, можно сделать

вывод, что разработка и серийное

изготовление предлагаемой циф-

ровой системы передачи информа-

ции экономически целесообразно,

поскольку на рынке существует боль-

шая потребность в подобных устрой-

ствах.

СОБЫТИЯ РЫНКА

| УТВЕРЖДЕНЫ ОТЕЧЕСТВЕННЫЕ СТАНДАРТЫ В ОБЛАСТИ ESD-ЗАЩИТЫ | Федеральное агентство по техническому регу-

лированию и метрологии утвердило стандарты ГОСТ Р 61340-5-1 «Электростатика. Часть 5-1. Защита электронных устройств

от электростатических явлений. Общие требования» и ГОСТ Р 61340-5-2 «Электростатика. Часть 5-2. Защита электронных

устройств от электростатических явлений. Руководство пользователя».

Несмотря на то, что уже многие годы передовые российские производители электроники применяют на своих пред-

приятиях комплекс мер по ESD-защите, в России до последнего времени не существовало государственных стандартов,

регламентирующих защиту электронных устройств от электростатических явлений. Де-факто предприятия электронной

промышленности России в своей деятельности были вынуждены опираться на мировую практику и международные стан-

дарты IEC 61340-5-1 и 61340-5-2. В настоящий момент благодаря разработке и утверждению отечественных нормативных

документов в этой области данный разрыв устранен.

Новые российские стандарты соответствуют международным аналогам с учетом адаптации к существующей системе

государственной и отраслевой нормативной документации и другим отечественным реалиям.

Новые стандарты явились следствием работы созданного в 2008 г. технического комитета (ТК) по стандартизации

«Электростатика» (ТК 72). В состав ТК входят организации по стандартизации, по технологии электронного производства,

изготовлению антистатического оборудования, испытательные организации и высшие учебные заведения. Головной

организацией комитета является НПФ «Диполь» (www.dipaul.ru) — российский производитель антистатической мебели,

оборудования и принадлежностей, а также признанный эксперт в разработке решений по ESD-защите. В настоящее время

технический комитет продолжает разработку и внедрение других стандартов в области электростатики.

«Утверждение отечественных стандартов в области электростатической защиты является важнейшим событием

для радиоэлектронной промышленности России, — заявил Дмитрий Трегубов, ген. директор компании «ESD Эксперт»

(www.esd-expert.ru), одной из членов ТК72 и разработчиков новых стандартов. — Нет никаких сомнений, что следствием

внедрения данных стандартов станет повышение качества и надежности российской электроники, что особенно важно в

свете стремления государства к созданию конкурентной отечественной экономики».

www.dipaul.ru

| MICROCHIP ПРИОБРЕТАЕТ КОМПАНИЮ ZEROG WIRELESS | Microchip Technology Inc., ведущий производитель микрокон-

троллеров и аналоговых микросхем, заявила о приобретении компании ZeroG Wireless, Inc. — инновационного разработчика

маломощных встраиваемых Wi-Fi-решений. Штаб-квартира компании находится в г. Саннивэйл, Калифорния. ZeroG является

частной fabless-компанией, разрабатывающей трансиверы Wi-Fi и законченные сертифицированные модули. Это приобрете-

ние позволит укрепить позиции Microchip в области беспроводных предложений и поможет разработчикам легко интегриро-

вать решения на основе 8-, 16- и 32-разрядных PIC-микроконтроллеров в современные беспроводные сетевые инфраструкту-

ры. Условия сделки являются конфиденциальными.

«Мы видим растущую необходимость применения Wi-Fi во встраиваемых системах, а

решения ZeroG созданы специально для этого рынка», — сказал Стив Калдвелл, дирек-

тор отдела RF компании Microchip. «Это приобретение облегчает клиентам Microchip

получение сертифицированных модулей и необходимого ПО для того, чтобы обеспе-

чить их разработки функциями Wi-Fi».

Клиенты ZeroG могут обращаться в компанию по обычным каналам связи, которые

действовали до объявленного решения. Дополнительную информацию можно полу-

чить на странице www.microchip.com/get/9B6V или позвонить в ZeroG по телефону

+1 408-738-7600.

Wi-Fi-модули ZeroG Wireless ZG2100M и ZG2101M, набор разработчика ZeroG

IEEE 802.11 Development Kit for Explorer 16 (#AC164136) и плата ZeroG Wi-Fi PICtail™/PICtail Plus Daughter Board (номер

#AC164136-2) также доступны для продажи. Также можно связаться с представителями Microchip или посетить сайт

www.microchip.com/get/NRR5.

www.microchip.com

Page 60: Электронные компоненты №1_2010

58

WWW.ELCP.RU

Топология источника питания с резонансным режимом

работы обеспечивает немало преимуществ по сравнению

с топологией понижающего, повышающего и обратноходо-

вого преобразователей. В число этих преимуществ входит

мягкое включение, более высокая рабочая частота, плот-

ность мощности и КПД. В схемах электронных балластов

для люминесцентного освещения резонансная топология

уже с успехом используется в течение десятков лет, в то

время как в обычных схемах источников питания такое

решение применяется редко. Изучение работы схем элек-

тронных балластов позволит использовать резонансную

топологию для построения источников питания. Кроме

того, микросхемы контроллеров для электронных балла-

стов находят все более частое применение в приложениях

с резонансными источниками питания.

Для работы люминесцентной лампы требуется обеспе-

чить предварительный нагрев нитей накала лампы с помо-

щью пропускания тока определенной величины, высокое

напряжение для поджига лампы и переменный ток высо-

кой частоты во время свечения лампы. Схемы электронно-

го балласта, используемые для управления люминесцент-

ными лампами, содержат выходной резонансный контур с

последовательно включенной индуктивностью L и парал-

лельно включенными сопротивлением R и емкостью C,

который возбуждается полумостовой схемой коммутации

(см. рис. 1). Данная резонансная топология обеспечивает

требования по предварительному нагреву, зажиганию

и горению лампы благодаря возможности регулировки

рабочей частоты полумостовой схемы. Во время предва-

рительного нагрева и поджига лампа не включена в схему,

т.е. индуктивность L и конденсатор C включены последо-

вательно, и схема имеет высокую добротность (см. рис. 2).

Величина тока, протекающего по нитям накаливания во

время режима предварительного нагрева, определяется

рабочей частотой, которая обычно намного выше резо-

нансной частоты контура.

После режима предварительного нагрева частота падает

до резонансной, и напряжение на лампе увеличивается.

Когда напряжение на лампе достигает некоторого порого-

вого значения, лампа зажигается, и в схему кроме индуктив-

ности L и конденсатора C входит параллельно включенное

сопротивление R. Такая схема имеет низкую добротность

из-за включения лампы в качестве нагрузки. Рабочая частота

затем еще более уменьшается до величины, соответствую-

щей номинальному значению тока лампы. В схему включен

также дополнительный конденсатор CDC для блокировки

постоянного тока, так что через лампу течет только перемен-

ный ток. Полумостовая схема работает в режиме включения

при нулевом напряжении, что обеспечивает низкие потери

на переключение и высокую эффективность (в лм/Вт). Для

работы устройства требуется также дополнительная схема

В статье описана типовая схема электронного балласта, построенная по резонансной топологии. Рассмотрены особенности применения резонансной топологии в схемах источников питания. Описано типовое решение для резонансного источника питания с использованием стандартной микросхемы управления электронного балла-ста. Показаны экспериментальные результаты, полученные при измерении данной схемы резонансного источ-ника питания. Статья представляет собой перевод [1].

ТОМ РИБАРИХ (TOM RIBARICH), руководитель группы разработки микросхем для систем освещения,

International Rectifi er .

Применение схем электронного

балласта в резонансных источниках

питания

защиты на случай возникновения отказов и сбоев в цепи

питания и в нагрузке, например, таких как падение напряже-

ния в сети, невозможность поджига лампы, отсутствие лампы

в контактах и оголенные нити накала.

Требования к источникам питания отличаются от тре-

бований, предъявляемых к люминесцентным лампам,

однако и в источниках питания может с успехом при-

меняться резонансная топология. В источнике питания

выходное напряжение должно быть изолировано и ста-

билизировано на фиксированном уровне с заданной точ-

ностью при всех видах нагрузки. Резонансная выходная

схема, используемая в источниках питания, имеет тополо-

гию с последовательно включенными индуктивностями и

конденсатором (L-L-C), которая также возбуждается обыч-

ной полумостовой схемой (см. рис. 3). Трансформатор

имеет индуктивность утечки LS и индуктивность намагни-

чивания LP, которые формируют две последовательные

катушки индуктивности в цепи. Добротность схемы зави-

сит от приведенной к цепи первичной обмотки величины

параллельного сопротивления. Данная топология харак-

теризуется более сложными резонансными кривыми

(см. рис. 4), однако она также позволяет обеспечить все

требования к нагрузке с помощью регулировки частоты.

Сопротивление нагрузки может уменьшаться или уве-

личиваться, поэтому, чтобы поддерживать постоянный

коэффициент усиления контура с целью стабилизации

выходного напряжения на заданном уровне, рабочую

Рис. 1. Выходной каскад схемы электронного балласта

Рис. 2. Логарифмические частотные характеристики режимов работы схемы, изображенной на рисунке 1

Page 61: Электронные компоненты №1_2010

59

Электронные компоненты №1 2010

частоту можно также увеличивать

или уменьшать. Рабочая точка для

каждой величины сопротивления

нагрузки располагается на соот-

ветствующей нагрузочной кривой,

так что все рабочие точки лежат на

линии постоянного усиления.

Источники питания не требуют

предварительного нагрева или под-

жига, зато для них важен мягкий

старт. Резонансная топология для

источника питания отличается от

резонансной топологии электрон-

ного балласта, но обе схемы воз-

буждаются полумостовой схемой и

управляются частотой. Кроме того,

источники питания требуют подоб-

ную схему защиты от понижения

напряжения сети переменного тока,

открытой нагрузки и короткого

замыкания. Сравнение требований

к схемам электронных балластов и

источников питания приведено в

таблице 1.

На рисунке 5 показана типо-

вая схема электронного балласта

с использованием микросхемы

управления балластом IRS21571D.

Рис. 3. Схема выходного каскада источника питания

Рис. 4. Логарифмические частотные характеристики режимов работы схемы, изображенной на рисунке 3

Таблица 1. Требования к схемам электронных балластов и источников питания

Параметр Электронный балласт Источник питания

Топология резонансной схемыПоследовательно-параллельное

включение R-C-LПоследовательное включение L-L-C

Изоляция Нет Есть

Ключевая схема Полумостовая схема с переключением при нулевом напряжении

Управляющий параметр Регулируемая частота Регулируемая частота

Мягкий старт

Есть

Есть

Предварительный нагревНет

Поджиг

Требования к нагрузке Постоянное значение AC-тока Постоянное значение DC-напряжения

Защита от открытой цепи/короткого замыкания Есть

Защита от снижения напряжения в сети

Микросхема IRS21571D содержит все

необходимые узлы для предвари-

тельного нагрева, поджига и свече-

ния лампы. Архитектура генератора

является весьма гибкой и допускает

возможность программирования

минимальной и максимальной часто-

ты, времени перестройки частоты

и времени задержки. Кроме того,

в микросхему встроена защита от

снижения напряжения сети и превы-

шения допустимого значения тока, а

также драйвер верхнего и нижнего

плеча для управления 600-В MOSFET

(MHS и MLS) без необходимости

использования внешнего формиро-

вателя сигнала управления.

Минимальная частота програм-

мируется через вывод 4 с помощью

резистора RT и через вывод 6 с

помощью конденсатора CT. Время

задержки сигнала между выходами

драйвера верхнего и нижнего плеча

программируется на выводе 7 с

помощью резистора RDT. Во время

предварительного нагрева лампы

конденсатор CPH на выводе 2 заряжа-

ется от внутреннего источника тока,

а вывод 3 внутренне соединяется с

выводом COM для того, чтобы под-

соединить резистор RPH параллельно

с резистором RT. Это параллельное

включение программирует рабочую

частоту предварительного нагрева

(см. рис. 2). Когда напряжение на

выводе 2 достигает 4 В, режим пред-

Page 62: Электронные компоненты №1_2010

60

WWW.ELCP.RU

варительного нагрева завершается, и вывод 3 отсоединя-

ется от COM. Напряжение на выводе 3 заряжает до уровня

2 В напряжение на выводе RT со скоростью, программи-

руемой с помощью конденсатора CRAMP и резистора RPH.

Эта скорость определяет время перестройки частоты от

максимального до минимального значения, необходимого

для поджига лампы. После поджига лампы минимальная

частота задает необходимое значение переменного тока

лампы. Вывод 1 используется для детектирования сниже-

ния напряжения сети и сброса, а вывод 8 программирует

пороговое значение превышения тока, измеряемого на

выводе 10, для защиты от подключения открытой нагрузки

и короткого замыкания. Наконец, вывод 9 контролирует

удаление лампы из контактов и автоматически осущест-

вляет сброс балласта, когда лампа возвращается обратно.

СХЕМА ИСТОЧНИКА ПИТАНИЯ

На рисунке 6 показана схема резонансного источни-

ка питания, которая использует ту же микросхему для

управления изолированного выходного каскада LLC-типа.

В данной конфигурации выходное напряжение через

обратную связь попадает на схему генератора микросхе-

мы IRS21571D через оптопару. Таким образом можно непо-

средственно управлять частотой для того, чтобы поддер-

живать постоянным выходное напряжение при изменении

условий в нагрузке или сети.

Схема генератора была слегка модернизирована по

сравнению со схемой, используемой в электронном

балласте. Выводы 3 и 4 не используются, а функция

мягкого старта реализована с помощью вывода RT с

использованием конденсатора CSS, резистора RSS и

Рис. 6. Схема источника питания с использованием микросхемы управления IRS21571D

Рис. 5. Схема электронного балласта с использованием микросхемы управления IRS21571D

Page 63: Электронные компоненты №1_2010

61

Электронные компоненты №1 2010

Рис. 8. Напряжение полумоста (зеленая линия, 100 В/деление), первичный ток (синяя линия, 1 A/деление) и выходное напряжение (коричневая линия, 2 В/деление) во время установившегося режима при полной нагрузке (220 Вт) и постоянном напряжении 350 В. Временная шкала: 5 мкс/деление

Рис. 9. Напряжение полумоста (зеленая линия, 100 В/деление), первичный ток (синяя линия, 1 A/деление) и выходное напряжение (коричневая линия, 2 В/деление) во время установившегося режима при полной нагрузке (220 Вт) и постоянном напряжении 420 В. Временная шкала: 5 мкс/деление

Рис. 10. Напряжение полумоста (зеленая линия, 100 В/деление), первичный ток (синяя линия, 1 A/деление) и выходное напряжение (коричневая линия, 2 В/деление) во время установившегося режима без нагрузки при постоянном напряжении 420 В. Временная шкала: 5 мкс/деление

диода DSS. Резистор RT устанавли-

вает минимальную частоту, а цепь

обратной связи от оптопары OPTO1

при необходимости увеличивает

или уменьшает частоту за счет

вытекания тока от вывода RT через

резистор RMAX. Опорная схема на

диоде U1 используется для стабили-

зации 12-В выходного напряжения,

а напряжение ошибки используется

для увеличения или уменьшения

тока светодиода оптопары. Цепь

компенсации (Cf, Rf) используется

для обеспечения стабильности

обратной связи при всех условиях в

нагрузке и сети.

Рис. 7. Напряжение полумоста (зеленая линия, 100 В/деление), первичный ток (синяя линия, 2 A/деление) и выходное напряжение (коричневая линия, 2 В/деление) во время запуска при полной нагрузке (220 Вт) и постоянном напряжении 350 В. Временная шкала: 5 мс/деление

ЭКСПЕРИМЕНТАЛЬНЫЕ РЕЗУЛЬТАТЫ

Была собрана и протестирована

при различных нагрузках и посто-

янном напряжении схема источника

питания мощностью 300 Вт. Во время

запуска функция мягкого старта

обеспечивает линейное снижение

частоты от максимального до рабо-

чего значения примерно за 10 мс

(см. рис. 7). Это позволяет уменьшить

нежелательные воздействия переход-

ного напряжения и тока на выходной

каскад и обеспечивает постепенное

снижение первичного тока и выход-

ного напряжения до установившего-

ся значения.

При работе на полную нагрузку

в установившемся режиме полу-

мостовая схема переключается с

50-% рабочим циклом и частотой,

управляемой по обратной связи, под-

держивая выходное напряжение на

уровне 12 В (см. рис. 8). Первичный

ток опережает напряжение полумо-

ста, что означает, что схема работает

в режиме индуктивного резонанса.

Это позволяет полумосту осущест-

влять переключение при нулевом

напряжении.

Когда постоянное напряжение

шины увеличивается с 350 до 420 В,

обратная связь увеличивает рабочую

частоту (см. рис. 9). Это вызывает

уменьшение первичного тока, что

обеспечивает стабилизацию выход-

ного напряжения на уровне 12 В. В

режиме без нагрузки обратная связь

увеличивает рабочую частоту еще

больше, что снижает первичный ток и

поддерживает выходное напряжение

в допустимых пределах (см. рис. 10).

Общие свойства электронных

балластов и источников питания

позволяют использовать одинаковую

микросхему управления для обоих

приложений. На базе микросхемы

IRS21571D можно построить простое

и гибкое решение, которое обеспе-

чивает управление затворами 600-В

транзистора верхнего и нижнего

пле ча, защиту от всех видов отказов

в линии и нагрузке, а также возмож-

ность использования генератора в

раз личных конфигурациях в зависи-

мости от метода управления. Хотя

резонансные топологии различаются,

оба решения используют одинаковую

полумостовую ключевую с управ-

лением рабочей частотой. Самым

важ ным является то, что в обоих

решениях резонансная топология

обеспечивает более высокую эффек-

тивность и плотность мощности.

ЛИТЕРАТУРА1. Tom Ribarich. Electronic ballast circuits

enhance resonant-mode power supplies//

www.edn.com.

Page 64: Электронные компоненты №1_2010

62

ЭЛ

ЕК

ТР

ОП

РИ

ВО

Д

WWW.ELCP.RU

ТЯГОВЫЙ ЭЛЕКТРОПРИВОД

В ГИБРИДНЫХ ТРАНСПОРТНЫХ

СРЕДСТВАХ. Часть 3. Разработки КТЭО для гибридных

транспортных средств в Концерне «РУСЭЛПРОМ»СТАНИСЛАВ ФЛОРЕНЦЕВ, ген. директор, ООО «Русэлпром-электропривод»,

ДМИТРИЙ ИЗОСИМОВ, зам. ген. директора по науке, ООО «Русэлпром-электропривод»,

ДМИТРИЙ ГАРОНИН, техн. директор, дивизион «Русские автобусы группа ГАЗ»

ИВАН УСС, ген. конструктор, РУП «Минский тракторный завод»,

ЛЕВ МАКАРОВ, ген. конструктор, ООО «Русэлпром»,

АНДРЕЙ ЗАЙЦЕВ, гл. конструктор, ОАО «Русэлпром-НИПТИЭМ»*

ООО «Русэлпром-электропривод»

совместно с предприятиями-изго то ви-

те лями транспортных средств выпол-

нило ряд разработок транспортных

средств. Все транспортные средства

и КТЭО создавались и создаются на

коммерческой основе с заводами-

изготовителями транспортной техники;

бюджетные средства в рамках иннова-

ционных программ не использовались.

1. КТЭО СЕЛЬСКОХОЗЯЙСТВЕННОГО

КОЛЕСНОГО ТРАКТОРА

КЛАССИЧЕСКОЙ КОМПОНОВКИ

МОЩНОСТЬЮ 300 Л.С.

С ЭЛЕКТРОМЕХАНИЧЕСКОЙ

ТРАНСМИССИЕЙ ПЕРЕМЕННО-

ПЕРЕМЕННОГО ТОКА С

ЦЕНТРАЛЬНЫМ ПРИВОДОМ

Концептуальный макет трактора

показан на рисунке 1.

Параметры трактора МТЗ3022Э:

– масса — 11500 кг;

– максимальная скорость  —

50 км/ч;

– масса задних навесных орудий —

3500 кг;

– масса передних навесных ору-

дий — 2800 кг;

– радиус качения задних колес  —

0,922 м (143 об./мин при 50 км/ч);

– коэффициент редукции заднего

ведущего моста — 27,618;

– радиус качения передних колес —

0,706 м (188 об./мин при 50 км/ч);

– коэффициент редукции передне-

го ведущего моста — 21,2545;

– коробка передач: К1=4,824;

К2=2,912; К3=1,762; К4=1,063;

– максимальное усилие на крюке —

54000 Н;

– максимальная мощность дизеля

(Det roit Diesel) — 300 л.с. (при 2200 об./

мин);

* Примечание. В разработке устройств КТЭО и транспортных средств принимали участие сотрудники Концерна «Русэлпром» и пред-

приятий — изготовителей конкретной транспортной техники

– максимальная мощность мотор-

генератора  — 225 кВт (1074 Нм при

2000 об./мин).

– максимальная мощность вала

отбора мощности (ВОМ) — 190 кВт.

Применение электромеханической

трансмиссии позволяет:

– улучшить технико-экономические

показатели трактора;

– снизить динамические нагрузки

на узлы трактора и дизеля;

– уменьшить буксование колес,

снизить расход топлива на единицу

выполненной работы (до 30%);

– обеспечить бесступенчатое регу-

лирование скорости агрегата;

– снизить эксплуатационные затра-

ты на техническое обслуживание,

ремонт и расходные материалы;

– повысить надежность работы

трактора в целом.

Компоновка трактора с электроме-

ханической трансмиссией показана на

рисунке 2.

Состав КТЭО:

– тяговый асинхронный мотор-

генератор (АМГ) переменного тока с

силовым преобразователем (СП) с

микропроцессорной частотной систе-

мой управления;

– тяговый асинхронный двигатель

(ТАД) центрального привода с силовым

преобразователем с микропроцессор-

ной частной системой управления;

– блок питания и коммутации (БПК

и ПК);

– DC/DC-преобразователь питания

собственных нужд (системы охлажде-

ния);

– тормозной резистор с чоппером

(DC/DC-преобразователем);

– контроллер верхнего уровня

(КВУ) с органами управления и отобра-

жения информации в кабине трактори-

ста для управления потоками мощно-

сти и тягой, связанный по CAN со всеми

контроллерами СП, дизеля, внешней

ПЭВМ, GPS.

Трактор оборудован сервисной

вы чис лительной системой (СВС). Пара-

мет ры асинхронных двигателей МГ и

ТАД указаны в таблице 1.

МГ и ТАД имеют жидкостное охлаж-

дение, расход воды составляет 20 л/

мин.

Силовые преобразователи АМГ

и ТАД, ПК и DC/DC-преобразователи

объединены в блок силовой электро-

ники (БСЭ). Силовые преобразователи

выполнены на базе интегральных трех-

фазных инверторов напряжения SKAI

фирмы SEMIKRON, максимальный фаз-

ный ток составляет 300 А (эфф., дли-

тельно), номинальное напряжение в

звене постоянного тока  — 850 В. Для

управления используются процессор-

ные контроллеры TMS 320 (частотное

управление). БСЭ имеет жидкостное

охлаждение, расход воды — 10 л/мин.

В настоящее время:

– успешно завершены полигонные

испытания КТЭО в составе макета трак-

тора МТЗ3022Э, включая сопостави-

тельную пахоту;

Рис. 1. Концепт трактора МТЗ3022Э с электромеха-нической трансмиссией

Page 65: Электронные компоненты №1_2010

ЭЛ

ЕК

ТР

ОП

РИ

ВО

Д

63

Электронные компоненты №1 2010

– по результатам испытаний в

2009 г. выпущена установочная серия

(с модернизацией КТЭО) тракторов,

проводятся эксплуатационные и сер-

тификационные испытания на машино-

испытательных станциях РФ и РБ.

2. ОПЫТНАЯ ПАРТИЯ ТРАКТОРОВ

МТЗ 3023 С ЭЛЕКТРОМЕХАНИЧЕСКОЙ

ТРАНСМИССИЕЙ ПЕРЕМЕННО-

ПЕРЕМЕННОГО ТОКА

С ЦЕНТРАЛЬНЫМ ПРИВОДОМ

Параметры трактора МТЗ 3023:

– тип трактора — общего назначе-

ния;

– колесная формула — 4×4;

– номинальное усилие на крюке —

50 кН;

– ДВС — Detroit Diesel S40E 8.7 LTA

M146;

– мощность ДВС, кВт (л.с.)  —

220 (300);

– частота вращения ДВС номиналь-

ная — 2200 об./мин;

– максимальный крутящий момент

ДВС — 1457 Нм;

– коэффициент запаса крутящего

момента — 40%;

– удельный расход топлива при экс-

плуатационной мощности ДВС — 249 г/

(кВт∙ч);

– оптимальный расход топлива

ДВС — 195 г/(кВт∙ч);

– объем топливного бака — 500 л;

– коробка передач  — бесступен-

чатая электромеханическая с электро-

приводом переменного тока, два меха-

нических диапазона, переключение

двух ступеней внутри каждого диапа-

зона с помощью фрикционных гидроу-

правляемых муфт автоматически или

принудительно;

– скорости в диапазонах, км/ч:

- поле — 0…18;

- дорога — 0…42 (50);

– реверс — полный;

– передний ведущий мост  — с

конечными передачами планетарного

типа, с самоблокирующимся диффе-

ренциалом;

– задний мост  — с дифференциа-

лом с фрикционной муфтой блокиров-

ки, конечными передачами планетар-

ного типа;

– габаритные размеры (длина/ши-

рина/высота) — 6400/2630/3250 мм;

– масса, кг:

- конструкционная 11500;

- эксплуатационная 12500;

- максимальная 18000;

– база трактора — 3260 мм;

– шины (основная комплекта-

ция, передние/задние)  — 540/65R30/

580/70R42;

– минимальный радиус поворо-

та — 5,5 м.

В тракторе МТЗ 3023 применены

модернизированные узлы КТЭО макет-

ного образа трактора с центральным

приводом, включая модернизированные

асинхронные электрические машины (МГ

и ТАД), блок силовой электроники, блок

коммутаций, КВУ и табло. Блок-схема

КТЭО приведена на рисунке 3.

В контроллерах МГ и ТАД приме-

нена векторная система управления.

Компоновка тягового оборудования на

тракторе и фотография блока силовой

электроники (крышка снята) приведе-

ны на рисунке 4.

Для обеспечения контроля за раз-

личными системами трансмиссии в

кабине водителя расположено инфор-

мационное табло. Оно имеет семь экра-

нов отображения информации и позво-

ляет полностью контролировать работу

Рис. 2. Компоновка макетного образца трактора МТЗ3022Э

Таблица 1. Основные параметры МГ и ТАД

Наименование параметра МГ, значение ТАД, значение

Тип Асинхронный с короткозамкнутым ротором

Число фаз 3

Мощность, кВт 220 183

Номинальная частота вращения, об./мин. 1750 1450

Диапазон частот вращения, об./мин. 800—2200 –3600…3600

КПД (совместно с силовым преобразователем) 0,93

Габариты (длина/диаметр), мм 630/545

Масса, кг 650

Page 66: Электронные компоненты №1_2010

64

ЭЛ

ЕК

ТР

ОП

РИ

ВО

Д

WWW.ELCP.RU

электромеханической трансмиссии и

двигателя внутреннего сгорания.

Алгоритмы управления позволяют

осуществлять функцию реверса в авто-

матическом режиме. Нажав на кнопку

на рукоятке джойстика «Движение»,

можно перемещаться в одном из двух

диапазонов, в зависимости от необходи-

мой максимальной скорости движения:

1 — рабочий (0…18 км/ч) и 2 — транс-

портный (0…42 км/ч). Для простоты

агрегатирования трактора с сельхозо-

рудиями заложена функция перемеще-

ния с малой скоростью при полном

нажатии на педаль акселератора, кото-

рая включается кнопкой «Малое пере-

мещение».

С целью обеспечения простоты

диагностики и сервиса, упрощения

наладки и контроля за параметра-

ми оборудования ООО «Русэлпром-

электропривод» разработало сер-

висную вычислительную систему

(СВС)  — специальное программное

обеспечение для персонального ком-

пьютера. СВС служит для задания уста-

вок и настройки параметров системы

управления, выборочного отображе-

ния текущих значений переменных,

сохранения и динамического отобра-

жения значений переменных.

ООО «Русэлпром-электропривод», в

дополнение к основному оборудова-

нию КТЭО, разработало и поставляет в

качестве опций автономную станцию

электроснабжения (АСЭ), электропри-

вод переднего вала отбора мощности

(ВОМ), и электропривод вентилятора

радиатора.

Основные характеристики АСЭ:

– номинальная частота выходного

напряжения — 50 ±0,5 Гц;

– номинальное выходное линейное

напряжение 3×400 В (схема без нулево-

го провода);

– номинальное выходное фазное

напряжение 3×220 В (схема с нулевым

проводом);

– регулируемая уставка выходного

напряжения — 5/—10%;

– коэффициент искажения синусо-

идальности выходного напряжения  —

не более 5%;

– номинальная активная выходная

мощность — 172,5 кВт;

– КПД при номинальной нагруз-

ке — не менее 95%;

– габаритные размеры 1200×800×

×300 мм;

– масса — 85 кг;

– степень защиты — IP65.

Электромеханический привод

переднего ВОМ и привод вентилятора

показаны на рисунке 5.

Основные характеристики привода

ВОМ:

– номинальная мощность — 55 кВт;

– номинальный момент на валу  —

105 Нс;

– номинальный ток — 90 А;

– КПД двигателя — не менее 93%;

– срок службы — 10 лет.

Привод переднего ВОМ контейнер-

ного типа позволяет устанавливать его

как на трактор, так и на передние навес-

ные орудия.

Наличие на тракторе электрического

привода вентилятора системы охлаж-

дения позволяет точно регулировать

поток воздуха через радиатор, не допу-

ская перегрева дизеля при его работе

в режимах оптимальных по топливной

эффективности (при снижении обо-

ротов дизеля) и, при необходимости,

включать режим реверса для продув-

ки и очистки радиатора. Максимальная

мощность электропривода вентилято-

ра — 20 кВт.

АСЭ, привод ВОМ и привод венти-

лятора питаются от звена постоянно-

го тока блока силовой электроники с

помощью управляемых силовых преоб-

разователей (инверторов).

Преимущества, достигнутые в трак-

торе с КТЭО:

– эффективная, простая и надеж-

ная бесступенчатая коробка передач;

– всего два режима, выбираемых

вручную (поле или дорога);

– автоматическое переключение

фрикционной муфтой, обеспечиваю-

щей эффективный разгон на транс-

порте;

– возможность работы с высоким

КПД во всем диапазоне скоростей дви-

жения;

Рис. 4. Компоновка тягового оборудования на тракторе и блок силовой электроники

Рис. 3. Блок-схема КТЭО трактора МТЗ 3023

Рис. 5. Привод переднего ВОМ и привод вентилятора с радиатором в сборке на раме трактора МТЗ 3023

Page 67: Электронные компоненты №1_2010

ЭЛ

ЕК

ТР

ОП

РИ

ВО

Д

65

Электронные компоненты №1 2010

– эффективное управление режи-

мами работы дизеля, в зависимости от

потребляемой мощности;

– режимы электроторможения с

передачей энергии движения в дизель;

– система удержания трактора на

подъеме и спуске;

– возможность точного перемеще-

ния на заданное малое расстояние.

– эффективное водяное охлажде-

ние электропривода.

– дополнительные опции: электро-

привод вентилятора ДВС; электропри-

вод переднего ВОМ; автономная стан-

ция электроснабжения.

Преимущества с точки зрения ком-

форта и удобства управления движе-

нием:

– простота и удобство органов

управления коробкой передач;

– наличие нескольких режимов

управления трансмиссией:

– задание скорости движения от

педали;

– задание скорости движения от

джойстика с возможностью грубой и

точной настройки;

– удержание трактора на месте с

возможностью управлять в этом режи-

ме оборотами дизеля от педали;

– точное поддержание скорости

трактора благодаря GPS;

– возможность автоматического и

принудительного управления оборота-

ми дизеля при работе с ВОМ;

– легкость изменения направления

движения.

Опытная партия тракторов МТЗ 3023

(5 шт.) прошла испытания на машино-

испытательных станциях в России,

Белоруссии и Украине. Широкому потре-

бителю трактор МТЗ 3023 был пред-

ставлен на крупнейшей сельскохозяй-

ственной выставке AGRITECHNICA-2009,

которая состоялась в Ганновере

8—14  ноября 2009 г. Трактор был удо-

стоен серебряной медали организато-

ра этого показа — немецкого сельско-

хозяйственного общества DLG.

НЕКОТОРЫЕ ПЛАНЫ РАЗВИТИЯ

ТРАКТОРНОЙ ТЕХНИКИ С КТЭО

Разработки тракторов с электроме-

ханической трансмиссией в РУП МТЗ

не ограничиваются моделью трактора

с дизельным двигателем мощностью

300 л.с. — достаточно упомянуть веду-

щиеся в настоящее время работы по

колесным и гусеничным сельскохозяй-

ственным и промышленным тракторам

мощностью 150, 160, 450 л.с.

В Минпромторге РФ имеется важ-

нейший инновационный проект

«Трактор», предусматривающий созда-

ние колесных сельскохозяйственных

тракторов классической компоновки

классов 5—7 с автоматической транс-

миссией. Концерн «Русэлпром» готов

выступить контрагентом у российских

тракторных заводов при реализации

этого проекта в части разработки,

изготовления и испытаний опытных

образцов, серийного производства

полного комплекта тягового электро-

оборудования для автоматической

электромеханической трансмиссии.

ЗАКЛЮЧЕНИЕ

Применение электрической транс-

миссии в тракторах имеет много пре-

имуществ. Особенно это касается мощ-

ных, энергонасыщенных тракторов. В

такой технике обычная механическая

трансмиссия сложна и дорога, осо-

бенно в производстве  — достаточно

упомянуть только стоимость коробок

передач, число которых в мощных трак-

торах доходит до нескольких десятков.

Электромеханическая трансмиссия

полностью решает эту проблему за

счет всего двух режимов работы (двух

передач) — рабочего и транспортного.

Немаловажным обстоятельством для

тракторных заводов является получе-

ние комплекта устройств КТЭО в каче-

стве комплектующих, что существенно

упрощает производственный и сбороч-

ный процесс.

Альтернативой электромеханиче-

ской трансмиссии является гидравли-

ческая (различных типов). Однако сле-

дует отметить, что гидротрансмиссия

требует при изготовлении точной меха-

нической обработки (что недешево и

нелегко осваивается на отечественных

предприятиях); требуется высококаче-

ственное масло и жесткая процедура

проведения технического обслужива-

ния (что также непросто реализовать);

КПД гидротрансмиссии ниже, чем

электромеханической; наконец, ресурс

работы гидротрансмиссии невелик. В

таблице 2 приведены сравнительные

показатели трансмиссий, применяемых

в сельскохозяйственных тракторах. В

совокупности, все эти факторы одно-

значно свидетельствуют в пользу при-

менения в тракторах более простой

конструктивно, более надежной, не

требующей больших эксплуатационных

затрат, имеющей больший ресурс, и,

следовательно, более перспективной

электромеханической трансмиссии.

ЛИТЕРАТУРА1. Stanislav N. Florentsev. Traction Electric

Equipment Set for AC Electric Transmission

Various Vehicles//Proceedings of International

Exhibition & Conference Power Electronics,

Intelligent Motion. Power Quality (PCIM-2009).

12—14 May 2009. Nurenberg. Germany.

P. 625—627.

Таблица 2. Сравнительные показатели трансмиссий в сельскохозяйственных тракторах

Характеристика Электромеханическая Гидромеханическая Гидрообъемная

ЭкономичностьКПД до 90%, который в малой степени зависит от скорости движения и нагрузки

КПД около 80% и в большой степени зависит от скорости движения и нагрузки

КПД около 80%, который в малой степени зависит от скорости движения и нагрузки

Техническое обслуживание

Обслуживание минимально (контроль охлаждающей жидкости, сопротивления утечки и пр.)

Замена масла и фильтров. Загрязнение масла критично

Замена масла и фильтров. Загрязнение масла критично

Чувствительность к окружающей температуре

Прогрев не требуется.Контроль за перегревом электрических машин и силовой электроники при повышенной температуре

При качественном масле перегрев не опасен. Требуется прогрев, возможна аварийная ситуация

При качественном масле перегрев не опасен. Требуется прогрев, возможна аварийная ситуация

РемонтопригодностьРемонт только быстрой заменой блоков, без разборки узлов. Стоимость определяется стоимостью заменяемых блоков

Ремонт возможен с разборкой узлов.Ремонт только заменой блоков. Быстрый, но несколько дешевле, чем в случае с электромеханикой

Совместная работа с дизелем (ДВС)

ДВС при всех нагрузках и скоростях работает в оптималь-ном режиме, что экономит топливо

С изменением нагрузки и скорости изменя-ется нагрузка на ДВС

ДВС при всех нагрузках и скоростях работает в опти-мальном режиме, что экономит топливо

Опасные факторыВысокое напряжение в закрытых электрических машинах и силовой электронике

Не отмечено Высокое давление жидкости — 400 атм.

Оптимальность тяговой характери-стики

Оптимальна для любых машин из-за реализации регули-рования и стабилизации момента и скорости

Для сельскохозяйственных тракторов не оптимальна: скорость движения зависит от нагрузки

Оптимальна для любых машин, возможна работа в автоматическом режиме и с фиксированным пере-даточным числом

КомпонуемостьСвободная компоновка приводных машин дает хорошую развесовку

Жесткая связь между узлами ограничивает свободу компоновки

Свободная компоновка приводных машин дает хорошую развесовку

Степень готовности Разработка, опытная эксплуатация. Серийное производство Серийное производство компонентов

Ориентировочная стоимость

Электротрансмиссия дороже гидромеханической и примерно на 10% дороже гидрообъемной. С уменьшением цен на силовую и управляющую электронику цена сравняется, а, воз-можно, станет меньше. Малые эксплуатационные затраты

Наиболее дешевая в условиях крупносерий-ного производства. Значительные эксплуатационные затраты

Трансмиссия дороже гидромеханической примерно на 20%.Значительные эксплуатационные затраты

Page 68: Электронные компоненты №1_2010

66

МИ

КР

ОК

ОН

ТР

ОЛ

ЛЕ

РЫ

И D

SP

WWW.ELCP.RU

В статье дается обзор зарубежных и отечественных микропроцессоров, устойчивых к воздействующим факторам космического пространства.

МИКРОПРОЦЕССОРЫ

ДЛЯ КОСМИЧЕСКИХ ПРИМЕНЕНИЙПАВЕЛ ОСИПЕНКО, к.т.н., зав. отделом, НИИСИ РАН

1. ВВЕДЕНИЕ

Эксплуатация интегральных схем

(ИС) и микропроцессоров, в частно-

сти, на борту космического аппарата,

характеризуются наличием радиацион-

ных факторов космического простран-

ства, воздействующих на интеграль-

ные схемы и меняющие их свойства,

вплоть до вывода их из работоспособ-

ного состояния. Источником радиаци-

онного воздействия являются потоки

заряженных частиц  — электронов,

высокоэнергетических протонов (ВЭП)

и ионов различных элементов, вплоть

до урана, называемых также тяжелы-

ми заряженными частицами (ТЗЧ). По

своему происхождению, частицы раз-

деляются на протоны и электроны есте-

ственных радиационных полей Земли

(ЕРПЗ); протоны и ионы от Солнца, т.н.

солнечные космические лучи (СКЛ);

протоны и ионы из внешнего космиче-

ского пространства, т.н. галактические

космические лучи (ГКЛ).

Воздействие потоков заряженных

частиц на элементы ИС может вызвать

следующие эффекты.

1. Эффекты полной поглощенной

дозы (Total Ionizing Dose, TID), выра-

жающиеся в том, что проходящие

сквозь кремний микросхемы заря-

женные частицы вызывают иони-

зацию, приводящую к постепенной

деградации параметров ИС, таких

как статические токи утечки, уровни

входных сигналов, пороги переклю-

чения и пр.

2. Эффекты одиночных сбоев (Single

Event Upset, SEU), выражающиеся в том,

что электрический заряд может вызвать

изменение логического состояния эле-

мента памяти или кратковременный

бросок напряжения на выходе логи-

ческого элемента вследствие иони-

зации от прохождения ТЗЧ. При этом

функционирование схемы нарушается,

но катастрофических отказов не про-

Таблица 1. Перечень микропроцессоров разработки компании BAE Sуstems

GVSC 1750 RAD6000 RAD750

Архитектура MIL-STD-1750A RS\6000 POWER PowerPC

Год разработки 1991 1996 2001

Технология Радиационно-стойкий 1,0-мкм КМОП-процесс Радиационно-стойкий 0,5-мкм КМОП-процесс Радиационно-стойкий 0,25-мкм КМОП-процесс

Частота, МГц 20 33 166

исходит, и возможно восстановление

работоспособного состояния.

3. Эффекты одиночных отка-

зов, основным из которых считается

эффект тиристорной защелки (Single

Event Latch-up, SEL), когда выделяемый

при прохождении ТЗЧ ионизационный

заряд оказывается настолько большим,

что включается паразитная структура

типа «тиристор», приводящая к выгора-

нию схемы.

Интегральные схемы, предназна-

ченные для применения в условиях

воздействия факторов космического

пространства, должны обладать устой-

чивостью к указанным эффектам.

Ниже приводится краткое описа-

ние микропроцессоров, обладающих

устойчивостью к воздействующим

радиационным факторам космическо-

го пространства.

2. ЗАРУБЕЖНЫЕ МИКРОПРОЦЕССОРЫ

2.1. BAE Systems

Компания BAE Systems [1] занима-

ется разработкой и производством

изделий для военных и космиче-

ских применений начиная с 1980-х  гг.

Собственный производственно-

технологический центр, на котором

аттестованы радиационно-стойкие

технологические процессы вплоть

до 0,15 мкм КМОП, расположен в шт.

Виржиния, США. Компания разработала

целый ряд микропроцессоров для кос-

мических применений, перечень кото-

рых приведен в таблице 1. На данный

момент более 500 компьютеров для

космических аппаратов построены на

базе микропроцессоров компании BAE

Systems [2].

Наиболее совершенными характе-

ристиками обладает микропроцессор

RAD750 с архитектурой PowerPC, кото-

рый является радиационно-стойкой

версией коммерческого микропроцес-

сора PowerPC750F, выпускаемого компа-

нией IBM. Микропроцессор полностью

совместим с коммерческим аналогом

как программно, так и по назначению

выводов корпуса.

Для того чтобы обеспечить устой-

чивость к воздействующим факторам

космического пространства, исходный

проект был переработан, при этом его

функциональность оставлена без изме-

нений. К основным изменениям можно

отнести следующие:

– переработку электрической

схемы и топологии ячеек памяти;

– переработку электрической

схемы и топологии усилителей счи-

тывания, декодеров и других элемен-

тов, входящих в блок памяти, блока

PLL и др.;

– замену всех блоков с элементами

динамической логики на функциональ-

но подобные блоки на основе полно-

стью статической схемотехники;

– переработку всех триггеров на

устойчивые к сбою аналоги;

– повсеместное внедрение схем

обнаружения и коррекции ошибок.

Микропроцессор производится по

технологии 0,25  мкм КМОП и имеет

рабочую частоту до 166 МГц. Стойкость к

накопленной дозе составляет не менее

200 крад. Гарантируется полное отсут-

ствие тиристорного эффекта и значе-

ние порога к одиночным сбоям ЛПЭ не

менее 45 МэВ·см2/мг. Прогнозная часто-

та сбоев на геостационарной орбите

составляет 1,6∙10–10/бит/день.

В 2009 г. компания представи-

ла улучшенную версию микропро-

цессора RAD750, изготовленную по

радиационно-стойкой технологии

0,15 мкм КМОП. Частота микропро-

цессора увеличена до 200 МГц, стой-

кость к накопленной дозе увеличена

до 1 мрад, при этом гарантируется

отсутствие тиристорного эффекта и

сравнимые с 0,25-мкм версией микро-

процессора показатели стойкости к

Page 69: Электронные компоненты №1_2010

МИ

КР

ОК

ОН

ТР

ОЛ

ЛЕ

РЫ

И D

SP

67

Электронные компоненты №1 2010

одиночным сбоям. В настоящий момент выполняется атте-

стация данного микропроцессора на соответствие требо-

ваниям для космической аппаратуры.

2.2. Honeywell

Компания Honeywell [3] выпускает широчайший набор

радиационно-стойкой элементной базы. Базовой технологи-

ей является технология «кремний-на-изоляторе» с проект-

ными нормами вплоть до 0,15 мкм, что позволяет полностью

исключить эффекты тиристорной защелки и существенно

уменьшить вероятность одиночных сбоев. В число про-

изводимых компанией микросхем входят достаточно ста-

рый 16-разрядный микропроцессор 16750А и более новый

микропроцессор с архитектурой PowerPC, имеющий наи-

менование HXRHPPC. Данный микропроцессор по функцио-

нальным характеристикам и назначению выводов корпуса

идентичен коммерческому микропроцессору PowerPC603e

компании Freescale.

Для того чтобы обеспечить устойчивость к воздействую-

щим факторам космического пространства, исходный про-

ект был переработан, но его функциональность осталась без

изменений. В целом, перечень доработок примерно соот-

ветствует доработкам, выполненным компанией BAE Systems

для повышения стойкости микропроцессора RAD750.Микропроцессор HXRHPPC производится по технологии

0,35 КнИ и имеет частоту до 80 МГц. Стойкостью к полной

накопленной дозе составляет более 300 крад, гарантирует-

ся полное отсутствие тиристорного эффекта. Прогнозная

частота сбоев на геостационарной орбите составляет

1,5∙10–5/чип/день [4].

2.3. Микропроцессоры с архитектурой SPARC

В 1990 г. Европейское космическое агентство (European

Space Agency, ESA) начало разработку 32-разрядного микро-

процессора для космических применений, которая заверши-

лась в 1997 г. созданием процессора с архитектурой SPARC v7

под названием ERC32 [5]. В развитии этого проекта в 1998 г.

ESA начало новую программу по разработке усовершен-

ствованной версии микропроцессора, получившего назва-

ние LEON. Его разработкой занималась шведская компания

Gaisler Reseach. [6]. Данный проект имел цель обеспечить все

требования стойкости к воздействующим факторам косми-

ческого пространства при следующих ограничениях:

– использование коммерческого технологического про-

цесса;

– полностью синтезируемый проект, отказ от заказных

узлов для обеспечения переносимости на разные техноло-

гические процессы и фабрики;

– построение по принципам СнК на основе стандартно-

го внутрикристального интерфейса с целью обеспечения

быстрой модернизации и масштабируемости;

– программная совместимость с одной из распростра-

ненных процессорных архитектур.

За основу была выбрана архитектура SPARC V8, обеспе-

чивавшая программную совместимость с ERC32. Кроме того,

открытость архитектуры позволила избежать юридических

осложнений.

В качестве стандартного внутрикристального интерфейса

была выбрана шина AMBA.

В настоящее время развитием данного семейства микро-

процессоров занимаются две компании: Atmel, которая

выпускает микропроцессоры на базе ядра LEON2FT, и ком-

пания Aerofl ex, выпускающая микропроцессоры на базе ядра

LEON3FT.

2.3.1. Микропроцессоры компании Atmel

Компания Atmel [7] достаточно давно выпускает микро-

процессоры на базе ядра LEON2FT. Наиболее последняя раз-

работка имеет номер AT697E.

В состав микропроцессора входит целочисленное ядро,

совместимое со SPARC V8, арифметический сопроцессор,

контроллеры статического и SDRAM ОЗУ, контроллер PCI,

последовательные порты и другие периферийные устрой-

ства.

Особенность данного микропроцессора заключается в

том, что помимо традиционных средств обнаружения и кор-

рекции одиночных сбоев, защищающих регулярные струк-

туры кэш-памяти и регистровых файлов, в нем применяется

схема тройного резервирования триггеров со схемой голо-

сования, что позволяет защитить триггеры нерегулярной

управляющей логики от одиночных сбоев. На рисунке 1 при-

ведена схема резервированного триггера. Как видно, сбой в

любом из триггеров экранируется логикой голосования, что

обеспечивает бессбойную работу всей схемы.

Микропроцессор AT697E производится по КМОП-

технологии 0,18 мкм и имеет частоту до 100 МГц. Стойкость

к полной накопленной дозе составляет более 60 крад.

Гарантируется отсутствие тиристорного эффекта до уровня

ЛПЭ не менее 70 МэВ·см2/мг. Прогнозная частота сбоев на

геостационарной орбите составляет 1∙10–5/чип/день [8].

В 2009 г. компания представила улучшенную версию

микропроцессора под номером AT697F, в которой повышена

стойкость к накопленной дозе до 300 крад, а также исправле-

ны ошибки предыдущей версии [9].

2.3.2. Aerofl ex

Компания Aerofl ex Colorado Springs [10] развивает и

продвигает семейство микропроцессоров на основе ядра

LEON3FT, которая отличается от LEON2FT более глубоким

конвейером (7 стадий вместо 5) и поддержкой многопро-

цессорности. Развитием этого направления компания начала

заниматься после приобретения в середине 2008 г. основно-

го разработчика данной серии — шведской компании Gaisler

Research, которая после поглощения получила название

Aerofl ex Gaisler.

В настоящий момент выпускается семейство микропро-

цессоров LEON3FT-RTAX на базе радиационно-стойких ПЛИС

RTAX2000S от Actel.

Рис. 1. Схема резервированного триггера

Page 70: Электронные компоненты №1_2010

68

МИ

КР

ОК

ОН

ТР

ОЛ

ЛЕ

РЫ

И D

SP

WWW.ELCP.RU

Таблица 2. Функциональный состав модификаций микропроцессора LEON3FT-RTAX

Номер модификации 1 2 3 4 5 6 7 8

Целочисленное ядро LEON3FT +

Блок умножения/деления + +

Блок управления питания +

Арифметический сопроцессор + +

Встроенная память, Кбайт 4 4

Контроллер 1553 RT +

Контроллер 1553 ВС/RT/МТ +

Контроллер SpaceWire, кол-во каналов

2 3 2 2

Контроллер CAN 2.0B, кол-во каналов 1

Контроллер PCI+

Контроллер Ethernet MAC

Контроллер статического ОЗУ +

Контроллер SDRAM +

Корпус CQFP352 CQFP624 CQFP352

В таблице 2 представлены харак-

теристики 8 модификаций микропро-

цессора LEON3FT-RTAX, отличающихся

друг от друга набором периферийных

контроллеров.

Все модификации микропроцессора

LEON3FT-RTAX имеют рабочую часто-

ту до 25 МГц, стойкость к накоплен-

ной дозе до 300 крад; гарантируется

отсутствие тиристорного эффекта до

уровня ЛПЭ не менее 104  МэВ·см2/мг

и порог к одиночным сбоям ЛПЭ не

менее 37 МэВ·см2/мг [11].

В мае 2009 г. компания анонсирова-

ла микропроцессор U699 [12], выпол-

ненный не на ПЛИС, как LEON3FT-RTAX,

а в виде заказной микросхемы. В состав

микропроцессора входит целочислен-

ное ядро, совместимое со SPARC V8,

арифметический сопроцессор, кон-

троллер PCI, четыре канала интерфейса

SpaceWire, контроллеры статического и

SDRAM ОЗУ, контроллер Ethernet MAC,

контроллер CAN 2.0, последовательные

порты и другие периферийные устрой-

ства.

Микропроцессор UT699 изготавли-

вается по технологии 0,25 мкм КМОП

и имеет рабочую частоту до 66  МГц.

Стойкость к накопленной дозе состав-

ляет не менее 300 крад, гарантируется

отсутствие тиристорного эффекта до

уровня ЛПЭ не менее 108 МэВ·см2/мг.

Порог и частота одиночных сбоев не

приводится [13].

2.4. Микропроцессоры с

архитектурой MIPS

Стоит упомянуть достаточно ста-

рый 32-разрядный микропроцессор

Mongoose V с архитектурой MIPS-I, раз-

работанный более 10 лет назад, но все

еще применяемый в бортовых компью-

терах космических аппаратов. В частно-

сти, на его базе строились управляющие

компьютеры, установленные в косми-

ческих аппаратах НАСА запущенных в

2006  г. с целью исследования Плутона

и Space Technology 5 по исследова-

нию дальнего космического простран-

ства  [14]. Поставками микропроцессора

занимается компания Sanova [15].

В состав микропроцессора входит

целочисленное MIPS-ядро, включая

кэш память команд 4 Кбайт и данных

2 Кбайт, арифметический сопроцессор,

последовательные порты, таймеры

и т.д. Стойкость к одиночным сбоям

повышается за счет повсеместного

применения корректирующих кодов

для регулярных структур.

Микропроцессор изготавливается

по технологии КнИ компании Honeywell

и имеет рабочую частоту до 15 МГц.

Стойкость к полной накопленной дозе,

которая составляет более 100  крад,

гарантирует полное отсутствие тири-

сторного эффекта. Порог одиноч-

ных сбоев ЛПЭ составляет не менее

80 МэВ·см2/мг.

2.5. Стоимость микропроцессоров

для космических применений

Традиционно, стоимость специ-

альных изделий, к которым относятся

микропроцессоры для космических

применений, является предметом дого-

воренности в каждом конкретном слу-

чае, и эта информация закрыта для сво-

бодного доступа. Тем не менее в редких

случаях в открытый доступ попадают

сведения, позволяющие оценить сред-

ний уровень цен на подобные изделия.

Так, для микропроцессора Mangoose

V на сайте производителя в открытом

доступе приведены цены [16], где указа-

но, что цена на микропроцессор нахо-

дится в ди апазоне 23…42 тыс. долл./

шт., в зависимости от партии. В анонсе

на микропроцессор UT699 [12] приведе-

на оценочная цена микропроцессора в

25 тыс. долл. в партии 100 шт. В [17] дает-

ся оценка стоимости микропроцессора

RH3000 в 17 тыс. долл./чип, а комплект

из 4—5 СБИС стоит около 100 тыс. долл.

Можно сделать вывод, что при штуч-

ной поставке средняя цена составляет

десятки тысяч долларов за микропро-

цессор, что в сотни раз выше стоимости

коммерческих микропроцессоров.

3. Отечественные микропроцессоры

Вопреки распространенному мне-

нию о полном отсутствии отечествен-

ных микропроцессоров, удовлетво-

ряющих требованиям стойкости к

воздействующим факторам космиче-

ского пространства, в последние годы

отечественные предприятия разрабо-

тали и освоили в серийном производ-

стве несколько микропроцессоров и

микроконтроллеров, удовлетворяю-

щих подобным требованиям, краткий

обзор которых приводится ниже.

Микроконтроллер 1874ВЕ05Т

НИИ электронной техники

(Воронеж) [18] разработал 16-раз-

рядный микроконтроллер 1874ВЕ05Т,

предназначенный для встроенных

систем управления в условиях жестких

требований к специальным внешним

воздействующим факторам (СВВФ). В

состав МК входят последовательный

порт ввода/вывода, сторожевой тай-

мер, регистровое ОЗУ и пр. Тактовая

частота МК составляет 20 МГц [19].

В документации приведены зна-

чения показателей стойкости к СВВФ,

однако вследствие особенностей доку-

ментации на отечественные микросхе-

мы затруднительно провести прямое

сравнение с зарубежными микропро-

цессорами по показателям стойкости.

При этом можно утверждать, что пока-

затели МК удовлетворяют требованиям

по применению в космическом про-

странстве. Учитывая, что МК изготавли-

вается по технологии КнИ, можно сде-

лать вывод, что тиристорный эффект

отсутствует и обеспечивается пример-

но на порядок большая стойкость к

одиночным сбоям, по сравнению с тра-

диционной КМОП-технологией [20, 21].

Микроконтроллер выпускается серий-

но.

Микропроцессор 5890ВЕ1Т

К сожалению, в открытой печати

информация об этом микропроцессо-

ре отсутствует, поэтому в данной ста-

тье приводятся минимальные данные,

представленные в устном докладе на

конференции «Элементная база косми-

ческих систем» в 2009 г.

В состав микропроцессора входит

целочисленное 32-разрядное RISC-

ядро, включая кэш-память размером

8 Кбайт + 8 Кбайт, арифметический

сопроцессор и набор контроллеров, в

т.ч. контроллер шины PCI, контроллеры

ОЗУ и ПЗУ, контроллер последователь-

ных портов RS232 и  т.д., что позволяет

Page 71: Электронные компоненты №1_2010

МИ

КР

ОК

ОН

ТР

ОЛ

ЛЕ

РЫ

И D

SP

69

Электронные компоненты №1 2010

строить функционально законченную

ЭВМ на его основе.

Рабочая частота 33 МГц, стойкость

к накопленной дозе удовлетворяет

требованиям применения в космиче-

ском пространстве. Микропроцессор

изготавливается по технологии КнИ.

Соответственно, тиристорный эффект

отсутствует, а также обеспечивает-

ся примерно на порядок большая

стойкость к одиночным сбоям по

сравнению с традиционной КМОП-

технологией. Микропроцессор выпу-

скается серийно.

Микропроцессор MC-24R («Сталкер»)

ГУП НПЦ «Элвис» (Зеленоград) [22]

разрабатывает МК MC-24R («Сталкер»),

который предназначен для аэрокос-

мических бортовых систем управле-

ния и обработки данных [23]. В состав

микропроцессора входит MIPS32-

совместимое ядро и ядро специали-

зированного сопроцессора с SIMD-

архитектурой и ряд периферийных

контроллеров.

Микропроцессор рассчитан на

коммерческую технологию 0,25  мкм.

Расчетная рабочая частота  —

до  100  МГц. Защита от тиристорного

эффекта обеспечивается специаль-

ными топологическими решениями.

Защита от одиночных сбоев обеспе-

чивается применением корректирую-

щих кодов для регулярных структур.

Численных значений по стойкости к

накопленной дозе, тиристорному

эффекту и одиночным сбоям в откры-

той печати найти не удалось.

В соответствии с [23], возможна

поставка макетных образцов микро-

схем МС-24TR2 и МС-24КЕ3.

ЗАКЛЮЧЕНИЕ

В статье приведен краткий обзор

зарубежных и отечественных микро-

процессоров, стойких к воздействию

радиационных факторов космическо-

го пространства. Во всех из них приме-

нены специальные схемотехнические,

технологические и топологические

решения для того, чтобы обеспе-

чить требуемый уровень стойкость.

Разработка таких решения является

весьма трудоемким и дорогостоящим

процессом, в связи с чем стоимость

микропроцессоров для космических

применений составляет десятки тысяч

долларов за штуку, что в сотни раз выше

коммерческих аналогов. Рассмотрены

показатели стойкости к одиночным

сбоям, накопленной дозе и эффекту

тиристорной защелки. Необходимо

отметить, что помимо перечисленных

основных эффектов, существуют и дру-

гие, например эффекты множествен-

ных сбоев, эффект выгорания подза-

творного диэлектрика, микродозовый

эффект и др., изучение которых ведет-

ся достаточно активно, но их влияние

начинает ощутимо проявляться только

при уменьшении проектных норм от

180 нм. Данное обстоятельство являет-

ся одной из причин того, что наиболее

совершенной технологией, применяе-

мой при проектировании микропро-

цессоров для космических примене-

ний, является технология 0,25  мкм, и

ведущие мировые компании только

начинают осваивать уровень 0,15 мкм

для космических применений, тогда

как для коммерческих схем применя-

ются технологические уровни до 32 нм

и активно осваиваются новые техноло-

гические рубежи.

ЛИТЕРАТУРА1. www.baesystems.com.

2. 2009 Short-form product guide

Radiation-hardened electronics// www.

baesystems.com/BAEProd/groups/public /

documents/bae_publication/bae_pdf_eis_09_

product_guide.pdf.

3. www.honeywell.com/radhard.

4. HXRHPPC Processor Rad-Hard

Microprocessor//August 2008, Honewell

International Inc.

5. J.Gaisler, Concurrent error-detection and

modular fault-tolerance in an 32-bit processing

core for space applications//FTCS-24, June 1994,

Austin, USA.

6. J. Gaisler, A Portable and Fault-Tolerant

Microprocessor Based on the SPARC V8

Architecture//Gaisler research, 2002.

7. www.atmel.com.

8. Rad-Hard 32 bit SPARC V8 Processor

AT697E//Atmel, Rev. 4226E–AERO–09/06.

9. Rad-Hard 32 bit SPARC V8 Processor

AT697F, Advance Information//Atmel,

7703C–AERO–6/09

10. www.aerofl ex.com.

11. LEON3-FT SPARC V8 Processor LEON3FT-

RTAX Data Sheet and User’s Manual //Aerofl ex

Gaisler, February 2009, Version 1.1.0.7.

12. h t t p : // w w w . a e r o f l e x . c o m / a m s /

news/2009/090529.pdf.

13. UT699 32-bit Fault-Tolerant SPARCTM

V8/LEON 3FT Processor, Data Sheet//Aerofl ex ,

November 3, 2009.

14. В. Михалев. Аппаратные решения

архитектуры MIPS и программные тех-

нологии QNX. //http://www.swd.ru/fi les/pdf/

seminars/qnx_day/Mahilev.pdf.

15. www.synova.com/index.html.

16. www.synova.com/proc/mg5_ price.

html.

17. А.И. Чумаков. Действие космиче-

ской радиации на интегральные схемы//М.:

Радио и связь, 2004.

18. www.niiet.ru/index.html.

19. Устойчивый к СВВФ 16-разрядный

микроконтроллер без ПЗУ и АЦП//www.niiet.

ru/acrobat/1874BE05T.pdf.

20. Б. Василегин, В. Емельянов, П.

Осипенко и др. Исследование влияния

конструктивно-технологических пара-

метров КнИ СОЗУ на чувствительность

к одиночным сбоям при воздействии

тяжелых заряженных частиц//ВАНТ, серия

«Физика радиационного воздействия на

радиоэлектронную аппаратуру», научно-

технический сборник, вып. 1, М.: НИИП.  —

2008. — с. 133—138.

21. Cannon E., Reinhardt D. et all. SRAM

SER in 90, 130 and 180 nm Bulk and SOI

Technologies//42nd Annual Reliability Physics

Symposium, 2004, pp. 300—304.

22. http://multicore.ru/index.php.

23. Платформа «Мультикор» — отече-

ственная инновационная технология про-

ектирования микросхем. Элвис. Каталог

2008//www.radiocomp.ru/pdf/elvees.pdf.

НОВОСТИ СВЕТОТЕХНИКИ

| СВЕТОДИОДЫ EASYWHITE КОМПАНИИ CREE УПРОЩАЮТ РАЗРАБОТЧИКУ ВЫБОР | Инновационные светодиоды (СИД)

серии EasyWhite от компании Cree не только упрощают разработку решений, но и повышают цветовую совместимость СИД.

Цветовая температура этих устройств составляет 3500, 3000 и 2700 K, а их размер на 72% меньше, чем у самого миниатюрного

конкурента. У СИД этой серии отсутствует пикселизация за счет плотной упаковки большого количества кристаллов внутри

корпуса СИД — всего их 24. В настоящее время на рынке не существует устройств с таким большим количеством кристаллов

внутри СИД: их стандартное количество — 4.

Производители СИД испытывают затруднения, пытаясь добиться однородной светоотдачи. Для этого они отсортиро-

вывают кристаллы по бинам. Производители осветительного оборудования смешивают СИД с разными бинами для полу-

чения однородного цвета. При таком подходе требуются большие запасы СИД. Кроме того, сама идея биннинга вводит в

замешательство, т.к. для традиционных источников света существуют только два параметра: цветовая температура и свето-

отдача. Цель Cree — упростить спецификации и свести к минимуму необходимость в больших запасах СИД — достигается

путем исключения или уменьшения потребности в биннинге.

www.russianelectronics.ru

Page 72: Электронные компоненты №1_2010

70

ГЕ

НЕ

РА

ТО

РЫ

И С

ИН

ТЕ

ЗА

ТО

РЫ

СИ

ГН

АЛ

ОВ

WWW.ELCP.RU

В статье рассматриваются варианты построения системы синхрониза-ции с использованием кварцевых генераторов, управляемых напряжением кварцевых генераторов и микросхем тактовых сигналов. Обсуждаются особенности асинхронной и синхронной систем тактирования. Определены критерии выбора системы синхронизации, наиболее полно отвечающей требованиям конкретного приложения. Статья представ-ляет собой перевод [1].

ВЫБОР СИСТЕМЫ СИНХРОНИЗАЦИИ:

КВАРЦ ИЛИ ТАКТОВЫЙ ГЕНЕРАТОР?ДЖЕЙМС УИЛСОН (JAMES WILSON), менеджер по маркетингу, Silicon Laboratories

ВВЕДЕНИЕ

Подбор подходящего компонента

для конкретного приложения зави-

сит от ряда факторов, в частности от

того, должен ли тактовый сигнал быть

синхронизирован с внешней опорной

частотой, от архитектуры процессора и

высокоскоростных микросхем последо-

вательной передачи данных, а также от

требований к рабочей частоте и мини-

мальной величине джиттера для конеч-

ного приложения. В высокопроизводи-

тельных приложениях малый джиттер

и низкий уровень фазового шума явля-

ются критичными требованиями, т.к. эти

параметры напрямую влияют на частоту

битовых ошибок в системах последова-

тельной передачи данных и на отноше-

ние сигнал/шум АЦП.

Проектирование аппаратной части

высокоскоростных приложений, таких

как сетевые устройства, системы беспро-

водной передачи, системы видеотран-

сляции, а также тестовые системы, ста-

новится все более сложным процессом,

т.к. разработчикам нужно закладывать

в проект поддержку растущего числа

стандартов, протоколов и спецификаций.

Несколько примеров могут проиллюстри-

ровать эту тенденцию. Разрабатываемые

в последнее время сетевые устройства

должны поддерживать не только про-

токолы SONET/SDH и Ethernet, но также

обеспечивать передачу видео высокого

разрешения. Оборудование для транс-

ляции видео, которое выполняет раз-

нообразные функции, включая захват

изображения, кодирование, декодирова-

ние, обработку и передачу видео, долж-

но поддерживать стандарты NTSC и PAL

для того, чтобы обеспечить совмести-

мость с оборудованием по всему миру.

Разработка наиболее эффективной архи-

тектуры синхронизации для таких прило-

жений становится важнейшей задачей с

целью минимизации времени разработ-

ки и уменьшения стоимости материалов

и комплектующих.

АСИНХРОННЫЕ СИСТЕМЫ

ТАКТИРОВАНИЯ

Наиболее простым источником такто-

вого сигнала является кварцевый генера-

тор, который формирует единственную

тактовую частоту для одного компонента.

Кварцевые генераторы часто использу-

ются в асинхронных приложениях (при-

мер одного из них показан на рисунке

1). Каждый кварцевый генератор фор-

мирует локальную опорную частоту для

поддержки двух независимых областей

синхронизации. Функционирование

системы требует, чтобы частоты генера-

торов были близки, но не идентичны.

Такая архитектура идеальна для прило-

жений с форсированным режимом (burst

mode) передачи. Поддержка непрерыв-

ной связи требует выполнения подста-

новки битов или пакетов и управление

FIFO-памятью для того, чтобы предот-

вратить переполнение или потерю дан-

ных. Оборудование видеообработки и

10/100/1000 BaseT Ethernet-сетей явля-

ются примерами приложений, которые

используют асинхронное тактирование.

СИНХРОННЫЕ СИСТЕМЫ

ТАКТИРОВАНИЯ

Синхронное тактирование наибо-

лее часто используется в приложениях,

которые требуют поддержки непрерыв-

ной связи. Задержку в сети и колебания

задержки следует минимизировать. Для

достижения этого такие приложения

как SONET/SDH, синхронный Ethernet

(SyncE), системы беспроводной связи и

видеопередачи требуют, чтобы источ-

ник и приемник работали с одинаковой

частотой. На стороне передатчика так-

товый сигнал, который обеспечивает

синхронизацию канала передачи высо-

коскоростной микросхемы SerDes

(параллельно-последовательного и

последовательно-параллельного преоб-

разователя), привязан к высокоточному

опорному тактовому сигналу. Как первич-

ный, так и вторичный опорный тактовый

сигналы подаются от цент рализованного

источника (например, GPS). Для синхро-

низации с этим опорным тактовым сиг-

налом, компенсации джиттера тактового

сигнала и формирования выходного так-

тового сигнала для трансивера использу-

ют систему ФАПЧ. На стороне приемника

для восстановления системного тактово-

го сигнала используется блок восстанов-

ления данных и синхронизации (Clock and

Data Recovery — CDR). Это устройство в

зависимости от приложения может быть

либо внешним компонентом, либо реа-

лизовано в виде встроенного в транси-

вер блока. Для корректировки рабочей

частоты блока восстановления данных

и синхронизации с целью обеспечения

быстрого захвата и удержания может

быть использован кварцевый генератор.

Восстановленный тактовый сигнал

пропускается еще через одну схему

ФАПЧ с целью дополнительного деления

тактовой частоты. Локальный тактовый

сигнал может быть синхронизирован как

с данным тактовым сигналом, так и с

другим локальным тактовым сигналом,

который синхронизирован с централи-

зованным источником тактовой частоты.

Этот источник тактового сигнала обеспе-

чивает синхронизацию всех узлов сети. В

зависимости от системных требований,

для ФАПЧ может потребоваться узкопо-

лосная обратная связь для фильтрации

нежелательного джиттера тактового сиг-

нала. Пример системы с синхронным так-

тированием показан на рисунке 2.

Система ФАПЧ может быть реализо-

вана в виде дискретных элементов с

использованием микросхемы со встро-

енным тактовым генератором или Рис. 1. Пример системы с асинхронным тактированием

Page 73: Электронные компоненты №1_2010

ГЕ

НЕ

РА

ТО

РЫ

И С

ИН

ТЕ

ЗА

ТО

РЫ

СИ

ГН

АЛ

ОВ

71

Электронные компоненты №1 2010

управляемого напряжением кварцевого

генератора, фазового детектора и филь-

тра в цепи обратной связи. Такое реше-

ние является более предпочтительным,

когда требуется достичь минимально

возможной величины джиттера и фазо-

вого шума. В некоторых приложениях

фазовый детектор и генератор подкач-

ки заряда встраиваются в процессор

или ASIC, так что для реализации ФАПЧ

требуется подключить лишь внешний

управляемый напряжением кварцевый

генератор и фильтр в цепи обратной

связи, как показано на рисунке 3.

Однако реализация ФАПЧ в виде дис-

кретных элементов имеет много недо-

статков. Такой вариант построения систе-

мы ФАПЧ требует опыта в разработке

аналоговых схем и, кроме того, является

слишком чувствительным к помехам на

печатной плате, поэтому необходима

особая тщательность при проектиро-

вании как схемы, так и печатной платы

устройства. Помимо этого, система ФАПЧ

в виде дискретных элементов обычно

генерирует единственную выходную

частоту. При изменении требуемой так-

товой частоты необходимо использовать

отдельный управляемый напряжени-

ем кварцевый генератор. В некоторых

приложениях для формирования всех

необходимых тактовых частот требует-

ся использовать несколько кварцевых

генераторов, что увеличивает затраты на

комплектующие. Для решения этой про-

блемы в настоящее время предлагаются

сдвоенные, счетверенные генераторы, а

также управляемые напряжением гене-

раторы с возможностью программиро-

вания выходной частоты по интерфейсу

I2C для приложений с несколькими так-

товыми частотами, например такие как

Si571 компании Silicon Laboratories.

Альтернативный подход заключается

в использовании микросхемы умножи-

теля тактовой частоты с компенсацией

джиттера, которая содержит встроен-

ную схему ФАПЧ. Умножитель тактовой

частоты поддерживает синхронизацию с

опорным тактовым сигналом, уменьша-

ет нежелательный джиттер и генерирует

выходной тактовый сигнал нескольких

частот для передатчика. Следует тща-

тельно выбирать такие микросхемы для

конкретного приложения, т.к. не все эти

устройства имеют одинаковые характе-

ристики. Для высокоскоростных систем

последовательной передачи данных

только наиболее производительные

микросхемы умножителя тактовой

частоты отвечают требованиям конеч-

ного приложения по величине джитте-

ра. Ключевой характеристикой является

максимальная величина генерируемого

джиттера, а не его типовая величина.

Умножитель тактовой частоты, который

устанавливает максимальную величину

джиттера, позволяет разработчику аппа-

ратных средств распределять допуски

на величину джиттера по всем элемен-

там канала передачи данных и системы

синхронизации на печатной плате, что

гарантирует нормальное функциони-

рование устройства в любых условиях

эксплуатации. Кроме того, необходимо

проверить такой параметр микросхе-

мы умножителя тактовой частоты как

полоса пропускания обратной связи.

Если требуется компенсация джиттера

опорного тактового сигнала, то поло-

са пропускания обратной связи должна

быть не более 1 кГц. Необходимо также

убедиться, что микросхема умножите-

ля тактовой частоты поддерживает все

требуемые схемы распределения частот.

Примером умножителя с возможностью

регулирования тактовой частоты, кото-

рый обеспечивает компенсацию джит-

тера и синтез любых частот, является

Si5319 компании Silicon Laboratories.

И, наконец, интегрированное реше-

ние предпочтительнее дискретного в

случаях, когда необходимо обеспечить

системные функции тактового сигнала.

Примером таких функций является ком-

мутирование несовпадающих входных

тактовых сигналов, при котором так-

товый сигнал контролирует качество

первичного опорного сигнала и пере-

ключает систему на вторичный опорный

сигнал при детектировании отклонения

параметров первичного тактового сиг-

нала от заданных.

Другим распространенным требовани-

ем к системному тактовому сигналу явля-

ется обеспечение режима удержания, при

котором генератор продолжает форми-

ровать стабильный выходной тактовый

сигнал при отсутствии опорного такто-

вого сигнала. Многоканальный источник

тактового сигнала позволяет обеспечить

эти требования к системной синхрони-

зации. В таблице 1 приведено руковод-

ство по выбору системы синхронизации

устройства с использованием кварцевого

генератора, управляемого напряжением

кварцевого генератора и микросхемы так-

тового генератора с умножением частот.

ЛИТЕРАТУРА1. James Wilson. When to use a clock vs. an

oscillator//www.dataweek.co.za.

Рис. 3. Частичная интеграция ФАПЧ в ASICРис. 2. Пример системы с синхронным тактированием

Таблица 1. Варианты построения системы синхронизации

Кварцевый генераторУправляемый напряжением кварце-вый генератор

Микросхема тактового генератора с умно-жением частот

Основные функцииАсинхронное тактирование, опорный тактовый сигнал системы восстановле-ния данных и синхронизации

Синхронное тактирование, умножение тактовой частоты/компенсация джиттера как часть дискрет-ной системы ФАПЧ, генерация одного тактового сигнала

Синхронное тактирование, умножение тактовой частоты, компенсация джиттера, генерация нескольких тактовых сигналов, системные функции синхронизации (режим удер-жания, коммутация несовпадающих сигналов)

Частота ФиксированнаяНепрерывно изменяемая в диапазоне регулирова-ния (обычно ±100 pm)

Конфигурируемая через интерфейс I2C или SPI для поддержки большого количества схем распределения частот

Сложность схемы Низкая Высокая Низкая

Степень интеграции Высокая Низкая Высокая

Джиттерный фильтр Нет Есть (дискретный) Есть (интегрированный)

Варианты использования Когда необходим локальный генераторКогда требуется обеспечить минимальный фазо-вый шум/джиттер, система ФАПЧ интегрирована в ASIC/FPGA

Когда интегрированное решение предпочтительнее, требу-ется компенсация джиттера или тактового сигнала, а также гибкость при выборе частот, системные функции

Page 74: Электронные компоненты №1_2010

72

ПО

СЛ

Е Р

АБ

ОТ

Ы

WWW.ELCP.RU

Два аналоговых входа, логический анализатор, один вход внешней син-хронизации, 80 млн выборок в секунду на каждый канал, генератор циф-рового сигнала, а также питание и гальваническая развязка от USB-интерфейса — все это новый недорогой набор BM8021 от «Мастер Кит».

Цифровой запоминающий

USB-осциллограф BM8021АЛЕКСАНДР КАМЕНСКИЙ, технический консультант, «Мастер Кит»

Предлагаемый цифровой запоми-

нающий осциллограф предназначен

для наблюдения и получения основ-

ных характеристик цифровых и ана-

логовых сигналов различной формы

и амплитуды. С его помощью облег-

чается процесс отладки и ремон-

та широкого спектра электронных

устройств.

ОСНОВНЫЕ ОСОБЕННОСТИ

ОСЦИЛЛОГРАФА

Вид печатной платы осцилло-

графа BM8021 показан на рисунке 1.

Основные технические характеристи-

ки устройства приведены в таблице

1. Осциллограф способен функциони-

ровать только в режиме связи с ПК.

Связь с пользователем осуществляется

через специализированную программу

OscServer.exe (см. рис. 2).

Осциллограф переходит в режим

связи с ПК сразу после подключения

внешнего кабеля miniUSB. Заметим, что

в течение первых 10 с прибор ожида-

ет запуска процесса обновления про-

граммного обеспечения.

Цифровой осциллограф BM8021

имеет следующие особенности.

1. Два аналоговых входа:

– возможность наблюдения одно-

временно за аналоговыми и цифровы-

ми сигналами;

– возможность работы на выбор

в двухканальном или одноканальном

режиме;

– удобство наблюдения за синхрон-

ными и асинхронными интерфейсами,

такими как SPI, I2C (TWI), UART, а также

за сетями RS-485, CAN и 1-Wire;

– представление модулированных

сигналов вместе с их составляющими;

– возможность наблюдать переход-

ные процессы в аналоговых цепях.

2. Частота дискретизации —

80 МГц:

– наблюдение за быстроменяющи-

мися процессами;

– отладка современных микро-

контроллерных и микропроцессорных

устройств с высокими тактовыми часто-

тами (архитектуры AVR, MSP430 и т.п., а

также микроконтроллеры и микропро-

цессоры на основе ядра ARM7);

– в режиме «Пиковый детектор» не

будут пропущены даже самые короткие

импульсы.

3. Вход внешней синхронизации:

– освобождение аналоговых вхо-

дов от функции триггера;

– настраиваемый фронт срабаты-

вания (нарастающий/спадающий фронт

либо изменение сигнала).

4. Логический анализатор:

– стандартная 8-битная шина дан-

ных;

– возможность синхронизации по

маске либо по равенству;

Рис. 1. Внешний вид осциллографа BM8021

Таблица 1. Основные технические характеристики

Параметр Значение

Количество аналоговых входов 2

Количество цифровых входов 1 (синхронизация)

Максимальная скорость выборки, Мвыб/с 80

Полоса пропускания аналогового сигнала, МГц 10

Разрядность АЦП 8

Входное сопротивление, МОм 1

Входная емкость, пФ 30

Поддерживаемые коэффициенты пробника 1х, 10х

Максимальное входное напряжение, В ±10, ±100 (пробник 10х)

Цена деления по напряжению, В 0,25 В…1

Цена деления по времени, мкс 0,5…500

Интерфейс подключения к компьютеру USB

Интерполяция осциллограммы sin(x)/x, линейная

Размер памяти (на каждый канал) до 3 тыс. точек

Источники синхронизации канал A, канал В, логический анализатор, внешний

Режимы синхронизации одиночный, ждущий, авто

Автоматические измерения частота, период, Uамп

Логический анализатор, бит 8

Генератор цифровых сигналов, бит 8

Габаритные размеры (без учета длины разъемов), мм 125×75×15

Масса, г, не более 90

Потребляемая мощность, Вт менее 5

Рабочая температура окружающей среды, °С 10…45

Влажность, без конденсации влаги, % до 30Рис. 2. Интерфейс программы

Page 75: Электронные компоненты №1_2010

ПО

СЛ

Е Р

АБ

ОТ

Ы

73

Электронные компоненты №1 2010

– возможность выбора режи-

ма отображения в виде шины (очень

удобно при отладке канала управления

ЖКИ либо параллельной памятью) или

отдельных сигналов.

5. Генератор цифровых сигналов:

– 8-разрядная шина цифрового

двоичного счетчика;

– настраиваемая частота нулевого

разряда.

6. Стандартные разъемы BNC для

подключения внешних сигналов:

– отсутствие необходимости ис-

поль зовать специализированные вы со-

ко частотные переходники;

– совместимость со стандартными

щупами.

7. USB-интерфейс:

– стандартные драйверы FTDI;

– гальваническая развязка;

– высокоскоростная связь позволя-

ет наблюдать сигналы в режиме реаль-

ного времени;

– питание устройства происходит

непосредственно от шины.

8. Поддержка удаленного обновле-

ния программного обеспечения:

– возможность обновлять про-

граммное обеспечение в случае появ-

ления новых возможностей;

– защита от неправильной про-

шивки.

Компания «Мастер Кит» предлага-

ет готовый блок BM8021 «Цифровой

запоминающий USB-осциллограф» в

комплекте с инструкцией по эксплуа-

тации.

Более подробно ознакомиться с

ассортиментом продукции компании

«Мастер Кит» можно с помощью ката-

лога или на сайте www.masterkit.ru, где

представлено много полезной инфор-

мации по электронным наборам, бло-

кам и модулям «Мастер Кит», а также

приведены адреса магазинов.

ЭЛЕКТРОННЫЕ КОНСТРУКТОРЫ «ЧУДО-КИТ» ДЛЯ ДЕТСКОГО ТЕХНИЧЕСКОГО

ТВОРЧЕСТВА. ПРОБУДИТЕ НОВЫЕ ТАЛАНТЫ В ВАШЕМ РЕБЕНКЕ!

Электронные наборы для детского конструирования очень полезны в плане развития ребенка, они помогают детям

познать и понять окружающий мир, получить представление о принципах построения и работы элементарных электрон-

ных систем и приборов.

Кроме того, занятия с набором развивают логическое и абстрактное мышление у детей, дают возможность эксперимен-

тировать, открывать для себя нечто новое.

Из электронных конструкторов «Чудо-кит» ребенок соберет большое количество разных устройств: электрический

звонок, сигнализацию, радиоприемник, музыкальные приборы, машины на солнечной батарее и много других увлекатель-

ных схем.

Электронные конструкторы серии «Чудо-Кит» предназначены для детей от 4 до 14 лет и выпускаются в четырех вари-

антах. В зависимости от модели, наборы позволяют собрать от 35 до почти 10 тыс. схем.

Каждый электронный конструктор «Чудо-Кит» снабжен брошюрой-инструкцией со схемами и описаниями. Простота

соединений, не требующих пайки, и ясность описания позволят ребенку собирать сложные схемы, а многообразие

прилагаемых элементов позволит даже умудренному в электронике человеку создать что-то новое и воплотить идею в

реальную жизнь.

Page 76: Электронные компоненты №1_2010
Page 77: Электронные компоненты №1_2010

ПО

СЛ

Е Р

АБ

ОТ

Ы

75

Электронные компоненты №1 2010

В статье приведены основные способы сопряжения внешних устройств с компьютером. Дано краткое описание каждого интерфейса, указаны его сильные и слабые стороны.

Как подключить внешнее

устройство к ПККЛЕМЕНС ВАЛЕНС (CLEMENS VALENS), журнал Elektor

Для многих проектов необходимо

подключать периферийное устройство

к ноутбуку или компьютеру (ПК). Раньше

это реализовывалось с помощью после-

довательного или параллельного интер-

фейса, однако они на многих современ-

ных ПК заменены портом USB.

Если присмотреться вниматель-

нее, то окажется, что USB — не един-

ственный вариант для подключения

устройств к ПК. Есть множество других

разъемов, например S/PDIF, аудиовхо-

ды, Ethernet, сокет для модема, FireWire,

а также беспроводные интерфейсы

Wi-Fi, Bluetooth, IrDA.

Каждый их них имеет свои преимуще-

ства и недостатки. От выбора порта зави-

сит сложность не только аппаратной, но

и программной части устройства сопря-

жения. Также важна желаемая скорость

передачи данных  — чем она выше, тем

более продуманной должна быть схема

подключения устройства к ПК.

Рассмотрим основные особенности

наиболее распространенных интер-

фейсов.

АСИНХРОННЫЙ

ПОСЛЕДОВАТЕЛЬНЫЙ ПОРТ

Вероятно, наиболее простой спо-

соб подключить внешнее устройство к

ПК — это использовать последователь-

ный порт. Он хорош тем, что полностью

интегрирован в операционную систе-

му (ОС) и для подключения устройства

обычно требуется всего лишь подсоеди-

нить три провода. Кроме того, протокол

обмена довольно прост для понимания.

Немаловажным фактом является то, что

существует множество документации

и программных средств, облегчающих

работу с последовательным портом.

Следует заметить, что многие микро-

контроллеры имеют один или более

встроенный последовательный интер-

фейс (UART), совместимый с интерфей-

сом ПК.

К сожалению, на многих современных

ПК последовательного порта как тако-

вого нет. Однако при необходимости

можно воспользоваться переходниками

с последовательного порта на Ethernet

или USB, изображенными на рисунках

1 и 2. Эти небольшие схемы просты в

использовании и не требуют допол-

нительных драйверов. Переходники с

Рис. 1. Переходник UM232R с последовательного порта на USB (производитель — FTDI)

Рис. 2. Переходник NE-4110 с последовательного порта на Ethernet (производитель — Moxa)

последовательного порта на Ethernet

(последовательный сервер) более слож-

ные и дорогие, однако обладают более

широким функционалом. Например,

последовательные серверы обеспечи-

вают электрическую изоляцию сигналов,

поэтому на одной схеме можно реали-

зовать несколько разных интерфейсов,

в т.ч. беспроводных.

Еще один вариант реализации после-

довательного порта — через Bluetooth.

Этот способ немного сложнее предыду-

щих, однако поскольку сигнал пере-

дается по беспроводному каналу, его

защищенность повышается.

Если ПК не оснащен встроенным

передатчиком Bluetooth, то можно

использовать внешний USB-адаптер.

Заметим, что при этом на подклю-

чаемом устройстве необходимо раз-

местить только модуль Bluetooth

на последовательном выходе МК. В

программную часть придется внести

дополнения, реализующие соединение

по протоколу Bluetooth.

Итак, последовательный порт —

самый легкий в использовании, даже в

случае подключения через переходник.

Тем не менее у последовательных пор-

тов есть большой недостаток — невы-

сокая скорость работы.

Если в проекте не требуется пере-

сылки большого объема данных или

команд, то на это можно не обращать

внимание. При больших скоростях

обмена лучше воспользоваться альтер-

нативными портами.

ПАРАЛЛЕЛЬНЫЙ ПОРТ

Как и последовательный, параллель-

ный порт сейчас не существует в чистом

виде. Не получили широкого распро-

странения и переходники с параллель-

ного порта на USB. На ПК обычно есть

порты расширения, которые позволяют

реализовать параллельную передачу

данных, однако она будет отличаться от

двустороннего обмена по классическо-

му параллельному интерфейсу. Кроме

того, существует не так много руко-

водств для работы с этим портом, что

создает дополнительные сложности.

Для передачи большого количества

данных лучше использовать USB, Ethernet

FireWire, звуковую карту или плату рас-

ширения. Преимущество FireWire и

USB заключается в том, что драйверы

для их работы уже установлены на ПК.

Например, для USB-устройств необходи-

мо только правильно назначить класс.

Однако в некоторых случаях это не так

легко сделать, поскольку требуется вне-

сение изменений в программную часть.

Хорошая альтернатива — Ethernet.

Подключить устройство к порту легко,

нужен лишь простой контроллер

(от Realtek, National Semiconductor,

Microchip и др.).

Для работы через интерфейс

Ethernet требуется микроконтроллер

с большим набором ресурсов, осо-

бенно ОЗУ. Программирование также

несколько усложняется. Однако все эти

проблемы подробно описаны во мно-

гих доступных источниках, что сводит к

минимуму усилия разработчика.

ЗВУКОВАЯ КАРТА

Звуковая карта обеспечивает

дуплексный обмен и имеет несколько

каналов (обычно 2 или 6).

Самое важное достоинство звуко-

вой карты перед рассмотренными нами

интерфейсами — аналоговая форма

Page 78: Электронные компоненты №1_2010

76

ПО

СЛ

Е Р

АБ

ОТ

Ы

WWW.ELCP.RU

сигнала и возможность работы с напря-

жением. Этот порт очень удобен для

работы с устройствами, не содержащи-

ми микроконтроллер.

С помощью звуковой карты можно

эмулировать последовательные про-

токолы.

Входы карты позволяют считывать

напряжение, причем даже небольшое,

если использовать вход микрофона.

Среди недостатков работы со звуко-

вой картой можно назвать низкий уро-

вень выходных сигналов. Их необходимо

дополнительно усиливать. Кроме того,

не все виды звуковых карт поддержи-

вают работу с постоянными сигналами.

Также при выборе этого способа сопря-

жения необходимо удостовериться, что

диапазона частот карты хватает.

PS/2

Синхронный двунаправленный

порт PS/2 может использоваться как

для управления внешним устройством,

так и для считывания данных с него.

Протокол обмена предельно прост,

однако его гораздо удобнее реализо-

вывать аппаратно через выход SPI МК, а

не программно.

По умолчанию компьютер распознает

данные с порта PS/2 как сигнал с кла-

виатуры или мыши. Следовательно, для

работы с устройством необходимо поль-

зоваться мышью или клавиатурой либо,

наоборот, отсылая соответствующие

команды с внешнего устройства, можно

управлять курсором или печатать. Эта

особенность позволяет сделать доволь-

но много оригинальных устройств.

IrDA

Инфракрасный (ИК) порт может быть

использован, например, для связи ПК

с мобильным телефоном. Это актуаль-

но в первую очередь для старых ПК,

поскольку в более современных этот

порт отсутствует (хотя можно использо-

вать внешний USB-адаптер). С появле-

нием таких протоколов как IrSimple или

Giga-IR скорость обмена по ИК-порту

значительно увеличилась, поэтому

интерес к нему возвращается.

Протокол обмена по IrDA довольно

сложен, поэтому 8-разрядные МК не

могут с ним работать.

Достоинства интерфейса IrDA заклю-

чаются в надежности связи и защищен-

ности сигнала. Недостатком является

необходимость работы в условиях пря-

Рис. 3. Карта FOX LX832 (Acme Systems)

мой видимости. К тому же, IrDA обеспе-

чивает только полудуплексную пере-

дачу.

ЗАКЛЮЧЕНИЕ

Мы рассмотрели основные спосо-

бы подключения внешнего устрой-

ства к ПК, однако не упомянули глав-

ного. Зачастую устройство можно

сделать автономным, то есть избавить-

ся от необходимости сопряжения с ПК.

В настоящее время выпущено множе-

ство миниатюрных процессорных карт

(или SBC — одноплатный компьютер)

со встроенными портами ввода-вывода

и поддерживающих работу с Windows

CE или Linux. На рисунке 3 приведен

внешний вид одноплатного компьюте-

ра. Основное назначение этих карт —

управление небольшими устройствами.

Таким образом, в большинстве случа-

ев проще и выгоднее воспользоваться

подобной картой, настройка которой

не займет более 5 мин, чем долго раз-

бираться в программировании того

или иного порта.

По всем вопросам сотрудничества с

Elektor обращайтесь к Антону Денисову

по электронной почте [email protected]

или тел.: (495) 741-77-01. Оформить бес-

платную подписку на новосную рассыл-

ку от издания Elektor можно на сайте

www.elektor.com.

Обзор журнала Elektor №1/2010

Первый в этом году номер Elektor посвящен стандарту USB: рассмотрены основные его особенности, достоинства и недостатки, по сравнению с другими интерфейсами. Уделяется большое внимание работе с USB-микроконтроллерами.

Среди интересных проектов номера можно назвать USB-индикатор загрузки ЦП компьютера, логический анализатор на основе ATM18, автоматическая элек-тронная система контроля на основе ПЛМ MIAС, регулятор света для галогенных ламп или ламп накаливания, электронный термометр, а также несколько неболь-ших проектов на основе светодиодов, в том числе приводится метод использова-ния светодиода в качестве фотодетектора.

В статье «Мой первый AVR-USB-проект» дано подробное описание разработки устройств на основе USB-микроконтроллера AT90USB1287 компании Atmel. Статья рассчитана на новичков в проектировании цифровых устройств.

Вниманию более опытных радиолюбителей предлагается статья, в которой изложен способ проведения преобразования Фурье с помощью стандартного ПО (LTSpice и Microsoft Excel). Далее, дается сравнительный обзор шин и интерфейсов связи устройств (CAN, I2C, FieldBus, Profi Bus и др.).

Кроме того, в номере приведены советы по повышению качества изготов-ления печатных плат в домашних условиях, а также рекомендации по монтажу миниатюрных компонентов. И, как всегда, новости индустрии, анонсы ключевых тематических мероприятий года и обзор новинок на рынке.

В ЛАБОРАТОРИИ ELEKTOR

Поскольку Elektor является независимым изданием, то инженеры могут использовать любые компоненты для своих раз-

работок, не ограничиваясь одним или несколькими специализированными производителями.

С одной стороны, это дает полную свободу творчества, а с другой — ставит перед проблемой выбора программаторов

для прошивки схем. Как инженеры из Elektor решили эту задачу?

Как оказалось, в лабораториях Elektor применяются универсальные программаторы BeeProg+ словацкого произво-

дителя Elnec, поддерживающие работу с более чем 45 тыс. ИС.

Среди них как самые старые EPROM 2708, так и современные FPGA IGLOO. Кроме того, BeeProg+ имеет функцию тести-

рования логических ИС (серии 54/74 S/LS/ALS//H/HC/HCT, 4000 и 4500) и статических ОЗУ (от 6116 до 624000). Помимо

стандартных шаблонов тестирования пользователь может использовать собственные.

По словам главного инженера Elektor Яна Виссера, основными особенностями этих программаторов являются много-

функциональность, удобное ПО, возможность обновления, возможность программирования по двум интерфейсам (ISP

и ZIF), большой выбор адаптеров для различных типов корпусов ИС, включая самые редкие (например, QFP256 или

FBGA484).

Программатор имеет три выхода программирования с встроенными ЦАП, порт USB 2.0 (скорость обмена до

480 Мбит/с) и параллельный вход IEEE1284 (скорость обмена до 8 Мбит/с).

При работе с ZIF-портом выходной сигнал имеет либо аналоговую форму (1,8…26 В), либо соответствует одному из пяти

возможных уровней TTL (высокий, низкий, с подтягиванием вверх, с подтягиванием вниз, тактовый). Предусмотрена защита

от скачков тока и нестабильности напряжения питания.

Page 79: Электронные компоненты №1_2010

77

Электронные компоненты №1 2010

Новые компоненты на российском рынке

АНАЛОГОВЫЕ КОМПОНЕНТЫ

УПЧ с широким динами-ческим диапазоном от Analog Devices

Компания Analog Devices анонсировала

выпуск новых усилителей промежуточной частоты (УПЧ) ADL5535 и ADL5536. Это универсальные усилители с широ-ким динамическим диапазоном с лучшей в своем классе линейностью и низким уровнем шума.

Усилители имеют широкую полосу рабочих частот 20 МГц…1 ГГц и идеально подходят для таких широкополос-ных приложений как:

– усилители кабельных сетей телевидения;– измерительное оборудование;– радиопередающие и приемные системы связи различ-

ного назначения.ADL5535 имеет фиксированное усиление 15 дБм с пло-

ской характеристикой во всем диапазоне рабочих частот. Коэффициент шума составляет всего 3,3 дБ, а точка интер-модуляции третьего порядка по выходу составляет 47,6 дБм на частоте 190 МГц.

ADL5536 также имеет плоскую характеристику усиления во всей полосе рабочих частот и обеспечивает усиление 20 дБм. Коэффициент шума составляет всего 2,8 дБ, а точка интермодуляции третьего порядка по выходу достигает зна-чения 46,5 дБм на частоте 380 МГц.

Вход и выход обоих усилителей имеет встроенное согла-сующее сопротивление 50 Ом, что позволяет исключить внешние согласующие элементы. Для работы в составе схемы требуются всего несколько конденсаторов и дрос-сель в цепи питания.

Микросхемы стабильны во всем диапазоне рабочих тем-ператур, напряжения питания, имеют защиту от воздействия статического электричества до 1 кВ.

Номинальное напряжение питания усилителей состав-ляет 5 В, а ток потребления достигает значений всего 97 и 100 мА. Микросхемы выпускаются в корпусе SOT-89 для работы в диапазоне температур –40…85°С.

Микросхемы доступны в образцах. Серийное производ-ство запланировано весной 2010 г. Предлагаются отладоч-ные платы ADL5535-EVALZ.

Analog Devices Inc.

www.analog.com

Дополнительная информация:см. «Элтех», ЗАО

АЦП/ЦАП

Многоканальные микро-миниатюрные АЦП от Analog Devices

Компания Analog Devices Inc. приступила

к серийному выпуску микроминиатюрных АЦП AD7991, AD7995, AD7999.

Микросхемы представляют собой 12/10/8-разрядные маломощные АЦП последовательного приближения со временем преобразования 1 мкс. Встроенный мультиплек-сор позволяет подавать 4 различных внешних сигнала на усилитель выборки/хранения с частотами до 14 МГц. Микросхемы AD7991 и AD7995 выпускаются в двух версиях с различными адресами (AD7999 в одной версии) с интер-фейсом I2C, что позволяет подключать две микросхемы к одной шине.

Когда используются все четыре входа, напряжение питания аналоговой части микросхемы используется как опорное. Внешний источник опорного напряжения реко-мендуется подключать к входам 3 и 4. Напряжение питания микросхем составляет 2,7…5,5 В.

Основные области применения: портативная и стацио-нарная измерительная техника, медицинская техника, систе-мы сбора данных.

Основные особенности:– четыре канала (три с внешним источником опорного

напряжения);– I2C-интерфейс;– автоматический переход в «спящий» режим;– возможность использования напряжения питания как

опорного;– корпус 8-SOT-23 (−40…125°C).Микросхемы доступны как в образцах, так и для серий-

ного производства. Analog Devices Inc.

www.analog.com

Дополнительная информация:см. «Элтех», ЗАО

БЕСПРОВОДНЫЕ ТЕХНОЛОГИИ

Новый миниатюрный GSM/GPRS-модуль от Simcom

Компания Simcom — известный китайский разработчик беспроводных GSM/GPRS/EDGE модулей — представляет новый ультракомпактный и надеж-ный беспроводной модуль SIM900.

SIM900 — это 4-диапазонный GSM/GPRS-модуль, пер-вый представитель нового семейства беспроводных модулей на базе процессора ARM926EJ-S. По сравнению с существующими GSM-модулями на базе процессора ARM7, SIM900 обладает удвоенной производительностью и на 40% меньшим энергопотреблением в «спящем» режиме. Благодаря миниатюрному размеру модуля — всего 24×24×3 мм — и промышленному исполнению он является идеальным решением для большинства М2М-применений, особенно тех, где важны миниатюрные раз-меры.

Основные особенности:– четыре диапазона GSM 850/900/1800/1900 МГц;– класс передачи данных GPRS multi-slot class 10/8;– соответствие стандарту GSM фазы 2/2+;– класс мощности 4 (2 Вт в диапазонах 850/900 МГц);– класс мощности 1 (1 Вт в диапазонах 1800/1900 МГц);– размеры: 24×24×3 мм;

Page 80: Электронные компоненты №1_2010

78

WWW.ELCP.RU

– масса: 7 г;– управление AT-командами (GSM 07.07 ,07.05 и фирмен-

ные AT-команды Simcom);– встроенный стек TCP/IP;– напряжение питания 3,4…4,5 В; – температурный диапазон: –30…80°C.Simcom

www.sim.com

Дополнительная информация:см. «Макро Групп», ЗАО

ГЕНЕРАТОРЫ И СИНТЕЗАТОРЫ СИГНАЛОВ

Компания RF Microdevices расширяет линейку моно-литных ГУНов

Компания RF Micro de-vices (RFMD) — известный мировой разработчик и производитель высоко-частотных полупрово-

дниковых компонентов — объявила о расширении линейки генераторов ВЧ-сигнала, управляемых напряжением, выпол-ненных по монолитной интегральной технологии GaAs InGaP HBT.

Как известно, RFMD уже имеет в своей линейке серию гибридных ГУНов и синтезаторов с чрезвычайно низкими фазовыми шумами, доставшуюся по наследству от компании UMC после ее приобретения.

Новые микросхемы дополняют стартовавшего ранее «первенца» серии — модель RFVC1800 — в принципиально новом для производителя направлении MMIC VCOs. Все микросхемы выполнены в недорогих корпусах QFN 4×4 мм с малым уровнем паразитных шумов.

Основные характеристики приведены в таблице.

ПозицияЧастотный диапазон,

ГГц

Уровень выход-ной мощности,

дБм

Напря-жение

питания, В

Подавление второй гар-моники, дБ

Подавление третьей гар-моники, дБ

RFVC1821 4,45…5,0 3,5

3

–7 –22

RFVC1822 5,0…5,5 3 –10 –39

RFVC1823 6,1…6,75 2 –7 –35

RFVC1824 7,2…8,05

–21 –33

RFVC1825 7,8…8,7 –25 –35

RFVC1829 6,8…7,4 7 –22 –27

Основные области применения:– радары широкого спектра применения;– метрология;– широкополосные системы связи «точка-точка»;– тестовое оборудование.В ближайшее время RFMD планирует расширять данную

линейку за счет покрытия новых диапазонов частот и одно-временно повышать степень интеграции уже существующих в серии микросхем путем добавления на кристалл дополни-тельных усилителей.

RF Microdevices

www.rfmd.com

Дополнительная информация:см. «Макро Групп», ЗАО

Синтезатор частоты с ФАПЧ и прямой модуляцией сигнала от Analog Devices

Компания Analog Devices Inc. предлагает ADF4158 — новый синтезатор частоты с ФАПЧ и дробным коэффициен-том деления с возможностью прямой модуляции сигнала в диапазоне частот до 6 ГГц.

Микросхема содержит встроенный 25-разрядный дели-тель частоты, позволяющий получать сетку частот с шагом менее 1 Гц, малошумящий цифровой частотно-фазовый детектор, прецизионную схему подкачки заряда и програм-мируемый делитель опорной частоты.

Синтезатор может производить частотную или фазовую модуляцию сигнала, а также однократное и постоянное качание по частоте по пилообразному или треугольному закону. Управление и контроль работы микросхемы осу-ществляется по шине SPI.

Основное назначение синтезатора — измерительное оборудование, а также радары на основе непрерывного ЧМ-сигнала для определения скорости и расстояния до цели (автомобильные радары).

Краткие основные характеристики:– диапазон рабочих частот: 0,5…6,0 ГГц;– частота опорного сигнала: 10…250 МГц;– нормализованный фазовый шум: –207 дБн ;– фазовый шум: –87 дБн (на частоте 5800 МГц,

fREFIN = 100 МГц; fPFD = 25 МГц; foff set = 2 кГц; N = 232; loop bandwidth = 20 кГц);

– напряжение питания: 2,7…3,3 В;– ток потребления: 32 мА (макс.);– диапазон рабочих температур: –40…125°С

(ADF4158YCPZ);– тип корпуса: 24-LFCSP.Микросхемы доступны в образцах. Серийное производ-

ство запланировано на II кв. 2010 г.Analog Devices Inc.

www.analog.com

Дополнительная информация:см. «Элтех», ЗАО

ДАТЧИКИ

Новый демонстрацион-ный набор от Microchip для создания сенсорных интерфейсов на индук-тивных датчиках

Компания Microchip анонсировала демон-страционный набор

PICDEM™ Inductive Touch Development Kit (DM183027), кото-рый предназначен для упрощения разработки индуктивных сенсорных интерфейсов на базе 8-, 16- и 32-разрядных PIC®-микроконтроллеров и цифровых сигнальных контроллеров dsPIC®. Набор представляет собой полностью законченное решение для сенсорного интерфейса на индуктивных дат-чиках с металлической передней панелью и тесненными кнопками. В состав набора также входят полный исходный код, схемотехника и утилита для диагностики и оценки воз-можностей данного решения. Демонстрационный набор доступен для заказов.

Индуктивные сенсоры позволяют обрабатывать касания через лицевую панель из пластика, стали, алюминия, что

Page 81: Электронные компоненты №1_2010

79

Электронные компоненты №1 2010

позволяет реализовать полностью герметичное устройство, которое может работать в контакте с водой и допускает работу в перчатках. Наиболее востребованы индуктивные сенсорные интерфейсы на рынке бытовой электроники за счет использования стальной лицевой панели, в промыш-ленности за счет высокой надежности и в автомобильных приложениях за счет эстетических качеств технологии и возможности снижения вероятности случайных ложных срабатываний.

Набор PICDEM Inductive Touch Development Kit дополнил сенсорные решения mTouch™, включающие емкостные и аналоговые резистивные интерфейсы. Более подробную информацию см. на сайте Microchip в специальном разделе Online Touch Sensing Design Center или www.microchip.com/get/40108537650463.

Microchip Technology

www.microchip.com

Дополнительная информация:см. Microchip Technology

КВАРЦЕВЫЕ ПРИБОРЫ СТАБИЛИЗАЦИИ ЧАСТОТЫ

Новая серия кварцевых генераторов Rakon для GPS/ГЛОНАСС-приложений

Компания Rakon — один из ведущих мировых разработчиков кварцевых генера-торов — приступила к серийному производ-ству новой серии кварцевых генераторов I(V)T2200MT с выделенным аналоговым темпе-ратурным сенсором.

Микросхемы имеют аналоговую схему термокомпен-сации, а также вывод на корпусе с напряжением, пропор-циональным температуре кристалла. Кроме этого, серия IT2200MT снабжена функцией Power Down для эффективно-го управления питанием.

Использование выделенного температурного сенсора и внешнего вывода аналогового напряжения обеспечи-вает более оперативный контроль температуры кварца. Возможность вносить коррекцию частоты на основе показания сенсора минимизирует ошибку ее установки в зависимости от температуры до уровня ±0,15 ppm. Это позволяет использовать микросхему в персональных навигационных устройствах PND в условиях быстро изме-няющейся температуры окружающей среды, например при переносе устройства из помещения либо автомобиля на улицу. В данном случае температурный вывод позво-ляет, помимо уже встроенной схемы термокомпенсации, вводить коррекцию частоты генератора внешней цепью приемника.

Основные характеристики:– диапазон частот, реализуемых на данном генераторе:

10...40 МГц;– электрическая подстройка частоты: ±1,0 ppm;– рабочий температурный диапазон: –40…85˚C; – размер корпуса: 2,5×2,0×0,9 мм;– напряжение питания: 2,7…3,3 В;– диапазон напряжения вывода температурного сенсо-

ра: 0,75…0,85 В.В планах компании Rakon на 2010 г. разработка кварцево-

го генератора с цифровым температурным выводом, кото-рый должен значительно упростить интерфейс генератора с GPS/ГЛОНАСС-чипом.

Rakon

www.rakon.com

Дополнительная информация:см. «Макро Групп», ЗАО

ОПТОЭЛЕК ТРОНИКА

Мощные светодиоды Everlight серии ELAC с питанием от сети пере-менного тока

При разработке и внедрении светодиодных световых приборов зача-стую приходится решать задачи энергообеспе-

чения мощных светодиодов, в подавляющем большинстве случаев работающих от источников питания постоянного тока. Спектр вопросов, требующих технически грамотного решения при этом, весьма широк — от выбора оптимально-го блока питания до разработки собственного стабилизиро-ванного источника на базе специализированных драйверов.

Новый класс продукции компании Everlight — мощные светодиоды серии ELAC — призван упростить решение этих задач. Светодиоды серии ELAC питаются напрямую от переменного тока напряжением 220 или 110 В. Типовая мощность светодиодов составляет 1…4 Вт, что в сочетании с компактным планарным корпусом позволяет создавать малогабаритные сверхъяркие источники света, идеально подходящие для внешнего и внутреннего архитектурного освещения, декоративной, сценической и ландшафтной подсветки.

Мощные светодиоды данной серии найдут применение во многих других светодиодных решениях, требующих эффективного использования стандартной сети переменно-го тока.

Everlight Electonics

www.everlight-electronics.ru

Дополнительная информация:см. «Политекс», ООО

Новое поколение сверхъ-ярких светодиодов Everlight серии 45-21

Компания Everlight представила новое поколение сверхъярких светодиодов серии 45-21, которые обеспечивают передовые технические

характеристики для светодиодных систем в своем классе. Светодиоды серии 45-21 сочетают такие характеристики как компактность, мощность и однородность свечения, что позволяет с успехом использовать их в перспективных раз-работках новых поколений световых решений.

При компактных габаритах SMD-корпуса (3×2×1,3 мм) и малой потребляемой мощности в 110 мВт светодиоды обеспечивают до 2850 мкд светового потока. Рабочий температурный диапазон –40…85°С и герметичная виброу-стойчивая конструкция позволяют применять светодио-ды серии 45-21 в весьма широком спектре приложений. Широкий угол свечения и низковольтное питание светоди-одов идеально подходят для их использования в сверхком-пактных световых источниках, LCD-подсветке и мобильных приложениях.

Everlight Electonics

www.everlight-electronics.ru

Дополнительная информация:см. «Политекс», ООО

Драйвер светодиодов Macroblock c питанием от сети переменного тока

Типовой задачей энерго-обеспечения светодиодных приборов является создание стабилизированного источ-

Page 82: Электронные компоненты №1_2010

80

WWW.ELCP.RU

ника тока с входным питанием от однофазной сети. Для этого компанией Macroblock разработан драйвер MBI6901, представляющий собой компактную микросхему, которая позволяет при использовании минимального числа внеш-них компонентов создать достаточно мощный и компактный бестрансформаторный блок питания.

Широкий диапазон питания 85…265 В делает прибор устойчивым к перепадам входного напряжения, а выход-ной ток до 1 A обеспечивает бесперебойную работу самых мощных светодиодов и модулей в широком диапазоне температур –40…85°С. Точная и быстрая стабилизация выходного тока гарантирует оптимальный рабочий режим эксплуатации световых источников, продлевая их срок службы.

Драйвер MBI6901 содержит встроенные схемы защиты по обрыву цепи и контроля рабочего напряжения и темпе-ратуры, что, в сочетании с другими параметрами, позволяет рассматривать этот прибор как оптимальное решение для широкого класса задач надежного энергообеспечения све-тодиодных систем освещения.

Macroblock Inc.

www.macroblock.ru

Дополнительная информация:см. «Политекс», ООО

ЭЛЕК ТРОПРИВОД

Новые отладочные платы для разработки систем управления двигателями от Microchip

Компания Microchip анонсировала две новые недорогие отладочные системы для управления

двигателями. Обе платы построены на базе цифровых сигнальных контроллеров dsPIC33F семейства MC, обла-дающего рядом дополнительных возможностей для управ-ления двигателями. Также Microchip предоставляет три бесплатных документа с методическими указаниями по созданию максимально эффективных систем управления двигателями.

Плата dsPICDEM MCHV Development System — единст-венная в отрасли, позволяющая быстро оценить и спро-ектировать высоковольтные системы с обратной связью с использованием асинхронных двигателей переменного тока (ACIM), бесщеточных двигателей (BLDC) или синхрон-ных двигателей на постоянных магнитах (PMSM). На плате интегрирован внутрисхемный отладчик, что позволяет ознакомиться и начать работать с контроллерами мотор-ного семейства dsPIC33 без отдельного внутрисхемного отладчика.

Плата dsPICDEM MCSM Development Board — наиболее доступное средство для изучения основных принципов работы с униполярными и двухполюсными шаговыми двигателями. Данная плата позволяет быстро освоить как простое управление двигателями без обратной связи, так и с обратной связью по току на базе моторного семейства контроллеров dsPIC33. Данное отладочное средство также снабжается наглядным графическим интерфейсом для диа-гностики и более точной настройки функций управления двигателями.

С помощью методических указаний, ПО с открытым исходным кодом, бесплатной среды разработки MPLAB® IDE, включающей интерфейс управления и мониторинга данными (DMCI), инженеры могут быстро подобрать и оценить оптимальные параметры для построения системы управления различных типов двигателей. Также Microchip предлагает бесплатные программную библиотеку высоко-эффективного векторного управления (FOC) и библиотеку для управления шаговыми двигателями для построения

экологически безопасных и высокоскоростных систем управления двигателями.

Microchip Technology

www.microchip.com

Дополнительная информация:см. Microchip Technology

ТЕЛЕКОМ И МУЛЬТИМЕДИА

Новейший гибридный усили-тель мощности от RF Microdevices

Компания RF Microdevices (RFMD) – крупнейший миро-вой производитель радио-частотных и микроволновых

компонентов — представляет гибридный удвоитель мощ-ности D10040230PL1.

Усилитель мощности D10040230PL1 объединяет в себе кристаллы на основе GaAs и GaN pHEMT и обладает пре-красными выходными характеристиками, работая в частот-ном диапазоне 45…1000 МГц.

Особенности микросхемы: высокие параметры линей-ности, низкие значения затухания и уровня шума, высокая надежность.

Основные особенности:– коэффициент усиления 22,5 дБ на частоте 1 ГГц;– потребляемый ток снижен на 20% по сравнению со

стандартными GaAs-модулями удвоителя мощности — до 450 мА;

– высокая линейность;– входное и выходное сопротивление 75 Ом, не требу-

ются согласующие цепи; – низкие нелинейные искажения;– технология GaN, обеспечивающая надежность при

предельных режимах работы;– низкий уровень шума: 4 дБ в диапазоне 50…1000 МГц;– высокая стабильность при любых оконечных устрой-

ствах; – корпус SOT-115J, стандартный для усилительных

устройств CATV.Применение: устройства усиления в системах абонент-

ского телевидения 45…1000 МГц.RF Microdevices

www.rfmd.com

Дополнительная информация:см. «Макро Групп», ЗАО

«Макро Групп», ЗАО196105, С.-Петербург, ул. Свеаборгская, 12Тел.: +7 (812) 370-6070Факс: +7 (812) [email protected], [email protected]

«Политекс», ООО123308, Москва, Хорошевское ш., 43-ВТел./факс: (495) [email protected]. ru

«Элтех», ООО198035, С.- Петербург, ул. Двинская, 10, к. 6АТел.: +7 (812) 635-50-60Факс: +7 (812) [email protected]

Microchip TechnologyТел.: +7 (812) [email protected]

Page 83: Электронные компоненты №1_2010

3 июня 2010 г.

У ЧАС ТНИКИ:

руководители отделов разработки, инженеры, ведущие разработчики.

КО М П А Н И И :

•производители компонентов и разработчики решений для мультимедиа и телекома, сетей и интерфейсов, беспроводных технологий;

•контрактные разработчики.

П Р Е Д В А Р И Т Е Л Ь Н А Я П Р О Г РА М М А КО Н Ф Е Р Е Н Ц И И

09:00—10:00

Регистрация участников. Приветственный чай/кофе. Работа выставки.

10:00—13:00

П Л Е Н А Р Н А Я Ч АС Т Ь

•мировой рынок программно-аппаратных средств мультимедиа и телекома;

•мировой рынок компонентов и решений для беспроводных технологий: продукция компаний – мировых лидеров;

•стандарты МЭК для промышленных сетей;

•построение абонентских сетей;

•обзор современных беспроводных технологий;

•тенденции развития мультимедиа и телекома;

•опыт разработки навигационной аппаратуры ГЛОНАСС. Перспективы развития.

13:00 —14:00

Обед. Работа выставки

14:00 – 18:00

РА Б О ТА С Е К Ц И Й

I. Телеком и мультимедиа (разработчикам оборудования связи, оборудования приема цифрового телевидения, мультимедиа, гаджетов).

II. Беспроводные технологии (разработчикам беспроводных модулей и систем, в том числе ГЛОНАСС).

III. Сети и интерфейсы (разработчикам модулей и компонентов проводных и беспроводных сетей, в том числе промышленных сетей).

18:00 Фуршет

Производителям, поставщикам компонентов и интеграторам мы предлагаем представить свою продукцию, новые технологии, возможности и планы их компаний.

ПЕРВАЯ ВСЕРОССИЙСКАЯ КОНФЕРЕНЦИЯ

За более подробной информацией обращайтесь в оргкомитет Форума.Тел./факс: (495) 741-7701, доб. 2233;

741-7702Контактное лицо: Динара Бараева E-mail: [email protected]

П Е Р Е Д А Ч А Д А Н Н Ы Х

Page 84: Электронные компоненты №1_2010