40
MUTHAYAMMAL COLLEGE OF ENGINEERING Department of Electronics and Communication Engineering Basic Electronics Prepared By, R.Vinoth, M.E., (PhD), MBA, Electronics: The branch of engineering which deals with current conduction through vacuum or gas or semiconductor is known as electronics. Electronics essentially deals with electronic devices and their utilization. Communication: Communication has many different meanings. At one extreme a narrow sense is limited to the media of information; only, at the other, a very wide meaning embraces any means whereby a message passes from one person to another, including face-to-face and even non-verbal communication. The scope of the term was to include anything which might be considered part of national communications, as a resource of the community. Engineering: Engineering is the discipline, art, skill and profession of acquiring and applying scientific, mathematical, economic, social, and practical knowledge. In order to design and build structures, machines, devices, systems, materials and processes that safely realize improvements to the lives of people. Device: Any machine or component that attaches to a computer. Examples of devices include disk drives, printers, mice, and modems. These particular devices fall into the category of peripheral devices because they are separate from the main computer. Most devices, whether peripheral or not, require a program called a device driver that acts as a translator, converting general commands from an application into specific commands that the device understands… Electron: The electrons of different types of atoms have different degrees of freedom to move around. With some types of materials, such as metals, the outermost electrons in the atoms are so loosely bound that they chaotically move in the space between the atoms of that material by nothing more than the influence of room-temperature heat energy. Because these virtually unbound electrons are free to leave their respective atoms and float around in the space between adjacent atoms, they are often called free electrons. Conductors, Insulators: This relative mobility of electrons within a material is known as electric conductivity. Conductivity is determined by the types of atoms in a material (the number of protons in each atom's nucleus, determining its chemical identity) and how the atoms are linked together with one another. Materials with high electron mobility (many free electrons) are called conductors. While materials with low electron mobility (few or no free electrons) are called insulators.

Basic electronics by r.vinoth rasipuram

Embed Size (px)

Citation preview

Page 1: Basic electronics by r.vinoth rasipuram

MUTHAYAMMAL COLLEGE OF ENGINEERING

Department of Electronics and Communication Engineering Basic Electronics

Prepared By, R.Vinoth, M.E., (PhD), MBA,

Electronics: � The branch of engineering which deals with current conduction through vacuum or gas or

semiconductor is known as electronics.

� Electronics essentially deals with electronic devices and their utilization.

Communication: � Communication has many different meanings.

� At one extreme a narrow sense is limited to the media of information; only, at the other,

a very wide meaning embraces any means whereby a message passes from one person to

another, including face-to-face and even non-verbal communication.

� The scope of the term was to include anything which might be considered part of national

communications, as a resource of the community.

Engineering: � Engineering is the discipline, art, skill and profession of acquiring and applying

scientific, mathematical, economic, social, and practical knowledge.

� In order to design and build structures, machines, devices, systems, materials and

processes that safely realize improvements to the lives of people.

Device: � Any machine or component that attaches to a computer.

� Examples of devices include disk drives, printers, mice, and modems.

� These particular devices fall into the category of peripheral devices because they

are separate from the main computer.

� Most devices, whether peripheral or not, require a program called a device driver that

acts as a translator, converting general commands from an application into specific

commands that the device understands…

Electron: � The electrons of different types of atoms have different degrees of freedom to move

around.

� With some types of materials, such as metals, the outermost electrons in the atoms are so

loosely bound that they chaotically move in the space between the atoms of that material by

nothing more than the influence of room-temperature heat energy. � Because these virtually unbound electrons are free to leave their respective atoms and

float around in the space between adjacent atoms, they are often called free electrons.

Conductors, Insulators: � This relative mobility of electrons within a material is known as electric conductivity.

� Conductivity is determined by the types of atoms in a material (the number of protons in

each atom's nucleus, determining its chemical identity) and how the atoms are linked

together with one another. � Materials with high electron mobility (many free electrons) are called conductors. � While materials with low electron mobility (few or no free electrons) are called insulators.

Page 2: Basic electronics by r.vinoth rasipuram

¬ Examples of Conductors:

Silver,Copper,gold,aluminum,iron,steel,brass,bronze,mercury,graphite,di

rty water, concrete

¬ Examples of Inductors:

Glass,rubber,oil,asphalt,fiberglass,porcelain,ceramic,quartz,(dry) cotton,(dry) paper,(dry) wood, plastic, air, diamond, pure water.

Theorems:

� Ohm's Law

¬ When an applied voltage E causes a current I to flow through an impedance Z, the value

of the impedance Z is equal to the voltage E divided by the current I. Impedance = Voltage / Current Z = E / I

¬ Similarly, when a voltage E is applied across an impedance Z, the resulting current

I through the impedance is equal to the voltage E divided by the impedance Z. Current = Voltage / Impedance I = E / Z

¬ Similarly, when a current I is passed through an impedance Z, the resulting voltage drop V

across the impedance is equal to the current I multiplied by the impedance Z. Voltage = Current * Impedance V = IZ

¬ Alternatively, using admittance Y which is the reciprocal of impedance Z:

Voltage = Current / Admittance V = I / Y

� Kirchhoff's Laws ¬ Kirchhoff's Current Law

At any instant the sum of all the currents flowing into any circuit node is equal to the sum

of all the currents flowing out of that node: SIin = SIout Similarly, at any instant the algebraic sum of all the currents at any circuit node is zero: SI = 0

¬ Kirchhoff's Voltage Law

At any instant the sum of all the voltage sources in any closed circuit is equal to the sum of all the voltage drops in that circuit: SE = SIZ Similarly, at any instant the algebraic sum of all the voltages around any closed circuit is

zero: SE - SIZ = 0 Kirchhoff's voltage law states: "The algebraic sum of the voltage drops in any closed path

in a circuit and the electromotive forces in that path is equal to zero."

To state Kirchhoff's law another way, the voltage drops and voltage sources in a

circuit are equal at any given moment in time. If the voltage sources are assumed to have

one sign (positive or negative) at that instant and the voltage drops are assumed to have the

opposite sign, the result of adding the voltage sources and voltage drops will be zero.

NOTE: The terms electromotive force and emf are used when explaining

Kirchhoff's law because Kirchhoff's law is used in alternating current circuits (covered in

Module 2). In applying Kirchhoff's law to direct current circuits, the terms electromotive

force and emf apply to voltage sources such as batteries or power supplies.

Through the use of Kirchhoff's law, circuit problems can be solved which would

be difficult, and often impossible, with knowledge of Ohm's law alone. When Kirchhoff's

law is properly applied, an equation can be set up for a closed loop and the unknown circuit

values can be calculated.

Page 3: Basic electronics by r.vinoth rasipuram

� Thevenin's Theorem

¬ Any linear voltage network which may be viewed from two terminals can be replaced by a

voltage-source equivalent circuit comprising a single voltage source E and a single series

impedance Z.

¬ The voltage E is the open-circuit voltage between the two terminals and the impedance Z is

the impedance of the network viewed from the terminals with all voltage sources replaced

by their internal impedances.

¬ Thevenin's Theorem says you can simplify any linear circuit, regardless of complexity, to

an equivalent circuit with a single voltage source and series resistance connected to a load.

¬ As in the Superposition Theorem, it must be linear.

¬ In other words, passive components such as resistors, inductors and capacitors are okay.

¬ Non-linear components such as semiconductors do not fall under this theorem.

� Norton's Theorem

¬ Any linear current network which may be viewed from two terminals can be replaced by a

current-source equivalent circuit comprising a single current source I and a single shunt

admittance Y.

¬ The current I is the short-circuit current between the two terminals and the admittance Y is

the admittance of the network viewed from the terminals with all current sources replaced

by their internal admittances.

¬ Norton's Theorem states that it is possible to simplify any linear circuit, no matter how

complex, to an equivalent circuit with just a single current source and parallel resistance

connected to a load.

¬ Just as with Thevenin's Theorem, the qualification of “linear” is identical to that found in

the Superposition Theorem: all underlying equations must be linear (no exponents or

roots).

� Thevenin’s and Norton Equivalence The open circuit, short circuit and load conditions of the Thevenin’s model are:

Voc = E, Isc = E / Z, Vload = E - IloadZ, Iload = E / (Z + Zload) The open circuit, short circuit and load conditions of the Norton model are:

Voc = I / Y, Isc = I, Vload = I / (Y + Yload), Iload = I - VloadY Thevenin model from Norton model

Voltage = Current / Admittance E = I / Y

Impedance = 1 / Admittance Z = Y -1

Norton model from Thevenin’s model Current = Voltage / Impedance I = E / Z

Admittance = 1 / Impedance Y = Z -1

When performing network reduction for a Thevenin’s or Norton model, note that: nodes with zero voltage difference may be short-circuited with no effect on the network current distribution, - branches carrying zero current may be open-circuited with no effect on the network voltage

distribution.

� Superposition Theorem

¬ In a linear network with multiple voltage sources, the current in any branch is the sum of

the currents which would flow in that branch due to each voltage source acting alone with

all other voltage sources replaced by their internal impedances.

Page 4: Basic electronics by r.vinoth rasipuram

� Reciprocity Theorem

¬ If a voltage source E acting in one branch of a network causes a current I to flow in

another branch of the network, then the same voltage source E acting in the second branch

would cause an identical current I to flow in the first branch.

� Compensation Theorem

¬ If the impedance Z of a branch in a network in which a current I flows is changed by a

finite amount dZ, then the change in the currents in all other branches of the network

may be calculated by inserting a voltage source of -IdZ into that branch with all other

voltage sources replaced by their internal impedances.

� Millman's Theorem (Parallel Generator Theorem) If any number of admittances Y1, Y2, Y3, ... meet at a common point P, and the voltages from

another point N to the free ends of these admittances are E1, E2, E3, ... then the voltage between points P and N is: VPN = (E1Y1 + E2Y2 + E3Y3 + ...) / (Y1 + Y2 + Y3 + ...) VPN = SEY / SY

The short-circuit currents available between points P and N due to each of the voltages E1, E2, E3, ... acting through the respective admitances Y1, Y2, Y3, ... are E1Y1, E2Y2, E3Y3, ... so the voltage between points P and N may be expressed as:

VPN = SIsc / SY

� Joule's Law

¬ When a current I is passed through a resistance R, the resulting power P dissipated in

the resistance is equal to the square of the current I multiplied by the resistance R:

P = I2R

By substitution using Ohm's Law for the corresponding voltage drop V (= IR) across the resistance:

P = V2 / R = VI = I

2R

� Maximum Power Transfer Theorem

¬ When the impedance of a load connected to a power source is varied from open-circuit to

short-circuit, the power absorbed by the load has a maximum value at a load impedance

which is dependent on the impedance of the power source. Note that power is zero for an open-circuit (zero current) and for a short-circuit (zero voltage).

¬ Voltage Source

When a load resistance RT is connected to a voltage source ES with series resistance

RS, maximum power transfer to the load occurs when RT is equal to RS. Under maximum power transfer conditions, the load resistance RT, load voltage VT, load current IT and load power PT are:

RT = RS

VT = ES / 2 IT = VT / RT = ES / 2RS

PT = VT2 / RT = ES

2 / 4RS

¬ Current Source

When a load conductance GT is connected to a current source IS with shunt

conductance GS, maximum power transfer to the load occurs when GT is equal to GS. Under maximum power transfer conditions, the load conductance GT, load current IT, load voltage

VT and load power PT are:

Page 5: Basic electronics by r.vinoth rasipuram

GT = GS

IT = IS / 2 VT = IT / GT = IS / 2GS

PT = IT2 / GT = IS

2 / 4GS

¬ Complex Impedances

When a load impedance ZT (comprising variable resistance RT and variable reactance XT)

is connected to an alternating voltage source ES with series impedance ZS (comprising

resistance RS and reactance XS), maximum power transfer to the load occurs when ZT is

equal to ZS* (the complex conjugate of ZS) such that RT and RS are equal and XT and XS

are equal in magnitude but of opposite sign (one inductive and the other capacitive).

When a load impedance ZT (comprising variable resistance RT and constant reactance XT) is

connected to an alternating voltage source ES with series impedance ZS (comprising resistance RS

and reactance XS), maximum power transfer to the load occurs when RT is equal to the magnitude of the impedance comprising ZS in series with XT:

RT = |ZS + XT| = (RS2 + (XS + XT)2)1‚à ö‚à ë2

Note that if XT is zero, maximum power transfer occurs when RT is equal to the magnitude of ZS:

RT = |ZS| = (RS2 + XS

2)1‚à ö‚à ë2

When a load impedance ZT with variable magnitude and constant phase angle (constant power factor)

is connected to an alternating voltage source ES with series impedance ZS, maximum power transfer to the load occurs when the magnitude of ZT is equal to the magnitude of ZS:

(RT2 + XT2)1‚à ö‚à ë2 = |ZT| = |ZS| = (RS2 + XS2)1‚à ö‚à ë2

� Kennelly's Star-Delta Transformation

¬ A star network of three impedances ZAN, ZBN and ZCN connected together at common node

N can be transformed into a delta network of three impedances ZAB, ZBC and ZCA by the

following equations: ZAB = ZAN + ZBN + (ZANZBN / ZCN) = (ZANZBN + ZBNZCN + ZCNZAN) / ZCN

ZBC = ZBN + ZCN + (ZBNZCN / ZAN) = (ZANZBN + ZBNZCN + ZCNZAN) / ZAN

ZCA = ZCN + ZAN + (ZCNZAN / ZBN) = (ZANZBN + ZBNZCN + ZCNZAN) / ZBN Similarly, using admittances:

YAB = YANYBN / (YAN + YBN + YCN) YBC = YBNYCN / (YAN + YBN + YCN) YCA = YCNYAN / (YAN + YBN + YCN) In general terms:

Zdelta = (sum of Zstar pair products) / (opposite Zstar)

Ydelta = (adjacent Ystar pair product) / (sum of Ystar)

� Kennelly's Delta-Star Transformation A delta network of three impedances ZAB, ZBC and ZCA can be transformed into a star network

of three impedances ZAN, ZBN and ZCN connected together at common node N by the following equations:

ZAN = ZCAZAB / (ZAB + ZBC + ZCA) ZBN = ZABZBC / (ZAB + ZBC + ZCA) ZCN = ZBCZCA / (ZAB + ZBC + ZCA) Similarly, using admittances: YAN = YCA + YAB + (YCAYAB / YBC) = (YABYBC + YBCYCA + YCAYAB) / YBC YBN = YAB + YBC + (YABYBC / YCA) = (YABYBC + YBCYCA + YCAYAB) / YCA YCN = YBC + YCA + (YBCYCA / YAB) = (YABYBC + YBCYCA + YCAYAB) / YAB

Page 6: Basic electronics by r.vinoth rasipuram

In general terms:

Zstar = (adjacent Zdelta pair product) / (sum of Zdelta)

Ystar = (sum of Ydelta pair products) / (opposite Ydelta)

� Far aday's Law of Electromagnetic Induction

¬ Faraday's law of electrom agnetic induction deals with the relationship between

changing magnetic flux and induced electromotive force.

¬ It states: The magnitude o f an electromagnetic force induced in a circuit is proportional

to the rate of change of the magnetic flux that cuts across the circuit.

¬ The amount of induced voltage is determined

by: The amount of magnetic flux

The greater the num ber of magnetic field lines cutting across the conductor,

the greater the induced voltage.

The rate at which the magnetic field lines cut across the conductor

The faster the field lines cut across a conductor, or the conductor cu ts across the

field lines, the greater the induced voltage.

� Lenz's Law

¬ The Russian physicist Heinrich Lenz discovered in 1833 the directional relationships

among the forces, voltage s, and currents of electromagnetic induction.

¬ Lenz's law says: An indu ced electromotive force generates a current that induces a

counter magnetic field that opposes the magnetic field generating the current.

¬ Thus, when an external m agnetic field approaches a conductor, the curre nt that is

produced in the conductor will indu ce a magnetic field in opposition to the approaching

external magnetic field.

¬ But when the external magnetic field moves away from the conductor, the induced

magnetic field in the con ductor reverses direction and opposes the change in the

direction of the external magnetic field.

� Gain

¬ In electronics, gain is a m easure of the ability of a circuit (often an amplifier) to

increase the power or amplitude of a signal from the input to the output.

¬ It is usually defined as th e mean ratio of the signal output of a system to the signal input

of the same system. It may a lso be defined on a logarithmic scale, in terms of the decimal

logarithm of the same ratio ("dB gain").

¬ A gain greater than one ( zero dB), that is, amplification, is the defining property of an

active component or circuuit, while a passive circuit will have a gain of les s than one.

� Bandwidth (signal processing) ¬ Baseband bandwidth. He re the bandwidth equals the upper frequency.

¬ Bandwidth is the difference between the upper and lower frequencies in a contiguous set of

frequencies.

Page 7: Basic electronics by r.vinoth rasipuram

¬ It is typically measured in hertz, and may sometimes refer to passband bandwidth,

sometimes to baseband bandwidth, depending on context. ¬ Passband bandwidth is the difference between the upper and lower cutoff frequencies of, for

example, an electronic filter, a communication channel, or a signal spectrum. In case of a

low-pass filter or baseband signal, the bandwidth is equal to its upper cutoff frequency.

¬ The term baseband bandwidth always refers to the upper cutoff frequency, regardless of

whether the filter is bandpass or low-pass.

� Amplifier

¬ Generally, an amplifier or simply amp, is a device for increasing the power of a signal by

use of an external energy source.

¬ In popular use, the term usually describes an electronic amplifier, in which the input

"signal" is usually a voltage or a current.

¬ In audio applications, amplifiers drive the loudspeakers used in PA systems to make the

human voice louder or play recorded music.

¬ Amplifiers may be classified according to the input (source) they are designed to amplify

(such as a guitar amplifier, to perform with an electric guitar), the device they are intended

to drive (such as a headphone amplifier), the frequency range of the signals (Audio, IF,

RF, and VHF amplifiers, for example), whether they invert the signal (inverting amplifiers

and non-inverting amplifiers), or the type of device used in the amplification (valve or

tube amplifiers, FET amplifiers, etc.).

¬ Types of amplifier

Power amplifier Power amplifiers by application

Power amplifier circuits Vacuum-tube (valve) amplifiers

Transistor amplifiers

Operational amplifiers (op-amps)

Fully differential amplifiers Video amplifiers

Oscilloscope vertical amplifiers

Distributed amplifiers

Switched mode amplifiers Negative resistance devices

Microwave amplifiers

Travelling wave tube

amplifiers Klystrons Musical instrument (audio) amplifiers

� Classification of amplifier stages and

systems Input and output variables Common terminal

Unilateral or bilateral

Inverting or non-

inverting Function

Inter stage coupling

method Frequency range

Type of load

Page 8: Basic electronics by r.vinoth rasipuram

� Implementation

� Power amplifier classes

Angle of flow or conduction

angle Class A

Advantage s of class-A amplifiers

Disadvantage of class-A amplifiers

Single-ended and triode class-A amplifiers Class B and AB

Class B

Class AB Class C

Class D Additional classes

Class E

Class F

Classes G and H Doherty a mplifiers

Special cla sses

Amplifier circuit

Notes on implementation

� Electronic oscillator

¬ An electronic oscillator is an electronic circuit that produces a repetitive electronic signal,

often a sine wave or a square wave.

¬ They are widely used in innumerable electronic devices.

¬ Common examples of signals generated by oscillators include signals broadcast by radio and

television transmitters, clock signals that regulate computers and quartz clocks, and the sounds

produced by electronic beepers and video games.

¬ Types:

Harmonic oscillator

Armstrong oscillator Hartley oscillator

Colpitts oscillator

Clapp oscillator

Delay line oscillator

Pierce oscillator (crystal) Phase-shift oscilla tor

RC oscillator (Wien Bridge and "Twin-T")

Cross-coupled LC oscillator

Vackář oscillator Opto-

Electronic O scillator.

Page 9: Basic electronics by r.vinoth rasipuram

Relaxation oscillator multi vibrator

ring oscillator

delay line oscillator

rotary traveling wave oscillator

� Electronic filter ¬ Television signal splitter consisting of a high-pass filter (left) and a low-p ass filter (right).

¬ The antenna is connected to the screw terminals to the left of center.

¬ Crystal filter with a center frequency of 45 MHz and a bandwidth B3dB of 12 KHz.

¬ Electronic filters are ele ctronic circuits which perform signal processing functions, specifically to remove unwanted frequency components from the signal, to enhance

wanted ones, or both. ¬ Electronic filters can be:

passive or active

analog or digital

high-pass, low-pass, bandpass, band-reject (band reject; notch), or all-

pass. discrete-time (sam pled) or continuous-time

linear or non-line ar

infinite impulse re sponse (IIR type) or finite impulse response (FIR type)

� Encoder

¬ An encoder is a device, circuit, transducer, software program, algorithm or person that converts information from one format or code to another, for the purposes of

standardization, speed, secrecy, security, or saving space by shrinking size.

� Decoder

¬ A decoder is a device which does the reverse operation of an encoder, undoing the

encoding so that the original information can be retrieved.

¬ The same method used to encode is usually just reversed in order to decode. ¬ It is a combinational circuit that converts binary information from n input lines to a

maximum of 2n unique o utput lines.

Page 10: Basic electronics by r.vinoth rasipuram

� Multiplexer

¬ In electronics, a multiplexer (or mux) is a device that selects one of several analog

or digital input signals and forwards the selected input into a single line.

¬ A multiplexer of 2n inputs has n select lines, which are used to select which input line

to send to the output.

¬ Multiplexers are mainly used to increase the amount of data that can be sent over the

network within a certain amount of time and bandwidth.

¬ A multiplexer is also called a data selector.

� Demultiplexer

¬ A demultiplexer (or demux) is a device taking a single input signal and selecting one

of many data-output-lines, which is connected to the single input.

¬ A multiplexer is often used with a complementary demultiplexer on the receiving end.

� Thermocouple

¬ Thermocouple plugged to a multimeter displaying room temperature in °C.

¬ A thermocouple is a device consisting of two different conductors (usually metal alloys)

that produce a voltage proportional to a temperature difference between either ends of the

pair of conductors.

¬ Thermocouples are a widely used type of temperature sensor for measurement and control

and can also be used to convert a heat gradient into electricity.

¬ They are inexpensive, interchangeable, are supplied with standard connectors, and can

measure a wide range of temperatures.

¬ In contrast to most other methods of temperature measurement, thermocouples are self

powered and require no external form of excitation.

¬ The main limitation with thermocouples is accuracy and system errors of less than one

degree Celsius (C) can be difficult to achieve.

� Thermistor ¬ Negative temperature coefficient (NTC) thermistor, bead type, insulated wires

¬ A thermistor is a type of resistor whose resistance varies significantly with

temperature, more so than in standard resistors.

¬ The word is a portmanteau of thermal and resistor.

¬ Thermistors are widely used as inrush current limiters, temperature sensors, self-

resetting overcurrent protectors, and self-regulating heating elements.

Page 11: Basic electronics by r.vinoth rasipuram

� Semiconductor

¬ A semiconductor is a material with electrical conductivity intermediate in magnitude

between that of a conductor and an insulator.

¬ This means a conductivity roughly in the range of 103 to 10

−8 siemens per centimeter.

Semiconductor materials are the foundation of modern electronics, including radio, computers, telephones, and many other devices.

¬ Such devices include transistors, solar cells, many kinds of diodes including the light-

emitting diode, the silicon controlled rectifier, and digital and analog integrated circuits.

¬ Similarly, semiconductor solar photovoltaic panels directly convert light energy into

electrical energy.

¬ In a metallic conductor, current is carried by the flow of electrons.

¬ In semiconductors, current is often schematized as being carried either by the flow of

electrons or by the flow of positively charged "holes" in the electron structure of the

material.

¬ Common semiconducting materials are crystalline solids, but amorphous and liquid

semiconductors are also known.

¬ These include hydrogenated amorphous silicon and mixtures of arsenic, selenium and

tellurium in a variety of proportions.

¬ Such compounds share with better known semiconductors intermediate conductivity and a

rapid variation of conductivity with temperature, as well as occasional negative resistance.

¬ Such disordered materials lack the rigid crystalline structure of conventional

semiconductors such as silicon and are generally used in thin film structures, which are

less demanding for as concerns the electronic quality of the material and thus are relatively

insensitive to impurities and radiation damage.

¬ Organic semiconductors, that is, organic materials with properties resembling conventional

semiconductors, are also known.

¬ Silicon is used to create most semiconductors commercially.

¬ Dozens of other materials are used, including germanium, gallium arsenide, and silicon

carbide.

¬ A pure semiconductor is often called an “intrinsic” semiconductor.

¬ The electronic properties and the conductivity of a semiconductor can be changed in a

controlled manner by adding very small quantities of other elements, called “dopants”, to

the intrinsic material.

¬ In crystalline silicon typically this is achieved by adding impurities of boron or

phosphorus to the melt and then allowing the melt to solidify into the crystal.

¬ This process is called "doping". [1]

� Transistor Operation ¬ A transistor in a circuit will be in one of three conditions

Cut off (no collector current), useful for switch operation.

In the active region (some collector current, more than a few tenths of a volt above

the

emitter), useful for amplifier applications

In saturation (collector a few tenths of a volt above emitter), large current useful

for " switch on" applications.

� Transistors Types � The two main types of transistors are the bipolar junction transistor (BJT) and the

field-effect transistor (FET).

� Bipolar Junction Transistors

¬ BJTs can have two different polarities, NPN and PNP.

Page 12: Basic electronics by r.vinoth rasipuram

� An NPN BJT is one where a positively-doped (P-type) semiconductor is

sandwiched between two negatively-doped (N-type) semiconductors. � A PNP BJT is, obviously, one where an N-type semiconductor is sandwiched

between two P-types.

� Both types of BJTs have an exponential dependence between the input voltage and

the current output.

� For the record, I should state that a semiconductor is basically a material with

conductance between that of an insulator and a conductor.

� Silicon and germanium are the two most well-known semiconductors.

� Also, doping just means the addition of impurities into a semiconducting material in

order for it to either: increase its electron acceptance (P-type) or increase its

electron conductance (N-type).

� Some specific types of BJTs: HBT - heterojunction bipolar transistor –

� These types of transistors are very similar to BJTs except that the two P-type

semiconductors in the PNP polarity, or the two N-type semiconductors in the NPN

polarity, are doped differently relative to each other.

� The reason for doing this, simply stated, is to make it more difficult for a transistor

to operate in the reverse direction from which is was intended.

Grown-junction transistor � This was the first type of BJT and is self-explanatory.

� The PN or NP junctions, depending on whether it's of NPN or PNP polarity,

respectively, are grown onto a single, solid crystal of semiconductor material.

� Grown, in this case, means slowly attached, chemically. \ Alloy-junction transistor � Similar to a grown-junction transistor except the semiconducting material

onto which the PN or NP junctions are grown is specifically germanium. MAT � Micro-alloy transistor - An improved, speedier version of the alloy-junction

transistor.

� The materials of the PN or NP junctions of a MAT are metal-semiconductor, as

opposed to semiconductor-semiconductor.

MADT � Micro-alloy diffused transistor - An improved, speedier version of the MAT.

� The dopant material of a MADT is diffused (thinly spread) accross the entire

germanium crystal prior to PN or NP growth, as opposed to a MAT where the doping

material is only on the metallic side of the PN or NP junction. PADT –

� Post-alloy diffused transistor - An improved, speedier version of the MADT.

� A thin, diffused dopant layer of germanium is grown onto the germanium crystal, as

opposed to the entire germanium crystal being diffused, which allows the germanium

crystal to be as thick as necessary for mechanical strength purposes.

� The PN or NP junctions are then grown onto this thin layer.

Schottky transistor – � These are alloy-junction transistors with a Schottky barrier between the metal-

semiconductor junction.

� All metal-semiconductor junctions act sort of like capacitors with a voltage

between the junctions.

Page 13: Basic electronics by r.vinoth rasipuram

� Often, you'd like to minimize this voltage in order to minimize the saturation (the

amount of the germanium crystal) needed for the transistor to work. � Minimizing the saturation effectively speeds up the transistor's performance, which

is great for things like switches.

� Schottky barriers use various materials to do exactly this.

Surface-barrier transistor – � These are just like Schottky transistors except that both junctions are metal-

semiconductor as opposed to only one.

Drift-field transistor – � The doping agent of these transistors is engineered to produce a specific electric

field.

� This effectually reduces the electrons' transit time between the junctions of the

transistor, thereby making it work faster.

Avalanche transistor – � These transistors can operate in the breakdown voltage region of a transistor's

junctions.

� The breakdown voltage is simply the minimum voltage in which an insulator starts

acting like a conductor. � Thus, these transistors allow for higher currents to be applied to them than their

normal counterparts.

Darlington transistor – � These are simply two BJTs connected together to further increase the gain of

the current output.

IGBT – � Insulated-gate bipolar transistor .

� These transistors combine the use of BJTs as switches with an isolated-gate FET

(see below) as the input.

� IGBTs provide much more efficient and faster switching than regular BJTs and are thus some of the most common transistors found in modern appliances.

Photo transistor – � These transistors convert electromagnetic radiation in the form of visible light, UV-

rays, or X-rays into current or voltage.

� As opposed to the normal PN junctions found in many transistors, photo transistors

use PIN junctions.

� PIN junctions are similar to PN junctions except that they have an additional

intrinsic semiconductor between the P-type and N-type semiconducting regions.

� This intrinsic semiconductor is a very lightly doped semiconductor which exists, at

least for the purposes of photo transistors, to supply a region within the junction where a

photon (a particle of electromagnetic radiation with a specific energy) can ionize (knock an

electron out of via the photoelectric effect) an atom of this semiconducting material. � Because of the electric field caused from the surrounding P-type and N-type

semiconducting regions, this ionization causes the photoelectron to move toward one end

of the junction, thereby producing what's known as a photocurrent, which is then amplified

in the same manner as all other BJTs.

Page 14: Basic electronics by r.vinoth rasipuram

� I promise that the rest of my answer won't get more complicated than this.

Field-Effect Transistors � FETs use electric fields to control only one-type of charge carrier, as opposed to BJTs

which control both types.

� Now's as good a time as any to introduce the concept of electron holes.

� Intuitively, electrons carry negative charge and are thus referred to as negative charge

carriers.

� Well, the absence of an electron where one used to be is called an electron hole.

� These holes act exactly as electrons do in transistors except that they carry positive charge,

in the form of missing negative charge, and are thus called positive charge carriers.

� FETs are designed to control either positive or negative charge carriers, in the form of

holes or electrons, but not both.

� The flow of positive or negative charge carriers occurs through what's called the channel of

an FET.

� FET channels are created within the bulk material of the FET, which is usually silicon. � If you find this idea more complicated than what I wrote about photo transistors, that's only

because you haven't looked up the physics behind the photoelectric effect yet.

Some specific types of FETs: CNTFET –

� carbon nanotube field-effect transistor .

� These FETs use carbon nanotubes instead of silicon as their channel material.

� Carbon nanotubes are needed as FETs continue to get smaller in size. � They help reduce effects, such as quantum tunneling and overheating, which are

beginning to become real problems in small, silicon-based FETs.

JFET –

� Junction gate field-effect transistor .

� This FET supplies a voltage accross the charge-carrying channel that can pinch it

shut, effectively stopping the current through the channel.

MESFET –

� Metal semiconductor field-effect transistor .

� Similar to, but faster than, JFETs, MESFETs use a Schottky barrier (see above)

instead of a PN junction.

HEMT - high electron mobility transistor. � The FET version of an HBT (see above).

� Faster than a MESFET, the charge-carrying channel is between two different

materials instead of within a single, doped region.

� Also known as a heterostructure FET (HFET) or a modulation-doped FET

(MODFET).

MOSFET – � Metal-oxide-semiconductor field-effect transistor.

� This is the most basic, and most common, type of FET, analogous to the standard

BJT (see above).

� Instead of pinching its charge-carrying channel shut as in a JFET, a MOSFET has

an insulator attached to its input electrode which can be turned on or off depending on

whether a voltage is supplied accross it.

� The channel can be N-type (nMOS) or P-type (pMOS), as explained above under

the "bipolar junction transistors" heading.

Page 15: Basic electronics by r.vinoth rasipuram

ITFET � Inverted-T field-effect transistor.

� This is simply any type of FET that extends vertically out from the horizontal plane

in a T-shape, hence the name.

MuGFET � Multiple gate field-effect transistor.

� A MOSFET where more than one input shares the bulk material of the FET.

� The idea is to use the same FET, thus the same sized object, for multiple things.

� This concept came about due to the ever shrinking sizes of transistors.

MIGFET – � Multiple independent gate field-effect transistor. � A MuGFET where the multiple inputs are independently controlled.

Flexfet � A MIGFET with two inputs, one on a JFET and the other on a MOSFET. The

JFET and MOSFET are then "stacked" on top of each other.

� Due to its design, the JFET and MOSFET are coupled to each other; i.e. the channel through one effects the channel through the other and vice versa.

FinFET � A MuGFET where the charge-carrying channel is wrapped around a piece of

silicon, called a fin. � The reason for doing this is similar to that of a PADT (see above); i.e. mechanical

strength.

FREDFET – � Fast-recovery (or reverse) epitaxial diode field-effect transistor. � A cute name for a transistor which is basically designed to quickly turn off when

no more voltage is being supplied to it.

TFT � Thin-film transistor - An FET where the semiconducting material is placed via thin

films over the bulk of the device.

� This is opposed to the bulk of the device being the semiconductor itself, as in most

FETs.

� The bulk material used in TFTs is often glass.

� The reason being so that the transistors can work behind a clear display in

applications like liquid crystal display (LCD) monitors.

OFET � Organic field-effect transistor - An FET with an organic polymer semiconductor as

its channel. � These are like TFTs except the bulk of the device is plastic, allowing for very cool,

flexible LCD monitors.

FGMOS � Floating gate MOSFET - A MOSFET with a "floating gate" input; i.e. an

electrically isolated input that can store charge, like a capacitor, to be used later.

� These are the transistors behind flash drives.

ISFET � Ion-sensitive field-effect transistor - An FET that changes its current depending on

the ion concentration of a solution.

� The solution itself is used as the input electrode in an ISFET.

Page 16: Basic electronics by r.vinoth rasipuram

EOSFET � Electrolyte-oxide-semiconductor field-effect transistor.

� A MOSFET with the metal replaced by an electrolyte solution.

� EOSFETs are used to in neurochips to detect brain activity.

DNAFET – � Deoxyribonucleic acid (DNA) field-effect transistor

� A MOSFET with its input electrode being a layer of immobilized, single-stranded DNA.

� The current through the MOSFET is modulated by the varying charge distributions

that occur when complimentary DNA strands hybridize to the layer of single-stranded

DNA on the input electrode. � DNAFETs are used, not surprisingly, in DNA sequencing.

Basic Electrical Components There are several important basic electrical components that are commonly found in the circuits of

virtually all PC parts and peripherals. These devices are the fundamental building blocks of

electrical and electronic circuits, and can be found in great numbers on motherboards, hard disk

logic boards, video cards and just about everywhere else in the PC, including places that might

surprise you. They can be used and combined with each other and dozens of other devices, in so

many different ways that I could not even begin to describe them all. Still, it is useful to know a bit

about how they work, and this page will at least provide you with a basis for recognizing some of

what you see on those boards, and perhaps understanding the fundamentals of circuit schematics.

Bear in mind when reading the descriptions below that it would really take several full pages to

fully describe the workings of most of these components! Fortunately, this level of detail isn't

really necessary to provide the background necessary when working with PCs. For each component, I provide a sample photo, as well as an illustration of the component's

symbol in an electrical schematic (diagram showing how a circuit is designed). There are many

variants of each of the components shown below; so the diagrams should only be considered

examples.

Battery: A direct current electricity source of a specific voltage, used primarily in small circuits.

A battery (in this case, a button cell on a PC motherboard.)

Original photo © Kamco Services Image used with permission.

Resistor: As you could probably guess from the name, a resistor increases the resistance of a circuit. The main purpose of this is to reduce the flow of electricity in a circuit. Resistors come

in all different shapes and sizes. They dissipate heat as a result of their opposing electricity, and

are therefore rated both in terms of their resistance (how much they oppose the flow of

electrons) and their power capacity (how much power they can dissipate before becoming

damaged.) Generally, bigger resistors can handle more power. There are also variable resistors,

Page 17: Basic electronics by r.vinoth rasipuram

which can have their resistance adjusted by turning a knob or other device. These are sometimes

called potentiometers.

Magnified surface-mount resistor from a motherboard.

These small resistors are now much more common on PC

electronics than the older, larger pin type. Note the "R10" designation.

Capacitor: A capacitor is a component made from two (or two sets of) conductive plates with an insulator between them. The insulator prevents the plates from touching. When a DC current

is applied across a capacitor, positive charge builds on one plate (or set of plates) and negative

charge builds on the other. The charge will remain until the capacitor is discharged. When an

AC current is applied across the capacitor, it will charge one set of plates positive and the other

negative during the part of the cycle when the voltage is positive; when the voltage goes

negative in the second half of the cycle, the capacitor will release what it previously charged,

and then charge the opposite way. This then repeats for each cycle. Since it has the opposite

charge stored in it each time the voltage changes, it tends to oppose the change in voltage. As

you can tell then, if you apply a mixed DC and AC signal across a capacitor, the capacitor will

tend to block the DC and let the AC flow through. The strength of a capacitor is called

capacitance and is measured in farads (F). (In practical terms, usually microfarads and the like,

since one farad would be a very large capacitor!) They are used in all sorts of electronic circuits,

especially combined with resistors and inductors, and are commonly found in PCs.

Three capacitors on a motherboard.

The two large capacitors in the background are 1500 microfarads

and 2200 microfarads respectively, as you can clearly see from

their labeling. The small silver-colored capacitor in the foreground is

a 22 microfarad electrolytic capactor. Electrolytics are commonly used in

computers because they pack a relatively high capacitance into a small

package. The plus sign indicates the polarity of the capacitor, which also has its

leads marked with "+" and "-". If you look closely you can see the "+" marking

on the motherboard, just to the left of the capacitor. Note that very small

capacitors are also found in surface-mount packages just like the resistor above.

Inductor: An inductor is essentially a coil of wire. When current flows through an inductor, a magnetic field is created, and the inductor will store this magnetic energy until it is

released. In some ways, an inductor is the opposite of a capacitor. While a capacitor stores

voltage as electrical energy, an inductor stores current as magnetic energy. Thus, a capacitor

opposes a change in the voltage of a circuit, while an inductor opposes a change in its current.

Therefore, capacitors block DC current and let AC current pass, while inductors do the

opposite. The strength of an inductor is called--take a wild guess--its inductance, and is

measured in henrys (H). Inductors can have a core of air in the middle of their coils, or a ferrous

Page 18: Basic electronics by r.vinoth rasipuram

(iron) core. Being a magnetic material, the iron core increases the inductance value, which is

also affected by the material used in the wire, and the number of turns in the coil. Some

inductor cores are straight in shape, and others are closed circles called toroids. The latter type

of inductor is highly efficient because the closed shape is conducive to creating a stronger

magnetic field. Inductors are used in all sorts of electronic circuits, particularly in combination

with resistors and capacitors, and are commonly found in PCs.

A toroidal core inductor from a PC motherboard.

The two bars in the symbol represent the iron core;

an air-core inductor would not have the bars. Note

that very small inductors are also found in surface-

mount packages just like the resistor above.

Transformer: A transformer is an inductor, usually with an iron core, that has two lengths of wire wrapped around it instead of one. The two coils of wire do not electrically connect,

and are normally attached to different circuits. One of the most important components in

the world of power, it is used to change one AC voltage into another. As described above,

when a coil has a current passed through it, a magnetic field is set up proportional to the

number of turns in the coil. This principle also works in reverse: if you create a magnetic

field in a coil, a current will be induced in it, proportional to the number of turns of the coil.

Thus, if you create a transformer with say, 100 turns in the first or primary coil, and 50

turns in the second or secondary coil, and you apply 240 VAC to the first coil, a current of

120 VAC will be induced in the second coil (approximately; some energy is always lost

during the transformation). A transformer with more turns in its primary than its secondary

coil will reduce voltage and is called a step-down transformer. One with more turns in the

secondary than the primary is called a step-up transformer. Transformers are one of the

main reasons we use AC electricity in our homes and not DC: DC voltages cannot be

changed using transformers. They come in sizes ranging from small ones an inch across, to

large ones that weigh hundreds of pounds or more, depending on the voltage and current

they must handle.

A transformer from the interior of a PC power supply. Note the large heat sink fins above and below it.

Diode / LED: A diode is a device, typically made from semiconductor material, that restricts the flow of current in a circuit to only one direction; it will block the bulk of any

current that tries to go "against the flow" in a wire. Diodes have a multitude of uses. For

example, they are often used in circuits that convert alternating current to direct current,

Page 19: Basic electronics by r.vinoth rasipuram

since they can block half the alternating current from passing through. A variant of the

common diode is the light-emitting diode or LED; these are the most well-known and

commonly-encountered kind of diode, since they are used on everything from keyboards to

hard disks to television remote controls. An LED is a diode that is designed to emit light of

a particular frequency when current is applied to it. They are very useful as status

indicators in computers and battery-operated electronics; they can be left on for hours or

days at a time because they run on DC, require little power to operate, generate very little

heat and last for many years even if run continuously. They are now even being made into

low-powered, long-operating flashlights.

A diode (top) and a light-emitting diode (bottom). Note the

symbol on the circuit board above the diode, and the "CR3"

designation. The LED shown is an older, large diode from a

system case. LEDs are now more often round and usually smaller. Fuse: A fuse is a device designed to protect other components from accidental damage due to excessive current flowing through them. Each type of fuse is designed for a specific amount of

current. As long as the current in the circuit is kept below this value, the fuse passes the current

with little opposition. If the current rises above the rating of the fuse--due to a malfunction of some

sort or an accidental short-circuit--the fuse will "blow" and disconnect the circuit. Fuses are the

"heroes" of the electronics world, literally burning up or melting from the high current, causing a

physical gap in the circuit and saving other devices from the high current. They can then be

replaced when the problem condition has been corrected. All fuses are rated in amps for the

amount of current they can tolerate before blowing; they are also rated for the maximum voltage

they can tolerate. Always replace a blown fuse only with another of the same current and voltage

rating.

A fuse, sitting in its fuse holder,

from the interior of a PC power supply.

Flux: In the study of transport phenomena (heat transfer, mass transfer and fluid

dynamics), flux is defined as flow per unit area, where flow is the movement of some

quantity per time. Flux, in this definition, is a vector.

Page 20: Basic electronics by r.vinoth rasipuram

� Rectifier

¬ A rectifier diode (silicon controlled rectifier) and associated mounting hardware.

¬ The heavy threaded stud helps remove heat.

¬ A rectifier is an electrical device that converts alternating current (AC), w hich

periodically reverses direction, to direct current (DC), which flows in only one direction.

¬ The process is known as rectification. Physically, rectifiers take a numbe r of forms,

including vacuum tube diodes, mercury-arc valves, solid-state diodes, silicon-

controlled rectifiers and other silico n-based semiconductor switches.

¬ Historically, even synchr onous electromechanical switches and motors have been used.

¬ Early radio receivers, called crystal radios, used a "cat's whisker" of fine wire pressing on

a crystal of galena (lead sulfide) to serve as a point-contact rectifier or "crystal detector". ¬ Rectifiers have many u ses, but are often found serving as components of DC power

supplies and high-voltage direct current power transmission systems. ¬ Rectification may serve in roles other than to generate direct current for use as a source of

power.

¬ As noted, detectors of rad io signals serve as rectifiers.

¬ In gas heating systems flame rectification is used to detect presence of flame.

¬ The simple process of rectification produces a type of DC characterized by pulsating

voltages and currents (although still unidirectional). ¬ Depending upon the type of end-use, this type of DC current may then b e further modified

into the type of relatively constant voltage DC characteristically produc ed by such sources

as batteries and solar cells. ¬ A device which performs the opposite function (converting DC to A C) is known as an

inverter.

� Diode

¬ In electronics, a diode is a type of two- terminal electronic component with nonlinear

resistance and conductance (i.e., a nonlinear current–voltage characteristic), distinguishing

it from components such as two-terminal linear resistors which obey Oh m's law.

¬ A semiconductor diode, the most common type today, is a cr ystalline piece of

semiconductor material connected to two electrical terminals.

¬ A vacuum tube diode (now rarely used except in some high-power technologies) is a

vacuum tube with two ele ctrodes: a plate and a cathode.

¬ The most common function of a diode is to allow an electric current to pa ss in one

direction (called the diode's forwa rd direction), while blocking current in the opposite

direction (the reverse direction).

Page 21: Basic electronics by r.vinoth rasipuram

¬ Thus, the diode can be thought of as an electronic version of a check valve.

¬ This unidirectional behavior is called rectification, and is used to convert alternating

current to direct current, and to extract modulation from radio signals in radio receivers—

these diodes are forms of rectifiers.

¬ However, diodes can have more complicated behavior than this simple on–off action.

¬ Semiconductor diodes do not begin conducting electricity until a certain threshold voltage

is present in the forward direction (a state in which the diode is said to be forward-biased).

¬ The voltage drop across a forward-biased diode varies only a little with the current, and is a

function of temperature; this effect can be used as a temperature sensor or voltage

reference.

¬ Semiconductor diodes' nonlinear current–voltage characteristic can be tailored by varying

the semiconductor materials and introducing impurities into (doping) the materials.

¬ These are exploited in special purpose diodes that perform many different functions.

¬ For example, diodes are used to regulate voltage (Zener diodes), to protect circuits from

high voltage surges (avalanche diodes), to electronically tune radio and TV receivers

(varactor diodes), to generate radio frequency oscillations (tunnel diodes, Gunn diodes,

IMPATT diodes), and to produce light (light emitting diodes).

¬ Tunnel diodes exhibit negative resistance, which makes them useful in some types of

circuits.

¬ Diodes were the first semiconductor electronic devices.

¬ The discovery of crystals' rectifying abilities was made by German physicist Ferdinand Braun in

1874.

¬ The first semiconductor diodes, called cat's whisker diodes, developed around 1906, were

made of mineral crystals such as galena.

¬ Today most diodes are made of silicon, but other semiconductors such as germanium are

sometimes used.

� SCR schematic symbol

Page 22: Basic electronics by r.vinoth rasipuram

¬ A silicon-controlled rectifier (or semiconductor-controlled rectifier) is a four-layer

solid state device that controls current.

¬ The name "silicon contr olled rectifier" or SCR is General Electric's trade name for a type of

thyristor. ¬ The SCR was develop ed by a team of power engineers led by Gordon Hall and

commercialized by Frank W. "Bill" Gutzwiller in 1957.

� Thyristor

Circuit symbol for a thyristor

¬ An SCR rated about 100 amperes, 1200 volts mounted on a heat sink - the two small wires

are the gate trigger leads

¬ A thyristor is a solid-sta te semiconductor device with four layers of alternating N and

P- type material.

¬ They act as bistable switches, conducting when their gate receives a current trigger, and

continue to conduct while they are forward biased (that is, while the voltage across the

device is not reversed).

¬ Some sources define silicon controlled rectifiers and thyristors as synonymous.[

¬ Other sources define thyristors as a larger set of devices with at least four layers of alternating N and P-type material, including:

Distributed Buffe r - Gate Turn-off Thyristor (DB-

GTO) Gate turn-off thyristor (GTO)

Integrated gate commutated thyristor (IGCT) MOS composite static induction thyristor/CSMT

MOS Controlled Thyristor (MCT)

Reverse conducti ng thyristor Silicon controlled rectifier (SCR)

Static induction th yristor (SITh)

Triode AC switch (TRIAC)

Page 23: Basic electronics by r.vinoth rasipuram

� Types of Thyristor

AGT — Anode G ate Thyristor — A thyristor with gate on n-type layer near to the

anode ASCR — Asymmetrical SCR

BCT — Bidirectional Control Thyristor — A bidirectional switching device

containing two thyristor structures with separate gate contacts

BOD — Breakov er Diode — A gateless thyristor triggered by av alanche current

DIAC — Bidirectional trigger device

Dynistor — Unidirectional switching device

Shockley diode — Unidirectional trigger and switching de vice SIDAC — Bidirectional switching device

Trisil, SIDACtor — Bidirectional protection devices

GTO — Gate Turn-Off thyristor

IGCT — Integrat ed Gate Commutated Thyristor

DB-GTO — Distributed Buffer Gate Turn-Off thyristor MA-GTO — Modified Anode Gate Turn-Off thyristor

LASCR — Light Activated SCR, or LTT — Light triggered

thyristor LASS — Light Activated Semiconducting Switch

MCT — MOSFET Controlled Thyristor — It contains two additional FET

structures for on/off contr ol. BRT — Base Resistance Controlled Thyristor

RCT — Reverse Conducting Thyristor

PUT or PUJT — Programmable Unijunction Transistor — A thyristor with gate on

n-type layer near to the a node used as a functional replacement for unijun ction transistor SCS — Silicon C ontrolled Switch or Thyristor Tetrode — A thyristor with both

cathode and anode gates

SCR — Silicon Controlled Rectifier SITh — Static Induction Thyristor, or FCTh — Field Contr olled Thyristor —

containing a gate structure that can shut down anode current flow.

TRIAC — Triode for Alternating Current — A bidirectional switching device

containing two thyristor structures with common gate contact.

ϖ TRIAC

TRIAC schematic symbol � TRIAC, from Triode for Alternating Current, is a genericized tradename for an

electronic component that can conduct current in either direction w hen it is triggered

(turned on), and is formally called a bidirectional triode thyristor o r bilateral triode

thyristor. � TRIACs belong to the thyristor family and are closely related to Silicon-controlled

rectifiers (SCR).

� However, unlike SCRs, which are unidirectional devices (i.e. can conduct current only in

one direction) � TRIACs are bidirectional and so current can flow through them in either direction.

Page 24: Basic electronics by r.vinoth rasipuram

¬ Another difference from SCRs is that TRIACs can be triggered by either a positive or a

negative current applied to its gate electrode, whereas SCRs can be triggered only by

currents going into the gate.

¬ In order to create a triggering current, a positive or negative voltage has to be applied to the

gate with respect to the A1 terminal (otherwise known as MT1).

¬ Once triggered, the device continues to conduct until the current dro ps below a certain

threshold, called holding current.

¬ The bidirectionality makes TRIACs very convenient switches for AC circuits, also

allowing them to control very large power flows with milliampere-scale gate currents.

¬ In addition, applying a trigger pulse at a controlled phase angle in an A C cycle allows one

to control the percentage of current that flows through the TRIAC to the load (phase

control), which is comm only used, for example, in controlling the speed of low-power

induction motors, in dim ming lamps and in controlling AC heating resistors.

� DIAC

Typical DIAC voltage and current relationships. VBO - breakover v oltage

Three-layer DIAC ¬ The DIAC, or 'diode for alternating current', is a diode that conducts cu rrent only after

its breakover voltage has be en reached momentarily.

¬ When this occurs, diode enters the region of negative dynamic resistance, leading to a

decrease in the voltage drop across the diode and, usually, a sharp increase in current

through the diode.

¬ The diode remains "in conduction" until the current through it drops below a value

characteristic for the de vice, called the holding current. Below this value, the diode

switches back to its high-resistance (non-conducting) state. ¬ This behavior is bidirecti onal, meaning typically the same for both directions of current.

¬ Most DIACs have a three-layer structure with breakover voltage around 30 V.

¬ In this way, their behavio r is somewhat similar to (but much more preci sely controlled

and taking place at lower voltages than) a neon lamp.

¬ DIACs have no gate elec trode, unlike some other thyristors that they are commonly used

to trigger, such as TRIACs.

¬ Some TRIACs, like Qu adrac, contain a built-in DIAC in series with t he TRIAC's "gate"

terminal for this purpose.

Page 25: Basic electronics by r.vinoth rasipuram

¬ DIACs are also called symmetrical trigger diodes due to the s ymmetry of their

characteristic curve.

¬ Because DIACs are bidi rectional devices, their terminals are not lab eled as anode and

cathode but as A1 and A2 or MT1 ("Main Termin al") and MT2.

� SIDAC

SIDAC

¬ Idealized breakover diod e voltage and current relationships.

¬ Once the voltage exceeds the turn-on threshold, the device turns on and the voltage rapidly

falls while the current increases.

¬ The SIDAC is a less co mmon electrically similar device, the difference in naming being

determined by the manufacturer.

¬ In general, SIDACs have higher breakover voltages and current handling .

¬ The SIDAC, or Silicon D iode for Alternating Current, is another mem ber of the thyristor

family.

¬ Also referred to as a S YDAC (Silicon thYristor for Alternating Current), bi-directional

thyristor breakover diode, or more simply a bi-directional thyristor diod e, it is technically

specified as a bilateral voltage triggered switch.

¬ Its operation is similar t o that of the DIAC, but SIDAC is always a five -layer device with

low-voltage drop in latched conducting state, more like a voltage triggered TRIAC without

a gate.

¬ In general, SIDACs have higher breakover voltages and current handling capacities than

DIACs, so they can be directly used for switching and not just for triggering of another

switching device. ¬ The operation of the SID AC is functionally similar to that of a spark gap.

¬ The SIDAC remains no nconducting until the applied voltage meets o r exceeds its rated

breakover voltage.

¬ Once entering this conductive state going through the negative dynamic resistance region,

the SIDAC continues to c onduct, regardless of voltage, until the applied current falls

below its rated holding current.

¬ At this point, the SIDAC returns to its initial nonconductive state to begin the cycle once

again.

¬ Somewhat uncommon in most electronics, the SIDAC is relegated to the status of a special

purpose device.

Page 26: Basic electronics by r.vinoth rasipuram

¬ However, where part-counts are to be kept low, simple relaxation oscillators are needed,

and when the voltages are too low for practical operation of a spark gap, the SIDAC is an

indispensable component.

¬ Similar devices, though usually not functionally interchangeable with SIDACs, are the

Thyristor Surge Protection Devices (TSPD), Trisil, SIDACtor, or the now-obsolete

Surgector.

¬ These are designed to tolerate large surge currents for the suppression of overvoltage

transients.

� PLD

¬ A programmable logic device or PLD is an electronic component used to build

reconfigurable digital circuits. Unlike a logic gate, which has a fixed function, a PLD has

an undefined function at the time of manufacture.

¬ Before the PLD can be used in a circuit it must be programmed, that is, reconfigured.

� PLA

¬ In 1970, Texas Instruments developed a mask-programmable IC based on the IBM read-

only associative memory or ROAM.

¬ This device, the TMS2000, was programmed by altering the metal layer during the

production of the IC.

¬ The TMS2000 had up to 17 inputs and 18 outputs with 8 JK flip flop for memory.

¬ TI coined the term Programmable Logic Array for this device.

¬ A programmable logic array (PLA) has a programmable AND gate array, which links to a

programmable OR gate array, which can then be conditionally complemented to produce

an output.

� PAL

¬ MMI introduced a breakthrough device in 1978, the Programmable Array Logic or PAL.

¬ The architecture was simpler than that of Signetics FPLA because it omitted the

programmable OR array.

¬ This made the parts faster, smaller and cheaper.

¬ They were available in 20 pin 300 mil DIP packages while the FPLAs came in 28 pin 600

mil packages.

¬ The PAL Handbook demystified the design process.

¬ The PALASM design software (PAL Assembler) converted the engineers' Boolean

equations into the fuse pattern required to program the part.

¬ The PAL devices were soon second-sourced by National Semiconductor, Texas

Instruments and AMD.

¬ After MMI succeeded with the 20-pin PAL parts, AMD introduced the 24-pin 22V10

PAL with additional features.

¬ After buying out MMI (1987), AMD spun off a consolidated operation as Vantis, and that

business was acquired by Lattice Semiconductor in 1999.

� GALs

Lattice GAL 16V8 and 20V8

Page 27: Basic electronics by r.vinoth rasipuram

¬ An innovation of the PAL was the generic array logic device, or GAL, invented by

Lattice Semiconductor in 1985.

¬ This device has the same logical properties as the PAL but can be erased and

reprogrammed.

¬ The GAL is very useful in the prototyping stage of a design, when any bugs in the logic

can be corrected by reprogramming.

¬ GALs are programmed and reprogrammed using a PAL programmer, or by using the in-

circuit programming technique on supporting chips.

¬ Lattice GALs combine CMOS and electrically erasable (E^2) floating gate technology for

a high-speed, low-power logic device.

¬ A similar device called a PEEL (programmable electrically erasable logic) was

introduced by the International CMOS Technology (ICT) corporation.

� CPLDs

¬ PALs and GALs are available only in small sizes, equivalent to a few hundred logic gates.

¬ For bigger logic circuits, complex PLDs or CPLDs can be used.

¬ These contain the equivalent of several PALs linked by programmable interconnections,

all in one integrated circuit.

¬ CPLDs can replace thousands, or even hundreds of thousands, of logic gates.

¬ Some CPLDs are programmed using a PAL programmer, but this method becomes

inconvenient for devices with hundreds of pins.

¬ A second method of programming is to solder the device to its printed circuit board, then

feed it with a serial data stream from a personal computer.

¬ The CPLD contains a circuit that decodes the data stream and configures the CPLD to

perform its specified logic function.

� FPGAs

¬ While PALs were busy developing into GALs and CPLDs (all discussed above), a separate

stream of development was happening.

¬ This type of device is based on gate array technology and is called the field-programmable

gate array (FPGA).

¬ Early examples of FPGAs are the 82s100 array, and 82S105 sequencer, by Signetics,

introduced in the late 1970s.

¬ The 82S100 was an array of AND terms. The 82S105 also had flip flop functions.

¬ FPGAs use a grid of logic gates, and once stored, the data doesn't change, similar to that of

an ordinary gate array.

¬ The term "field-programmable" means the device is programmed by the customer, not the

manufacturer.

¬ FPGAs are usually programmed after being soldered down to the circuit board, in a manner

similar to that of larger CPLDs. In most larger FPGAs the configuration is volatile, and

must be re-loaded into the device whenever power is applied or different functionality is

required.

¬ Configuration is typically stored in a configuration PROM or EEPROM. EEPROM

versions may be in-system programmable (typically via JTAG).

¬ The difference between FPGAs and CPLDs is that FPGAs are internally based on Look-up

tables (LUTs) whereas CPLDs form the logic functions with sea-of-gates (e.g. sum of

products).

¬ CPLDs are meant for simpler designs while FPGAs are meant for more complex designs.

¬ In general, CPLDs are a good choice for wide combinational logic applications, whereas

FPGAs are more suitable for large state machines (i.e. microprocessors).

Page 28: Basic electronics by r.vinoth rasipuram

� Other variants

¬ At present, much interest exists in reconfigurable systems.

¬ These are microprocessor circuits that contain some fixed functions and other functions

that can be altered by code running on the processor.

¬ Designing self-altering systems requires engineers to learn new methods, and that new

software tools be developed.

¬ PLDs are being sold now that contain a microprocessor with a fixed function (the so-called

core) surrounded by programmable logic.

¬ These devices let designers concentrate on adding new features to designs without having

to worry about making the microprocessor work.

� How PLDs retain their configuration

¬ A PLD is a combination of a logic device and a memory device.

¬ The memory is used to store the pattern that was given to the chip during programming.

¬ Most of the methods for storing data in an integrated circuit have been adapted for use in

PLDs.

¬ These include: Silicon antifuses

SRAM

EPROM or EEPROM

cells Flash memory

� Silicon antifuses are the storage elements used in the PAL, the first type of PLD.

� These are connections that are made by applying a voltage across a modified area of silicon

inside the chip.

� They are called antifuses because they work in the opposite way to normal fuses, which

begin life as connections until they are broken by an electric current.

¬ SRAM, or static RAM, is a volatile type of memory, meaning that its contents are lost each

time the power is switched off.

¬ SRAM-based PLDs therefore have to be programmed every time the circuit is switched on.

¬ This is usually done automatically by another part of the circuit.

¬ An EPROM cell is a MOS (metal- oxide- semiconductor) transistor that can be switched

on by trapping an electric charge permanently on its gate electrode.

¬ This is done by a PAL programmer.

¬ The charge remains for many years and can only be removed by exposing the chip to

strong ultraviolet light in a device called an EPROM eraser.

¬ Flash memory is non-volatile, retaining its contents even when the power is switched off.

¬ It can be erased and reprogrammed as required.

¬ This makes it useful for PLD memory.

¬ As of 2005, most CPLDs are electrically programmable and erasable, and non-volatile.

¬ This is because they are too small to justify the inconvenience of programming internal

SRAM cells every time they start up, and EPROM cells are more expensive due to their

ceramic package with a quartz window.

� PLD programming languages

¬ Many PAL programming devices accept input in a standard file format, commonly referred

to as 'JEDEC files'.

¬ They are analogous to software compilers.

¬ The languages used as source code for logic compilers are called hardware description

languages, or HDLs.

¬ PALASM and ABEL are frequently used for low-complexity devices, while Verilog and

VHDL are popular higher-level description languages for more complex devices.

Page 29: Basic electronics by r.vinoth rasipuram

¬ The more limited ABEL is often used for historical reasons, but for new designs VHDL is

more popular, even for low-complexity designs.

¬ For modern PLD prog ramming languages, design flows, and tools , see FPGA and

Reconfigurable computing.

� PLD programming devices

¬ A device programmer is used to transfer the boolean logic pattern into the programmable

device.

¬ In the early days of programmable logic, every PLD manufacturer also produced a

specialized device programmer for its family of logic devices.

¬ Later, universal device programmers came onto the market that supp orted several logic

device families from diff erent manufacturers.

¬ Today's device programmers usually can program common PLDs (mostly PAL/GAL

equivalents) from all existing manufacturers. ¬ Common file formats used to store the boolean logic pattern (fuses) a re JEDEC, Altera

POF (Programmable Object File), or Xilinx BITstream.

� Logic gates

¬ A logic gate is an idealized or physical device implementing a Boolean function, that is, it

performs a logical oper ation on one or more logic inputs and produces a single logic

output. ¬ Depending on the conte xt, the term may refer to an ideal logic gate, one that has for instance

zero rise time and unlimited fan-out, or it may refer to a non-ideal physical device. ¬ Logic gates are primarily implemented using diodes or transistors a cting as electronic

switches, but can also be constructed using electromagnetic relays (r elay logic), fluidic

logic, pneumatic logic, optics, molecules, or even mechanical elements.

¬ With amplification, logic gates can be cascaded in the same way that Boo lean functions can be

composed, allowing the construction of a physical model of all of Boolean logic, and

therefore, all of the algorithms and mathematics that can be described with Boolean logic.

� De Morgan's laws

¬ In formal logic, De Morg an's laws are rules relating the logical operators "AND"

and "OR" in terms of each othher via negation. With two operands A and B:

� Basic Laws

Associativity

commutativity

absorption

distributivity

complements

Page 30: Basic electronics by r.vinoth rasipuram

� Fan-out ¬ In digital electronics, the fan-out of a logic gate output is the number of gate inputs to

which it is connected.

¬ In most designs, logic gates are connected together to form more complex circuits.

¬ While no more than one logic gate output is connected to any single input, it is common

for one output to be connected to several inputs.

¬ The technology used to implement logic gates usually allows a certain number of gate

inputs to be wired directly together without additional interfacing circuitry.

¬ The maximum fan-out of an output measures its load-driving capability: it is the greatest

number of inputs of gates of the same type to which the output can be safely connected.

� Shift register

¬ In digital circuits, a shift register is a cascade of flip flops, sharing the same clock, which

has the output of any one but the last flip-flop connected to the "data" input of the next one

in the chain, resulting in a circuit that shifts by one position the one-dimensional "bit

array" stored in it.

¬ Shifting in the data present at its input and shifting out the last bit in the array, when

enabled to do so by a transition of the clock input.

¬ More generally, a shift register may be multi-dimensional, such that its "data in" input and

stage outputs are themselves bit arrays: this is implemented simply by running several shift

registers of the same bit-length in parallel.

� Counter

¬ In digital logic and computing, a counter is a device which stores (and sometimes

displays) the number of times a particular event or process has occurred, often in

relationship to a clock signal.

Asynchronous (ripple) counter – changing state bits are used as clocks to

subsequent state flip-flops

Synchronous counter – all state bits change under control of a single

clock Decade counter – counts through ten states per stage

Up/down counter – counts both up and down, under command of a control input

Ring counter – formed by a shift register with feedback connection in a ring

Johnson counter – a twisted ring counter

Cascaded counter.

� FLIP-FLOP

¬ In electronics, a flip-flop or latch is a circuit that has two stable states and can be used to

store state information.

¬ The circuit can be made to change state by signals applied to one or more control inputs

and will have one or two outputs.

¬ It is the basic storage element in sequential logic.

¬ Flip-flops and latches are a fundamental building block of digital electronics systems used

in computers, communications, and many other types of systems.

¬ Flip-flops and latches are used as data storage elements.

¬ Such data storage can be used for storage of state, and such a circuit is described as

sequential logic.

¬ When used in a finite-state machine, the output and next state depend not only on its

current input, but also on its current state (and hence, previous inputs).

¬ It can also be used for counting of pulses, and for synchronizing variably-timed input

signals to some reference timing signal.

¬ Flip-flops can be either simple (transparent or opaque) or clocked (synchronous or edge-

triggered); the simple ones are commonly called latches.

Page 31: Basic electronics by r.vinoth rasipuram

¬ The word latch is mainly used for storage elements, while clocked devices are described as

flip-flops. TYPES of FLIP-FLOP

¬ Simple set-reset latches

3.1.1 SR NOR latch

3.1.2 SR NAND latch

3.1.3 JK latch

¬ Gated latches and conditional

transparency Gated SR latch

Gated D latch

Earle latch

¬ D flip-flop Classical positive-edge-triggered D flip-flop

Master–slave pulse-triggered D flip-flop

Edge-triggered dynamic D storage element

¬ T flip-flop

¬ JK flip-flop

� Phase-locked loop

¬ A phase-locked loop or phase lock loop (PLL) is a control system that generates an

output signal whose phase is related to the phase of an input "reference" signal.

¬ It is an electronic circuit consisting of a variable frequency oscillator and a phase detector.

¬ This circuit compares the phase of the input signal with the phase of the signal derived

from its output oscillator and adjusts the frequency of its oscillator to keep the phases

matched.

¬ The signal from the phase detector is used to control the oscillator in a feedback loop.

¬ Frequency is the derivative of phase.

¬ Keeping the input and output phase in lock step implies keeping the input and output

frequencies in lock step.

¬ Consequently, a phase-locked loop can track an input frequency, or it can generate a

frequency that is a multiple of the input frequency.

¬ The former property is used for demodulation, and the latter property is used for indirect

frequency synthesis.

¬ Phase-locked loops are widely employed in radio, telecommunications, computers and

other electronic applications.

¬ They can be used to recover a signal from a noisy communication channel, generate stable

frequencies at a multiple of an input frequency (frequency synthesis), or distribute clock

timing pulses in digital logic designs such as microprocessors.

¬ Since a single integrated circuit can provide a complete phase-locked-loop building block,

the technique is widely used in modern electronic devices, with output frequencies from a

fraction of a hertz up to many gigahertz.

� Microprocessor based system

Page 32: Basic electronics by r.vinoth rasipuram

¬ Take a closer look at the block diagram and you will see a micro processor has many

support devices like Read only memory, Read-Write memory, Serial interface, Timer,

Input/Output ports etc.

¬ All these support devices are interfaced to microprocessor via a system bus.

¬ So one point is clear now, all support devices in a microprocessor based system are

external.

¬ The system bus is composed of an address bus, data bus and control bus.

� Micro controller system

¬ The above block diagram shows a micro controller system in general.

¬ What’s the primary difference you see? All the support devices like Read only memory,

Read – Write memory, Timer, Serial interface, I/O ports are internal.

¬ There is no need of interfacing these support devices and this saves a lot of time for the

individual who creates the system.

¬ You got the basic understanding ? A micro controller is nothing but a microprocessor

system with all support devices integrated inside a single chip.

¬ There is no need of any external interfacing in a micro controller unless you desire to create

something beyond the limit, like interfacing an external memory or DAC/ADC unit etc.

¬ To make this microcontroller function, you need to give a DC power supply, a reset circuit

and a quartz crystal (system clock) from external source. � Comparison

As you already know, support devices are external in a microprocessor based system where as

support devices are internal for a micro controller. Micro controllers offer software protection

where as micro processor base system fails to offer a protection system. This is made possible in

microcontrollers by locking the on-chip program memory which makes it impossible to read using

an external circuit. Okay! So that are basic differences, now you can come up with some more. As

we need to interface support devices externally in a microprocessor based system, time required to

build the circuit will be more, the size will be more and power consumption will be more in a

microprocessor based system compared to microcontroller.

� Antenna (radio)

Whip antenna on car

Diagram of the electric fields (blue) and

magnetic fields (red) radiated by a dipole

antenna (black rods) during transmission.

Page 33: Basic electronics by r.vinoth rasipuram

Large parabolic antenna for communicating

with spacecraft Rooftop television antennas in Israel. Yagi-

Uda antennas like these six are widely used

at VHF and UHF frequencies.

• "Rabbit ears" dipole antenna

for television reception

Cell phone base station antennas

Parabolic antenna by Himalaya

Television Nepal

Yagi antenna used for mobile military communications station,

Dresden, Germany, 1955

Turnstile type transmitting antenna for VHF low band television

broadcasting station, Germany.

Folded dipole antenna

• Large Yagi antenna used by

amateur radio hobbyist

A mast radiator antenna for an AM radio

station, Chapel Hill, North Carolina

� An antenna (or aerial) is an electrical device which converts electric currents into radio

waves, and vice versa.

� It is usually used with a radio transmitter or radio receiver. In transmission, a radio

transmitter applies an oscillating radio frequency electric current to the antenna's

terminals, and the antenna radiates the energy from the current as electromagnetic waves

(radio waves).

Page 34: Basic electronics by r.vinoth rasipuram

¬ In reception, an antenna intercepts some of the power of an electromagnetic wave in

order to produce a tiny voltage at its terminals, that is applied to a receiver to be

amplified.

¬ An antenna can be used for both transmitting and receiving.

¬ Antennas are essential components of all equipment that uses radio. ¬ They are used in systems such as radio broadcasting, broadcast television, two-way radio,

communications receivers, radar, cell phones, and satellite communications, as well as

other devices such as garage door openers, wireless microphones, bluetooth enabled

devices, wireless computer networks, baby monitors, and RFID tags on merchandise.

¬ Typically an antenna consists of an arrangement of metallic conductors ("elements"),

electrically connected (often through a transmission line) to the receiver or transmitter.

¬ An oscillating current of electrons forced through the antenna by a transmitter will create

an oscillating magnetic field around the antenna elements, while the charge of the

electrons also creates an oscillating electric field along the elements.

¬ These time-varying fields radiate away from the antenna into space as a moving

electromagnetic field wave.

¬ Conversely, during reception, the oscillating electric and magnetic fields of an incoming

radio wave exert force on the electrons in the antenna elements, causing them to move

back and forth, creating oscillating currents in the antenna.

¬ Antennas may also contain reflective or directive elements or surfaces not connected to

the transmitter or receiver, such as parasitic elements, parabolic reflectors or horns,

which serve to direct the radio waves into a beam or other desired radiation pattern.

¬ Antennas can be designed to transmit or receive radio waves in all directions equally

(omnidirectional antennas), or transmit them in a beam in a particular direction, and

receive from that one direction only (directional or high gain antennas).

¬ The first antennas were built in 1888 by German physicist Heinrich Hertz in his

pioneering experiments to prove the existence of electromagnetic waves predicted by the

theory of James Clerk Maxwell.

¬ Hertz placed dipole antennas at the focal point of parabolic reflectors for both

transmitting and receiving. He published his work in Annalen der Physik und Chemie

(vol. 36, 1889).

� Basic antenna models ¬ There are many variations of antennas. Below are a few basic models.

¬ More can be found in Category:Radio frequency antenna types.

The isotropic radiator is a purely theoretical antenna that radiates equally in all directions.

It is considered to be a point in space with no dimensions and no mass.

This antenna cannot physically exist, but is useful as a theoretical model

for comparison with all other antennas. Most antennas' gains are measured with reference to an isotropic radiator,

and are rated in dBi (decibels with respect to an isotropic radiator).

Page 35: Basic electronics by r.vinoth rasipuram

The dipole antenna is simply two wires pointed in opposite directions arranged

either horizontally or vertically, with one end of each wire connected to the radio and the other end hanging free in space.

Since this is the simplest practical antenna, it is also used as a reference

model for other antennas; gain with respect to a dipole is labeled as dBd. Generally, the dipole is considered to be omnidirectional in the plane

perpendicular to the axis of the antenna, but it has deep nulls in the

directions of the axis.

Variations of the dipole include the folded dipole, the half wave antenna, the

ground plane antenna, the whip, and the J -pole.

The Yagi-Uda antenna is a directional variation of the dipole with parasitic

elements added which are functionality similar to adding a reflector and lenses

(directors) to focus a filament light bulb. The random wire antenna is simply a very long (at least one quarter

wavelength) wire with one end connected to the radio and the other in free

space, arranged in any way most convenient for the space available.

Folding will reduce effectiveness and make theoretical analysis extremely

difficult. (The added length helps more than the folding typically hurts.)

Typically, a random wire antenna will also require an antenna tuner, as it

might have random impedance that varies non-linearly with frequency.

The horn antenna is used where high gain is needed, the wavelength is short (microwave) and space is not an issue.

Horns can be narrow band or wide band, depending on their shape.

A horn can be built for any frequency, but horns for lower frequencies are

typically impractical.

Horns are also frequently used as reference antennas. The parabolic antenna consists of an active element at the focus of a parabolic

reflector to reflect the waves into a plane wave. Like the horn it is used for high

gain, microwave applications, such as satellite dishes.

The patch antenna consists mainly of a square conductor mounted over a

groundplane. Another example of a planar antenna is the tapered slot antenna

(TSA), as the Vivaldi-antenna.

� VLSI

¬ Very-large-scale integration (VLSI) is the process of creating integrated circuits by combining thousands of transistors into a single chip.

¬ VLSI began in the 1970s when complex semiconductor and communication

technologies were being developed. The microprocessor is a VLSI device. ¬ The first semiconductor chips held two transistors each.

¬ Subsequent advances added more and more transistors, and, as a consequence, more

individual functions or systems were integrated over time.

Page 36: Basic electronics by r.vinoth rasipuram

� The first integrated circuits held only a few devices, perhaps as many as ten diodes,

transistors, resistors and capacitors, making it possible to fabricate one or more logic

gates on a single device.

� Now known retrospectively as small-scale integration (SSI), improvements in technique

led to devices with hundreds of logic gates, known as medium-scale integration (MSI).

� Further improvements led to large-scale integration (LSI), i.e. systems with at least a

thousand logic gates.

� Current technology has moved far past this mark and today's microprocessors have many

millions of gates and billions of individual transistors.

� At one time, there was an effort to name and calibrate various levels of large-scale

integration above VLSI.

� Terms like ultra-large-scale integration (ULSI) were used.

� But the huge number of gates and transistors available on common devices has rendered

such fine distinctions moot.

� Terms suggesting greater than VLSI levels of integration are no longer in widespread use.

� As of early 2008, billion-transistor processors are commercially available.

� This is expected to become more commonplace as semiconductor fabrication moves from

the current generation of 65 nm processes to the next 45 nm generations (while

experiencing new challenges such as increased variation across process corners).

� A notable example is Nvidia's 280 series GPU. This GPU is unique in the fact that

almost all of its 1.4 billion transistors are used for logic, in contrast to the Itanium, whose

large transistor count is largely due to its 24 MB L3 cache.

� Current designs, as opposed to the earliest devices, use extensive design automation and

automated logic synthesis to lay out the transistors, enabling higher levels of complexity

in the resulting logic functionality. � Certain high-performance logic blocks like the SRAM (Static Random Access Memory)

cell, however, are still designed by hand to ensure the highest efficiency (sometimes by

bending or breaking established design rules to obtain the last bit of performance by

trading stability).

� VLSI technology is moving towards radical level miniaturization with introduction of

NEMS technology.

� A lot of problems need to be sorted out before the transition is actually made.

Challenges � As microprocessors become more complex due to technology scaling, microprocessor

designers have encountered several challenges which force them to think beyond the

design plane, and look ahead to post-silicon:

Power usage/Heat dissipation – As threshold voltages have ceased to scale with

advancing process technology, dynamic power dissipation has not scaled

proportionally. Maintaining logic complexity when scaling the design down only

means that the power dissipation per area will go up. This has given rise to

techniques such as dynamic voltage and frequency scaling (DVFS) to minimize

overall power.

Process variation – As photolithography techniques tend closer to the fundamental

laws of optics, achieving high accuracy in doping concentrations and etched wires

is becoming more difficult and prone to errors due to variation. Designers now

must simulate across multiple fabrication process corners before a chip is certified

ready for production. Stricter design rules – Due to lithography and etch issues with scaling, design rules

for layout have become increasingly stringent. Designers must keep ever more of

these rules in mind while laying out custom circuits. The overhead for custom design

is now reaching a tipping point, with many design houses opting to switch to

electronic design automation (EDA) tools to automate their design process.

Page 37: Basic electronics by r.vinoth rasipuram

Timing/design closure – As clock frequencies tend to scale up, designers are

finding it more difficult to distribute and maintain low clock skew between these

high frequency clocks across the entire chip. This has led to a rising interest in

multicore and multiprocessor architectures, since an overall speedup can be

obtained by lowering the clock frequency and distributing processing.

First-pass success – As die sizes shrink (due to scaling), and wafer sizes go up (to

lower manufacturing costs), the number of dies per wafer increases, and the

complexity of making suitable photomasks goes up rapidly. A mask set for a

modern technology can cost several million dollars. This non-recurring expense

deters the old iterative philosophy involving several "spin-cycles" to find errors in

silicon, and encourages first-pass silicon success. Several design philosophies have

been developed to aid this new design flow, including design for manufacturing

(DFM), design for test (DFT), and Design for X.

Conferences ¬ ISSCC – IEEE International Solid-State Circuits Conference ¬ CICC – IEEE Custom Integrated Circuits Conference ¬ ISCAS – IEEE International Symposium on Circuits and Systems ¬ VLSI Circuits - IEEE Symposium on VLSI Circuits ¬ VLSI – IEEE International Conference on VLSI Design ¬ DAC – Design Automation Conference ¬ ICCAD – International Conference on Computer-Aided Design ¬ ISPD – International Symposium on Physical Design ¬ ISQED – International Symposium on Quality Electronic Design ¬ DATE – Design Automation and Test in Europe ¬ IEDM – IEEE International Electron Devices Meeting ¬ ASP-DAC – Asia and South Pacific Design Automation Conference

� Application-specific integrated circuit

¬ A tray of Application-specific integrated circuit (ASIC) chips

¬ An application-specific integrated circuit (ASIC) (pronounced /�e- s- k/) is an integrated circuit (IC) customized for a particular

use, rather than intended for general-purpose use.

¬ For example, a chip designed solely to run a cell phone is an ASIC. Application-specific

standard products (ASSPs) are intermediate between ASICs and industry standard

integrated circuits like the 7400 or the 4000 series.

¬ As feature sizes have shrunk and design tools improved over the years, the maximum

complexity (and hence functionality) possible in an ASIC has grown from 5,000 gates to

over 100 million.

¬ Modern ASICs often include entire 32-bit processors, memory blocks including ROM,

RAM, EEPROM, Flash and other large building blocks.

Page 38: Basic electronics by r.vinoth rasipuram

¬ Such an ASIC is often termed a SoC (system -on-chip). Designers of digital ASICs use a

hardware description language (HDL), such as Verilog or VHDL, to describe the

functionality of ASICs.

¬ Field-programmable gate arrays (FPGA) are the modern-day technology for building a

breadboard or prototype from standard parts; programmable logic blocks and

programmable interconnects allow the same FPGA to be used in many different

applications.

¬ For smaller designs and/or lower production volumes, FPGAs may be more cost effective

than an ASIC design even in production.

¬ The non-recurring engineering (NRE) cost of an ASIC can run into the millions of

dollars.

� Integrated Circuit

¬ An integrated circuit or monolithic integrated circuit (also referred to as IC, chip, or

microchip) is an electronic circuit manufactured by the patterned diffusion of trace elements into the surface of a thin substrate of semiconductor material.

¬ Additional materials are deposited and patterned to form interconnections between

semiconductor devices.

¬ Integrated circuits are used in virtually all electronic equipment today and have

revolutionized the world of electronics.

¬ Computers, mobile phones, and other digital appliances are now inextricable parts of

the structure of modern societies, made possible by the low cost of production of

integrated circuits.

¬ Terminology

¬ Integrated circuit originally referred to a miniaturized electronic circuit consisting of

semiconductor devices, as well as passive components bonded to a substrate or circuit

board.

¬ This configuration is now commonly referred to as a hybrid integrated circuit.

¬ Integrated circuit has since come to refer to the single-piece circuit construction

originally known as a monolithic integrated circuit.

� IC Fabrication Process:

¬ An integrated circuit consist of a single crystal chip of silicon.

¬ Containing both active and passive elements, and their interconnection.

¬ The basic structure of an IC consist of four layers of

materials, such that: 1 . S u b s t r a t e 2 . E p i t a x i a l g r o w t h

3 . D i f f u s i o n

4 . M e t a l l i z a t i o n .

� Comparison chart

Analog Digital

Technology: Analog technology records Converts analog waveforms into

waveforms as they are. set of numbers and records them. The numbers are converted into

voltage stream for representation.

Representation: Uses continuous range of values Uses discrete or discontinuous

to represent information. values to represent information.

Page 39: Basic electronics by r.vinoth rasipuram

Analog Digital

Uses: Can be used in various computing Computing and electronics platforms and under operating

systems like Linux, Unix, Mac

OS and Windows.

Signal: Analog signal is a continuous Digital signals are discrete time

signal which transmits signals generated by digital

information as a response to modulation.

changes in physical phenomenon.

Clocks: Analog clocks indicate time using Digital clocks use numeric

angles. representation to indicate time.

Computer: Analog computer uses changeable Digital computers represent

continuous physical phenomena changing quantities incrementally

such as electrical, mechanical, as and when their values change.

hydraulic quantities so as to solve

a problem.

� Transducer � A transducer is a device that converts one form of energy to another.

� Energy types include (but are not limited to) electrical, mechanical, electromagnetic

(including light), chemical, acoustic or thermal energy.

� While the term transducer commonly implies the use of a sensor/detector, any device

which converts energy can be considered a transducer.

� Transducers are widely used in measuring instruments.

Applications � Electromagnetic:

Antenna – converts electromagnetic waves into electric current and vice

versa Cathode ray tube (CRT) – converts electrical signals into visual form

Fluorescent lamp, light bulb – converts electrical power into visible

light Magnetic cartridge – converts motion into electrical form

Photodetector or photoresistor or light dependent resistor (LDR) – converts

changes in light levels into resistance changes

Tape head – converts changing magnetic fields into electrical form

Hall effect sensor – converts a magnetic field level into electrical form only

� Electrochemical:

pH probes

Electro-galvanic fuel

cell Hydrogen sensor

� Electromechanical (electromechanical output devices are generically called

actuators): Electroactive polymers

Galvanometer Microelectromechanical systems

Rotary motor, linear motor

Vibration powered generator

Potentiometer when used for measuring position Load cell – converts force to mV/V electrical signal using strain

gauge Accelerometer

Strain gauge

Page 40: Basic electronics by r.vinoth rasipuram

String potentiometer

Air flow sensor

Tactile sensor

� Electroacoustic:

Loudspeaker, earphone – converts electrical signals into sound (amplified signal

→ magnetic field → motion → air pressure)

Microphone – converts sound into an electrical signal (air pressure → motion of

conductor/coil → magnetic field → signal)

Pickup (music technology) – converts motion of metal strings into an electrical

signal (magnetism → electricity (signal)) Tactile transducer – converts electrical signal into vibration ( signal → vibration)

Piezoelectric crystal – converts solid-state electrical modulations into an electrical

signal (vibration → electrical current → signal)

Geophone – converts a ground movement (displacement) into voltage (vibrations → motion of conductor/coil → magnetic field → signal) Gramophone pickup – (air pressure → motion → magnetic field → signal)

Hydrophone – converts changes in water pressure into an electrical form

Sonar transponder (water pressure → motion of conductor/coil → magnetic field

→ signal)

� Photoelectric:

Laser diode, light-emitting diode – converts electrical power into forms of light

Photodiode, photoresistor, phototransistor, photomultiplier tube – converts

changing light levels into electrical form � Electrostatic:

Electrometer � Thermoelectric:

Resistance temperature detector

(RTD) Thermocouple

Peltier cooler

Thermistor (includes PTC resistor and NTC resistor)

� Radioacoustic: Geiger–Müller tube – used for measuring

radioactivity Receiver (radio).