70
LOS CIRCUITOS INTEGRADOS Y SUS FAMILIAS LOGICAS

Circuitos secuenciales=u.o

Embed Size (px)

Citation preview

Page 1: Circuitos secuenciales=u.o

LOS CIRCUITOS INTEGRADOS Y SUS FAMILIAS LOGICAS

Page 2: Circuitos secuenciales=u.o

El Nivel de integración hace referencia a la cantidad de compuertas lógicas que puede tener un CI, es decir entre más compuertas y sistemas tenga un chip podrán realizarse más funciones con menos chips. A continuación la clasificación por niveles de integración:

Page 3: Circuitos secuenciales=u.o
Page 4: Circuitos secuenciales=u.o
Page 5: Circuitos secuenciales=u.o
Page 6: Circuitos secuenciales=u.o
Page 7: Circuitos secuenciales=u.o
Page 8: Circuitos secuenciales=u.o

SISTEMAS NUMERICOSExisten algunas formas de representar números, es decir un mismo número se puede representar de varias formas, por eso se habla de sistemas numéricos.

El más común es el sistema decimal, que se utiliza en la vida cotidiana y que se representa con 10 números del 0 al 9. (0, 1, 2, 3, 4, 5, 6, 7, 8, 9).

A continuación se realiza un comparativo de los diferentes sistemas numéricos y en el estudio del material se explicará cómo conocer la equivalencia de un número en los diferentes sistemas.

Page 9: Circuitos secuenciales=u.o

Ejemplo: representar el número decimal 2158 en números binarios PASO A PASO 1. Divide el número decimal por 2. 2. Si hay resto, escribe 1 como dígito. Si no hay resto, el dígito del número binario será 0. 3. Divide nuevamente el resultado por 2. 4. Nuevamente, si hay resto, coloca un 1. Si no hay resto, el segundo dígito desde será 0. 5. Continúa dividiendo por dos de la misma forma hasta que no quede nada del número decimal.

Page 10: Circuitos secuenciales=u.o

ALGEBRA DE BOOLEPara ordenarle funciones o programar los circuitos integrados a través de su lenguaje que son los números binarios, se realizan operaciones binarias y un conjunto de ecuaciones que también permiten conocer la función del circuito para pronosticar lo que pueden arrojar en la entrada y en la salida y esto se realiza a través del Algebra de Boole.

El Algebra de Boole se fundamenta en solo tres operaciones (similares a las de las matemáticas pero NO iguales):

Dato Importante: La negación se expresa con una línea arriba del nombre asignado a la entrada o a la salida ó con una comilla así: A = A’

Page 11: Circuitos secuenciales=u.o
Page 12: Circuitos secuenciales=u.o
Page 13: Circuitos secuenciales=u.o

PUERTAS LOGICASLas compuertas son los bloques básicos de cualquier circuito digital.

Una compuerta digital es un circuito electrónico con dos o más líneas de entrada y una línea de salida, que tiene la capacidad de tomar decisiones.

La decisión tomada por una compuerta consiste en situar su salida en 0 o en 1, dependiendo del estado de sus entradas y de la función lógica para la cual ha sido diseñada.

En electrónica digital existen ocho compuertas lógicas designadas como

AND, OR, NOT, YES, NAND, NOR, XOR y XNOR.

Como describir la operación de una compuerta

La operación de una compuerta lógica se puede expresar mediante una tabla de verdad, una ecuación lógica o un diagrama de temporización.

Una tabla de verdad:

Es la que representa ordenadamente todas las posibles combinaciones de estados lógicos que pueden existir en las entradas y el valor que toma la salida en cada caso.

La ecuación lógica :

Es la que relaciona matemáticamente la salida con las entradas

Un diagrama de temporización:

Es la que representa gráficamente el comportamiento de una compuerta con señales variables en el tiempo.

Resistencia Pull Up y Pull Down

Las resistencias pull up y pull down son resistencias dispuestas en una configuración determinada. Este tipo de configuración establece un estado lógico a la entrada de un circuito lógico cuando dicho circuito está en reposo.

A) Pull up un estado lógico alto.

B) Pull down bajo.

De esta forma, se evita falsos estados producidos por

ruido eléctrico si dejáramos una entrada con un valor

indeterminado.

Page 14: Circuitos secuenciales=u.o
Page 15: Circuitos secuenciales=u.o
Page 16: Circuitos secuenciales=u.o

CODIFICADORESLos codificadores nos permiten “compactar” la información, generando un código de salida a partir de la información de entrada. Y como siempre, lo mejor es verlo con un ejemplo.Imaginemos que estamos diseñando un circuito digital que se encuentra en el interior de un equipo de sonido. Este circuito controlará las funciones del equipo, haciendo que funcione correctamente.

Una de las cosas que hará este circuito de control será activar la radio, el CD, la cinta o el Disco según el botón que haya pulsado el usuario. Imaginemos que tenemos 4 botones en la cadena, de manera que cuando no están pulsados, generan un ’0’ y cuando se pulsan un ’1’ (Botones digitales).

Los podríamos conectar directamente a nuestro circuito de control la cadena de música, como se muestra en la figura.Sin embargo, a la hora de diseñar el circuito de control, nos resultaría más sencillo que cada botón tuviese asociado un número.

Como en total hay 4 botones, necesitaríamos 2 bits para identificarlos. Para conseguir esta asociación utilizamos un codificador, que a partir del botón que se haya pulsado nos devolverá su número asociado:

Fijémonos en las entradas del codificador, que están conectadas a los botones. En cada momento, sólo habrá un botón apretado , puesto que sólo podemos escuchar una de las cuatro cosas. Bien estaremos escuchando el CD, bien la cinta, bien la radio o bien un disco, pero no puede haber más de un botón pulsado1.

Tal y como hemos hecho las conexiones al codificador, el CD tiene asociado el número 0, la cinta el 1, la radio el 2 y el disco el 3 (Este número depende de la entrada del codificador a la que lo hayamos conectado).

A la salida del codificador obtendremos el número del botón apretado .El circuito de control el equipo de sonido ahora sólo tendrá 2 bits de entrada para determinar el botón que se ha pulsado. Antes necesitábamos 4 entradas. El codificador que hemos usado tiene 4 entradas y 2 salidas, por lo que se llama codificador de 4 a 2.

Page 17: Circuitos secuenciales=u.o

DECODIFICADORES

Page 18: Circuitos secuenciales=u.o

MOTORES PASO A PASO UNIPOLARES(Stepper motors)

Los motores paso a paso unipolares son ideales para la construcción de mecanismos en donde se requieren movimientos muy precisos.

Principio de funcionamientoEstán const ituidos por un rotor sobre el que van aplicados dist intos imanes permanentes y por un cierto número de bobinas excitadoras bobinadas en su estator.

Las bobinas son parte del estator y el rotor es un imán permanente. Toda la conmutación (o excitación de las bobinas) deber ser externamente manejada por un controlador.

Giran cuando se hace en la secuencia adecuada p ara un ángulo determinado.

Si una o más de sus bobinas está energizada, el motor estará enclavado en la posición correspondiente y por el contrar io quedará completamente l ibre si no circula corriente por ninguna de sus bobinas.

Número de pasos por vuelta :

Conociendo el número de grados recorridos por el eje del motor a cada paso, podemos calcular cuantos pasos son necesarios para que el eje del motor efectúe un giro completo, uti l izando la siguiente fórmula:

Total de pasos = 360 / grados en cada pasoConociendo el número total de pasos necesarios para obtener un giro completo de eje del motor, podemos conocer el valor de la rotación de un paso en grados, uti l izando la siguiente fórmula:

Grados por paso = 360 / número total de pasosEl ángulo de paso va desde los 90º hasta los 1.8º o más, cada ángulo de paso, se efectúa enviando un pulso en uno de sus terminales.

Ejemplo en motores que t ienen 90º de giro por paso, se requiere 4 pulsos para completar un giro completo de 360°, mientras que en los de 1,8º necesitas 200 pulsos.

En la f igura podemos encontrar el número de pasos para obtener un giro completo en función de los grados.

Page 19: Circuitos secuenciales=u.o

 Identificación de los cables: Es posible averiguar la distr ibución de los cables a los bobinados y el cable común en un motor de paso unipolar de 5 o 6 cables que se detal lan a cont inuación:

5 cables. Solo hay un común y 4 terminales (A, B, C y D).

Aquí la resistencia entre cualquier terminal y el común es la misma y aproximadamente la mitad de la resistencia entre los extremos de las bobinas.

Apl icar un voltaje posit ivo al cable común   y manteniendo 1 de los 4 cables a negativo (GND) mientras vamos poniendo a negativo cada uno de los demás cables de forma alternada y observando los resultados. El proceso se puede apreciar en el siguiente cuadro:

6 cables. Para encontrar el Cable común, se ut i l iza un Tester para medir la resistencia entre cada par de terminales, ya que los extremos A y B deben tener la misma resistencia que los extremos C y D, ahora si mides la resistencia en forma cruzada no te marcará nada ya que corresponden a bobinas dist intas y se obtienen tres valores dist intos.. .

Como comentario f inal, cabe destacar que debido a que los motores paso a paso son disposit ivos mecánicos y como tal deben vencer ciertas inercias, el t iempo de duración y la frecuencia de los pulsos aplicados es un punto muy importante a tener en cuenta.

En tal sent ido el motor debe alcanzar el paso antes que la próxima secuencia de pulsos comience.

Para obtener un arranque suave y preciso, es recomendable comenzar con una frecuencia de pulso baja y gradualmente ir aumentándola hasta la velocidad deseada sin superar la máxima tolerada.

El giro en reversa debería también ser real izado previamente bajando la velocidad de giro y luego cambiar el sentido de rotación.

Page 20: Circuitos secuenciales=u.o

CIRCUITO DE PRÁCTICATenemos que generar un circuito de pulsos con un LM555 en un orden de tal manera que a cada terminal del motor le l legue un pulso cada determinado t iempo.

Sabiendo esto, creamos un secuenciador de pulsos programado hasta 4 para enviárselos al motor; sin embargo, si lo hacemos directamente podremos quemar el integrado CD4017 ya que no da la corr iente necesaria para mover el motor.

Entonces usamos un power darl inton t ipo ULN2003 que toma esa señal y la ampl if ica en corriente y de esta manera puede mover el motor paso a paso a la velocidad que queramos.

 ULN2803Controla un motor paso a paso unipolar el cual es una array de 8 transistores t ipo Darl ington capaces de manejar cargas de hasta 500mA en sus salidas.

Sólo debes tener en cuenta que las sal idas están invert idas respecto de las entradas.

Las entradas de act ivación (Act iva A, B, C y D) pueden ser directamente act ivadas por un PIC o un CD4017.

555 ASTABLE

Un circuito astable no tiene estado estable, de ahí el nombre de "astable".

La salida conmuta continuamente el estado entre alta y baja (tren de pulsos) sin ninguna intervención por parte del usuario, llamada onda "cuadrado".

Funcionamiento:

Cuando se conecta la alimentación, el condensador está descargado y la salida del 555 pasa a nivel alto hasta que el condensador, que se va cargando, alcanza los 2/3 de la tensión de alimentación.

Con esto la salida del biestable RS pasa a nivel "1", y la salida del 555 a cero y el condensador C1 comienza a descargarse a través de la resistencia R2.

Cuando la tensión en el condensador C1 llega a 1/3 de la alimentación, comienza de nuevo a cargarse, y así sucesivamente mientras se mantenga la alimentación.

Page 21: Circuitos secuenciales=u.o

DESCRIPCIÓN DE LOS PINES DEL 555

DENTRO DEL CI 555

Page 22: Circuitos secuenciales=u.o

NOTA: El 555 recibe este nombre debido a las tres resistencias de 5K que observamos en el circuito.

Page 23: Circuitos secuenciales=u.o

CD4017

Se trata de un contador/divisor o decodificador con 10 salidas.

Estructuralmente está formado por un contador Johnson de 5 etapas que puede dividir o contar por cualquier valor entre 2 y 9, con recursos para continuar o detenerse al final del ciclo.

En la figura 1 tenemos las terminaciones de este integrado que se presenta en una cubierta DIL de 16 pines.

Todos los terminales tienen funciones específicas destacándose los siguientes:

- SALIDAS: 0 al 9 y 12 carry-out o conducción- ENTRADAS: clock, clock-inhibit y reset- ALIMENTACION: Vdd y Vss (8 y16V)

Con las entradas clock-inhibit y reset a tierra, el contador avanza una etapa a cada transición positiva de la señal de entrada (clock).

Partiendo entonces de la situación inicial en la que la salida "0" se encuentra positiva y todas las demás en el nivel cero volts aproximadamente, con la llegada del primer pulso de entrada tenemos la primera transición.

La salida "0" va al nivel alto y las demás salidas pasan al nivel bajo.

Con el segundo pulso, la salida "1" pasa al nivel alto y la salida "0" y las demás salidas pasan al nivel bajo. y así sucesivamente hasta la última

Con la entrada clock-inhibit a tierra, llegando la última salida en el nivel alto, el pulso siguiente hace que se inicie un nuevo ciclo, volviendo entonces la salida "0" al nivel alto.

Una salida "carry-out" proporciona un ciclo completo a cada 10 ciclos de entrada, pudiendo usarse para excitar otro 4017 para división sucesiva de frecuencia o recuento por número superior a 10.

Page 24: Circuitos secuenciales=u.o

DECODIFICADOR BCD A 7 SEGMENTOS.

Algunos despliegues numéricos usan una configuración de 7 segmentos. Para producir los caracteres decimales 0-9. Cada segmento puede ser un diodo emisor de luz (LED). La Figura, muestra los patrones de los segmentos que se usan para desplegar los diferentes dígitos.

Por ejemplo, para desplegar el número 6, los segmentos c, d, e, f y g se activan mientras los segmentos a y b no lo están.

   

Un decodificador/manejador BCD a 7 segmentos, se usa para tomar una entrada BCD de 4 bits y desplegar el dígito decimal, después de pasar corriente por los segmentos apropiados.

La siguiente tabla funcional, presenta la relación de entrada en BCD y la activación de los segmentos desplegados.

La Figura, muestra un decodificador BCD a 7 segmentos (TTL 7446 o 7447) que se usa para manejar una lectura con diodos emisores de luz de 7 segmentos.

.

Page 25: Circuitos secuenciales=u.o

MULTIPLEXORES. El multiplexor es el equivalente lógico digital de un interruptor giratorio de varias posiciones, tal como la llave que sirve para seleccionar las bandas de un receptor de radio.

Un multiplexor típico en circuitos integrados es aquel que puede seleccionar cualquiera de varias líneas de entrada y comunicar a una línea común de salida el nivel lógico que allí encuentre.

Mediante unas líneas auxiliares de control binario se le puede "direccionar" para que se "estacione" en determinada línea de entrada de datos (la primera, la quinta, séptima, etc.) con el fin de que aquellos sean comunicados a la línea de salida.

Un integrado multiplexor común tiene 8 entradas de datos (bits), tres entradas direccionadas (address) y una sola línea para salida de datos.

Cuando la dirección 101 -leer "uno- cero - uno" - es aplicada al multiplexor, la entrada 5 es "comunicada" con la salida.

Aprovechando el fenómeno conocido como "persistencia visual", se emplean con mucha frecuencia circuitos multiplexor en el manejo de los visualizadores numéricos de las calculadoras y relojes electrónicos portátiles, ya que así se logra disminuir el consumo de corriente y la cantidad de pines (patas) que deberían llegar hasta el circuito activador.

Los multiplexores son circuitos combinacionales con varias entradas y una salida de datos, y están dotados de entradas de control capaces de seleccionar una, y sólo una, de las entradas de datos para permitir su transmisión desde la entrada seleccionada a la salida que es única.

Circuitos integrados Multiplexores son 74153, 74253, 74157, 74298, 74151 entre otros.

DEMULTIPLEXORES. El demultiplexer funciona de manera contraria al multiplexer: tiene una sola línea para entrada de datos y dos o más salidas seleccionables.

Page 26: Circuitos secuenciales=u.o

Tal como ocurre con el multiplexer, un conjunto de terminales de entrada conocidos como "address" direcciona o escoge la salida.

El address necesita una cantidad de terminales suficientes para recibir la cantidad de bits que conforman el número binario equivalente al máximo de salidas.

Así, por ejemplo, un demultiplexer de 1 línea a 8 líneas, requiere tres bits para poder "llevar" la entrada hasta la salida octava (address 111 es el número binario equivalente al decimal 7, pero corresponde a la posición octava por tener en cuenta que el 000 es la posición primera).

Los demultiplexer (DeMUX) se usan junto con los multiplexer(MUX) para llevar por una misma línea varias conversaciones telefónicas simultáneas, con lo cual se reducen notablemente los costos y la cantidad de alambres que tendrían que interconectar las estaciones en caso de un circuito convencional estático.

El demultiplexor es un circuito destinado a transmitir una señal binaria a una determinada línea, elegida mediante un seleccionador, de entre las diversas líneas existentes.

El dispositivo mecánico equivalente a un demultiplexor será un conmutador rotativo unipolar, de tantas posiciones como líneas queramos seleccionar.

El seleccionador determina el ángulo de giro del brazo del conmutador.

En la práctica, no existen circuitos integrados demultiplexores, sino que se fabrican circuitos decodificadores/demultiplexores, que en realidad son decodificadores con entrada de inhibición ("enable" o "strobe").

En la figura se muestra la construcción mediante puertas lógicas de un decodificador/demultiplexor de 2 a 4 líneas.

Ejemplos de demultiplexores son: 74139, 74155, 74156, 74138

Page 27: Circuitos secuenciales=u.o
Page 28: Circuitos secuenciales=u.o

CIRCUITOS SECUENCIALES BÁSICOS

En este documento se hará la definición de los sistemas secuenciales, además de sus elementos electrónicos más representativos, y algunas de sus características básicas.

Conceptos generales

Introducción

Los sistemas digitales son combinaciones de dispositivos diseñados para manipular cantidades físicas o información que estén representadas en forma digital, es decir que solo pueden tomar valores discretos. Los sistemas digitales utilizan el sistema de numeración binaria, cuya mínima unidad tiene un valor que se especifica como una de dos posibilidades 0 o 1, ALTO o BAJO y se denomina bit.

Figura1. Proceso donde se identifica un sistema digital

Page 29: Circuitos secuenciales=u.o

Los sistemas digitales se dividen en combinacionales y secuenciales:

Los circuitos combinacionales se caracterizan porque la salida en cada instante depende única y exclusivamente de las entradas en ese mismo (carecen de memoria).

Un circuito secuencial es aquel que posee la capacidad de recordar de alguna manera su historia anterior, es decir, la secuencia de operaciones a la que ha sido sometido.

Ejemplo: la propia memoria de un computador.Un circuito cuya salida depende no solo de la combinación de entrada, sino también de la historia de las entradas anteriores se denomina Circuito Secuencial.

El circuito secuencial debe ser capaz de mantener su estado durante algún tiempo, para ello se hace necesario el uso de dispositivos de memoria.

Los dispositivos de memoria utilizados en circuitos secuenciales pueden ser tan sencillos como un simple retardador (inclusive, se puede usar el retardo natural asociado a las compuertas lógicas) o tan complejos como un circuito completo de memoria denominado multivibrador biestable o Flip Flop.

Como puede verse entonces, en los circuitos secuenciales entra un factor que no se había considerado en los combinacionales, dicho factor es el tiempo.

Page 30: Circuitos secuenciales=u.o

Los circuitos secuenciales se clasifican de acuerdo a la manera como manejan el tiempo en circuitos secuenciales síncronos y circuitos secuenciales asíncronos.

En un circuito secuencial asíncrono, los cambios de estado ocurren al ritmo natural marcado por los retardos asociados a las compuertas lógicas utilizadas en su implementación, es decir, estos circuitos no usan elementos especiales de memoria, pues se sirven de los retardos propios (tiempos de propagación) de las compuertas lógicas usados en ellos.

Esta manera de operar puede ocasionar algunos problemas de funcionamiento, ya que estos retardos naturales no están bajo el control del diseñador y además no son idénticos en cada compuerta lógica.

Los circuitos secuenciales síncronos, sólo permiten un cambio de estado en los instantes marcados por una señal de sincronismo de tipo oscilatorio denominada reloj. Con esto se pueden evitar los problemas que tienen los circuitos asíncronos originados por cambios de estado no uniformes en todo el circuito.

Un circuito secuencial puede entenderse simplemente como un circuito combinacional en el cual las salidas dependen tanto de las entradas como de las salidas en instantes anteriores, esto implica una retroalimentación de las salidas.

Page 31: Circuitos secuenciales=u.o

LATCHES (Cerrojos Electrónicos)

En este documento se hará la definición componentes electrónicos conocidos como latches o cerrojos, además de sus características y sus tipos más representativos, y sus características de funcionamiento.

Conceptos generales

Introducción

a) Latch’s S-Rb) Latch S-R con entradas activas a nivel alto

(Biestable RS con compuertas NOR)

c) Latch S-R con entradas activas a nivel Bajo (Biestable RS con compuertas NAND)

d) Latch S-R con entrada de validación (Latch S-R con entrada de habilitación)

e) Latch D (Latch D con entrada de habilitación)

f) Aplicaciones de los latches

Conceptos generales

Introducción

Son los elementos de memoria más sencillos capaces de almacenar un (1) bit. Se usan como bloques básicos en la construcción de biestables. El latch (ò cerrojo) es un tipo de dispositivo de almacenamiento temporal de dos estados (biestables), que se suele agrupar en una categoría diferente a la de los flip-flops. Básicamente, los latches son similares a los flip-flops, ya que son también dispositivos de dos estados que pueden permanecer en cualquier de sus dos estados gracias a su capacidad de realimentación, lo que consiste en conectar (realimentar) cada una de las salidas a la entrada opuesta. La diferencia principal entre ambos tipos de dispositivos está en el método empleado para cambiar de estado.

a. Latch’s S-R

Page 32: Circuitos secuenciales=u.o

Los latchs a diferencia de los Flip-Flops no necesitan una señal de reloj para su funcionamiento.

El más simple latch’s lógico es el RS, donde R y S permanecen en estado 'reset' y 'set'.El latch es construido mediante la interconexión retroalimentada de puertas lógicas NOR (ver figura) (negativo OR), o bien de puertas lógicas NAND (ver figura) (aunque en este caso la tabla de verdad tiene salida en lógica negativa para evitar la incongruencia de los datos). El bit almacenado está presente en la salida marcada como Q.

a.

Page 33: Circuitos secuenciales=u.o

b. Latch S-R con entradas activas a nivel alto (Biestable RS con compuertas NOR)

El latch R-S (Reset-Set) con entrada activa a nivel alto es un tipo de dispositivo lógico biestable con dos salidas Q Q (una la complementaria de la otra), compuesto de dos puertas NOR acopladas tal y como muestra la Figura. Se puede observar que la salida de cada puerta NOR se conecta a la entrada de la puerta opuesta.

El funcionamiento del latch R-S con entrada activa a nivel alto se deriva del comportamiento de la puerta NOR (ver tabla de verdad).

Si una de las entradas de una puerta NOR (de dos entradas) se mantiene a ‘0’ la salida será la inversa de la otra entrada.

En consecuencia si en el latch R y S son ‘0’, la salida del circuito se mantendrá en el estado en el que estuviera (ver tabla de verdad del latch).

Si la entrada R del latch se pone a ‘1’ mientras que la entrada S permanece a ‘0’, la salida Q se pondrá a ‘0’ sin importar su estado previo (en una puerta NOR en cuanto hay una entrada a ‘1’ la salida es ‘0‘) y a su vez la salida negada, Q se pondrá a ‘1‘, el latch pasará al estado de Reset.

Si ahora R vuelve a ‘0’ el circuito entrará de nuevo en su modo de memoria. De manera similar si S se lleva a ‘1’ mientras R permanece a ‘0’, entonces la salida negada Q se pondrá a ‘0’, con lo que la salida Q se colocará a ‘1’.

Page 34: Circuitos secuenciales=u.o

c. Latch S-R con entradas activas a nivel Bajo (Biestable RS con compuertas NAND)

El latch R-S (Reset-Set) con entrada activa a nivel bajo es un tipo de dispositivo lógico biestable compuesto de dos puertas NAND acopladas tal y como muestra la Figura.

Al comparar el funcionamiento de una puerta NAND con otra NOR podemos ver que si bien una puerta NOR se asemeja a un inversor cuando una de sus entradas está conectada a ‘0’.

La puerta NAND se asemeja a un inversor cuando una de sus entradas está conectada a ‘1’ (ver tabla de verdad de una puerta NAND en la figura XX).

Por tanto el modo de memoria del latch (Qn=Qn-1) corresponde en este caso con las dos entradas a ‘1’.

Si la entrada S se lleva a nivel bajo ‘0’ la salida Q se pone a ‘1’ (SET). Si la entrada R se lleva a nivel bajo ‘0’ la salida Q será ‘0’ (RESET). De ahí que a este latch se le dé el nombre de latch con entrada activa a nivel bajo. En la Figura podemos apreciar la tabla de verdad correspondiente a este lacth.

Page 35: Circuitos secuenciales=u.o

d. Latch S-R con entrada de validación (Latch S-R con entrada de habilitación)

A menudo resulta de utilidad poder controlar el funcionamiento del latch de manera que las entradas se puedan activar en unos instantes determinados. El diagrama y el símbolo lógico de un latch con entrada de habilitación se muestran en la Figura. Las entradas S y R controlan el estado al que va a cambiar el latch cuando se aplica un ‘1’ en la entrada de habitación (E, enable).

El latch no cambiará de estado hasta que la entrada E esté a nivel alto.Esta tercera entrada (E) permite habilitar o inhibir las acciones del resto de entradas.

Cuando la señal de habilitación E esté a nivel bajo, las señales S y R estarán a nivel alto sin importar el valor de las entradas R y S. Esto coloca al latch en su modo de memoria, evitando que la salida cambie de estado. Cuando se activa la entrada de habilitación, las señales R y S se invierten y se aplican al latch S - R, es decir, el circuito actúa como un latch R-S con entrada activa a nivel alto. La tabla de verdad se puede apreciar en la Figura.

Page 36: Circuitos secuenciales=u.o

e. Latch D (Latch D con entrada de habilitación)

Existe otro tipo de latch con entrada de habilitación que se denomina latch D.Se diferencia del latch S-R en que sólo tiene una entrada (D), además de la de habilitación (E).La figura muestra el diagrama, el símbolo lógico y la tabla de verdad de este tipo de latch.

Al igual que antes, cuando la entrada de habilitación E está a nivel bajo las señales S y R estarán a nivel alto y la salida del circuito no variará (modo memoria). Si la habilitación está activa, la entrada D determina el valor de las señales S y R.

Si D es ‘1’ S será ‘0’ y R ‘1’, lo que realizará el SET del circuito (Q=’1’). Si D es ‘0’ S será ‘1’ y R ‘0’, lo que pondrá el circuito a RESET (Q=’0’).

En resumen cuando la habilitación (E) está activa la salida Q toma el valor de la entrada D, y cuando está desactiva, la salida permanece en su estado anterior. Este dispositivo también es conocido como báscula D transparente y se emplea para almacenar un bit de información.

f. Aplicaciones de los latches

Biestables puede representar el estado de un secuenciador, el valor de un contador, un carácter ASCII en la memoria de un ordenador, o cualquier otra clase de información.Un uso corriente es el diseño de máquinas de estado finitas electrónicas.

En los libros hay aplicaciones donde se acostumbra a clasificarlos en tres grandes grupos:

Almacenamiento de datos en paralelo División de frecuencia Contadores

Page 37: Circuitos secuenciales=u.o

FLIP-FLOP’S

(Basculas Electrónicas)En este documento se hará la definición componentes electrónicos conocidos como Flip-Flop o basculas electrónicas, además de sus características y sus tipos más representativos, y sus características de funcionamiento.

Conceptos generales

Introducción

a) Flip-flop S-R b) Flip-flop D disparado por flanco

c) Flip-flop JK disparado por flanco d) Flip-flop T

e) Flip-Flop maestro-esclavo f) Entradas asíncronas de inicialización y borrado de los flip-flop’s

Conceptos generales

IntroducciónLa diferencia básica entre latches y flip-flops es la manera en que cambian de un estado a otro:

Los latches son biestables activos por nivel. los flip-flop son biestables activos por flancos.

En muchas situaciones es necesario sincronizar el funcionamiento de muchos circuitos diferentes y resulta de utilidad poder controlar el momento en el que un circuito cambiará de estado.

Algunos biestables están construidos de manera que sólo cambian de estado ante la aplicación de una señal de disparo, en concreto ante el flanco de bajada o de subida de una señal de entrada llamada reloj (CLK). Estos biestables reciben el nombre de biestables disparados por flanco, o flip-flops.

Los flip-flops son dispositivos síncronos. El término síncrono significa que la salida cambia de estado únicamente en un instante específico de una entrada de disparo (reloj), es decir, los cambios en la salida se producen sincronizadamente con el reloj.

Page 38: Circuitos secuenciales=u.o

Podemos encontrar dos tipos de flip-flops:

Los que son disparados por el flanco de subida de la señal de reloj. Los que son disparados por el flanco de bajada de la señal de reloj.

a. Flip-flop S-R

Se asemeja al latch R-S excepto en que el circuito sólo responde a sus entradas en el flanco ascendente o descendente de la señal de reloj.

Los símbolos gráficos (figura) se asemejan a los de los latches con entrada de habilitación, excepto en que esta última entrada se reemplaza por una entrada de reloj.

En ausencia de la transición de reloj el Flip-Flop permanece en su modo de memoria, como se aprecia en el diagrama de la Figura XX, correspondiente a un Flip-Flop disparado con flanco de subida.

El funcionamiento de un Flip-Flop R-S activado por flanco descendente es, por supuesto, idéntico, excepto que el disparo tiene lugar en el flanco de bajada de la señal de reloj (cuando cambia de ‘1’ a ‘0’).

Page 39: Circuitos secuenciales=u.o

b. Flip-flop D disparado por flanco

Su comportamiento es similar al del latch D descrito con anterioridad, la salida del Flip-Flop tipo D se igualará a la entrada en el instante en el que se produzca el flanco ascendente o descendente (según el tipo de Flip-Flop) de la señal de reloj (CLK).

En la Figura 7 se observa el símbolo lógico y la tabla de verdad de un Flip-Flop tipo D disparado por flanco ascendente.

El funcionamiento de un flip-flop D disparado por flanco ascendente se resume en la Figura 8.

c. Flip-flop JK disparado por flanco

Page 40: Circuitos secuenciales=u.o

El Flip-Flop J-K se comporta como el Flip-Flop R-S a excepción de que resuelve el problema de tener una salida indeterminada cuando las entradas se encuentran activas a la vez.

La entrada J es la equivalente a la entrada S de un Flip-Flop R-S y la entrada K, al equivalente a la entrada R.

En este dispositivo cuando las dos entradas se colocan a nivel alto la salida cambia al estado opuesto al que se encontraba.

A este modo de funcionamiento se le denomina modo de basculación. La tabla de transición muestra las características de un Flip-Flop J-K disparado por flanco ascendente.

El Flip-Flop J-K es uno de los flip-flops más ampliamente utilizados.

d. Flip-flop T

Page 41: Circuitos secuenciales=u.o

Existe otro tipo de Flip-Flop con una única entrada (T). El comportamiento de un Flip-Flop tipo T es equivalente al de un Flip-Flop tipo J-K con sus entradas J y K unidas.

De este modo, si la entrada T presenta un nivel bajo ‘0’ el dispositivo está en su modo de memoria, y si a la entrada T se encuentra a nivel alto ‘1’ el dispositivo cambia de estado, es decir la salida bascula.

En la Figura 13 se aprecia este comportamiento y el símbolo lógico.

e. Flip-flop maestro-esclavo

Page 42: Circuitos secuenciales=u.o

En muchos sistemas digitales es necesario sincronizar el funcionamiento de un gran número de circuitos con una sola señal de reloj. En la Figura 17 se muestra un ejemplo en el que la salida de un Flip-Flop se une a la entrada de otro y se sincronizan ambos con la misma señal de reloj.

Para analizar el comportamiento supondremos que inicialmente la salida de los dos flip-flops están a ‘0’.

Si aplicamos al primer Flip-Flop un nivel alto en la entrada J y un nivel bajo en la entrada K y al segundo Flip-Flop la salida de primero y un nivel bajo en su entrada K observamos en las formas de onda que el funcionamiento del circuito es antes de que cambie el primer dispositivo, en este caso verá un ‘0’ a la entrada y la salida no cambiará.

Sin embargo, si la respuesta del segundo Flip-Flop es lenta, el primer dispositivo habrá tenido tiempo de cambiar y el segundo Flip-Flop verá un ‘1’ en su entrada, con lo que pondrá a ‘1’ su salida.

Este problema se puede resolver mediante el uso de flip-flops maestro/esclavo, que no son más que biestables conectados en serie.

Este tipo de Flip-Flop lo podemos encontrar para los modelos R-S, D y J-K. A continuación se describe el funcionamiento de un flipo flop R-S maestro/esclavo. El Flip-Flop S-R maestro/esclavo básico se muestra en la Figura 18.El circuito representa dos biestables S-R con entrada de habilitación conectados en serie, en los que la entrada de reloj se usa para habilitar cada uno.

Cuando la señal de entrada de reloj pasa a nivel alto, se habilita el maestro y se deshabilita el esclavo. El maestro se comporta como un latch con entrada de habilitación R-S, y el esclavo como no está habilitado continua en su estado previo, las salidas no cambian.

Page 43: Circuitos secuenciales=u.o

Cuando el reloj se hace ‘0’, el maestro se deshabilita y mantiene su estado previo.El esclavo está ahora habilitado y responde a sus entradas.

Como la salida Q’ del maestro está conectada a la entrada S’ del esclavo y la salida Q' del maestro está conectada a la entrada R’ del esclavo, este siempre verá un ‘1’ en una entrada y un ‘0’ en la otra.

Si la salida Q del maestro es ‘1’, el esclavo estará en el estado SET y si es ‘0’, estará en el estado RESET. Por tanto, cuando el esclavo está habilitado toma el estado de salida del maestro.

R-S disparado por flanco, excepto en la manera en que se sincroniza con la señal de reloj.El dispositivo responde a sus entradas mientras el reloj está alto, pero las salidas no seactualizan hasta que el reloj se hace bajo (Figura 19), es decir, el dispositivomaestro/esclavo responde al final del pulso de entrada de reloj, en lugar de hacerlo en el flanco ascendente o descendente.

La tabla de verdad y el símbolo lógico se muestran en la Figura 19.

Si volvemos a analizar el problema anterior en la conexión de dos biestables, sincronizados con la misma entrada de reloj, el circuito sería el de la Figura 20.

Al igual que antes el primer Flip-Flop responde al pulso de reloj que entra, pero esta vez lasalida cambia en el flanco descendente del pulso.

La entrada S2 del segundo Flip-Flop es ‘0’ mientras el reloj está a nivel alto, y por lo tanto el circuito permanece en su estado de memoria. Después del primer pulso de reloj la salida Q1 se pone a nivel alto ‘1’ y con ella la entrada S2. Entonces cuando termine el segundo pulso de reloj la salida del segundo Flip-Flop se pondrá a nivel alto.

Page 44: Circuitos secuenciales=u.o

f. Entradas asíncronas de inicialización y borrado de los flip-flop’s

Las entradas de los diversos flip-flops, es decir, R, S, J, K, D y T, sólo tienen efecto en el momento de una transición apropiada de la señal de reloj (CLK).

Por tanto, nos referimos a estas entradas de control como síncronas, pues su funcionamiento está sincronizado con la entrada de reloj.En muchas aplicaciones resulta útil poner la salida de un Flip-Flop a ’0’ o a ‘1’ en cualquier momento, independientemente del reloj.

Por tanto, algunos dispositivos tienen entradas adicionales para efectuar estas funciones.Estas reciben el nombre de entradas asíncronas pues no están controladas por el estado del reloj. Entre ellas se encuentran la entrada PRESENT (PRE), que cuando se active colocará la salida a nivel alto (Q=’1’) y la entrada CLEAR (CLR) que cuando se active llevará a la salida a nivel bajo (Q= ‘0’).

Como ocurre con el resto de entradas éstas pueden ser activas a nivel alto o a nivel bajo (lo normal).Las entradas PRESET y CLEAR pueden anular las otras entradas al circuito.

Es necesario asegurarse de que ambas entradas asíncronas no están activas simultáneamente.En la Figura 3-17 se muestra el circuito correspondiente a las entradas asíncronas de PRESET y CLEAR y el símbolo lógico, en el caso de un Flip-Flop J-K.

Page 45: Circuitos secuenciales=u.o

CIRCUITO INTEGRADO CD4013

El flip-flop D resulta muy útil cuando se necesita almacenar un único bit de datos (1 o 0). Si se añade un inversor a un flip-flop S-R obtenemos un flip-flop D básico. En función del modo de activación de dicha entrada de sincronismo, existen dos tipos:

Activo por nivel (alto o bajo), también denominado registro o cerrojo ( latch en inglés). Activo por flanco (de subida o de bajada).

Descripción:

Este integrado es un doble flip-flop tipo D, en la figura siguiente se puede observar la disposición de terminales y la tabla de verdad correspondiente, numerada de 1 a 6 para interpretar con mayor claridad el análisis de la misma

1: En este renglón las entradas están todas en "0"; la transición en sentido positivo del pulso de reloj, no tiene efecto en las salidas, por lo que la salida Q se mantiene en 0 y -Q en 1.

2: Con las entradas Set y Reset a potencial 0 y el dato a 1, si en la entrada reloj se presente un pulso de transición positiva el Flip-Flop cambia de estado y se mantiene en él, aun después de desaparecer dicho pulso.

3: Si el pulso de reloj es de transición negativa, aunque las entradas Set y Reset esten a 0, no conmutará independientemente del nivel de la entrada Dato, que puede ser 1 o 0, ya que sólo lo hace en la transición positiva.

4: En este caso x en la entrada de Reloj y Dato significan que es irrelevante el nivel que tengan ya que al estar a 1 la entrada Reset, el Flip-Flop no producirá ningún cambio.

5: No tiene importancia la polaridad de las entradas de Reloj y Dato, ya que el cambio de estado se produce llevando Set a 1, y se mantendrá en él aunque esta entrada vuelva a 0. Sólo se volverá al estado anterior (reposo) llevando momentáneamente la entrada Reset a 1.

6: Esta es una situación en la cual continúa funcionando como R-S, pero con la particularidad de ser seguidor de la señal presente en la entrada Set. Sigue sin tener importancia los niveles de Reloj y Dato.

Al llevar el Set a 1, la salida -Q cambia también a 1, pero no lo hace la Salida Q, con lo que no se obtienen los estados complementarios; la salida Q se mantendrá a 1 todo el tiempo que esté a 1 la entrada Set, en cuanto esta entrada vuelva a 0 la salida Q también volverá a 0, esto es así porque la entrada Reset está a nivel 1, y como ya sabemos con positivo en este terminal el Flip-Flop se mantiene en estado de reposo.

Page 46: Circuitos secuenciales=u.o
Page 47: Circuitos secuenciales=u.o

REGISTROS DE DESPLAZAMIENTO

En este documento se hará la definición de manera general de registros y de los registros de desplazamiento así como las formas de desplazamiento entre dispositivos electrónicos.

Conceptos generales

Introducción

Un registro es un grupo de celdas de almacenamiento binario adecuadas para mantenerinformación binaria. Un grupo de Flip-Flop constituye un registro, ya que cada Flip-Flop es una celda binaria capaz de almacenar un bit de información.

Un registro de n-bit tiene un grupo de n Flip-Flop y es capaz de almacenar cualquier información binaria que contenga n bits. Además de los Flip-Flop, un registro puede tener compuertas combinacionales que realicen ciertas tareas de procesamiento de datos.

En su definición más amplia, un registro consta de un grupo de Flip-Flop y compuertas que efectúan una transición. Los Flip-Flop mantienen la información binaria y las compuertas controlan cuando y como se transfiere información nueva al registro.

Izquierda o hacia la derecha con cada pulso de reloj. Esto significa que cada vez que ocurre un pulso de reloj el bit almacenado en cada flip flop de la cadena se transfiere o desplaza al flip flop siguiente o adyacente. Los bloques individuales que representamos en la siguiente figura nos muestra cómo se desplaza o como opera un registro de desplazamiento, el primer pulso de reloj ingresa el bit 0, el segundo el bit 1 y así sucesivamente.

Después de ciertos pulsos nos muestra el número o cadena de bits que ha sido transferida al registro, si la entrada de datos se mantiene en ceros y se aplican pulsos de reloj la palabra previamente almacenada será expulsada bit por bit.

Page 48: Circuitos secuenciales=u.o

Tipos de desplazamiento utilizados en los registros

Page 49: Circuitos secuenciales=u.o

CONTROL DE UN MOTOR PAP

Descripción: Circuito Integrado TTL 74LS42. Decodificador BCD a decimal  de 4 a 10 líneas.

Especificaciones

Tipo lógico: BCD a Decimal N º de salidas: 10 Voltaje: 4.75 V a 5.25 V 16 pines

Descripción: Circuito Integrado TTL 74LS00. Cuatro compuertas NAND de dos entradas cada una.

Especificaciones

Tipo lógico: NAND Salida de corriente: 16 mA N º de Entradas: 2 Tipo de montaje: 4.75 V a 5.25 V 14 pines 

Descripción: Circuito Integrado TTL 74LS191. Contadores binarios síncronos arriba / abajo de  4 Bits. 

Especificaciones

IC, BINARY 4BIT UP / DOWN COUNTER Tipo de contador: Binario, arriba / abajo Frecuencia de reloj: 25 MHz Cuente máxima: 15 Supply Voltage Range: 4.75 V a 5.25 V 16 pines

RULETA DIGITAL

Page 50: Circuitos secuenciales=u.o

La ruleta como juego de azar y como evento visual siempre ha llamado poderosamente la atención de grandes y pequeños. Este proyecto tiene como resultado final la construcción de una ruleta electrónica que además incorpora efectos de sonido para intensificar la sensación de realismo. 

Funcionamiento del circuito

Esta interesante aplicación se fundamenta principalmente en el Circuito Integrado 74LS164, el cual se encarga  del registro de desplazamiento. En este caso es un registro de desplazamiento de 8 bits con entrada serial y salida en paralelo. Este circuito integrado opera normalmente con sus dos pines de entrada, 1 y 2, cortocircuitados, de tal forma que el registro funciona con una entrada única.

Adicionalmente, se cuenta con un pin de inicialización denominado overline {CLR} de activación baja, tal que cuando se le lleva a cero, todas las salidas del registro se hacen igualmente cero. Por lo demás, el registro opera desplazando su contenido un bit hacia la derecha cada vez que recibe un pulso en su entrada de reloj CP.

En la figura anterior se ilustra un diagrama de bloques que resume el funcionamiento de la ruleta. De aquí se puede establecer que la ruleta está compuesta por cinco módulos cuyas funciones se describen a continuación: 

El primer bloque lo constituye un generador de pulsos de frecuencia variable que arranca mediante la pulsación de un botón a su entrada. Ahora, el contador de anillo, que es el corazón de la ruleta, no es más que un registro de desplazamiento de 8 bits, el cual se inicializa para que su estado inicial sea 1 lógico en el flip-flop No. 1 y 0 en todos los otros flip-flops; es decir, 10000000.

La inicialización por supuesto corre por cuenta del bloque denominado circuitos de inicialización a la energización.  

El contador de anillo, previamente inicializado, recibe ahora los pulsos de frecuencia variable lo que causa que el 1 lógico que le fue inyectado en la etapa de inicialización se desplace de flip-flop en flip-flop hacia la derecha, hasta llegar al flip-flop No.8, de donde es llevado ahora al flip-flop No.1 para proseguir su desplazamiento hacia la derecha otra vez. 

Las otras dos secciones la constituyen, por un lado, los Leds de la ruleta, cada uno de los cuales se conecta a una salida del registro, por lo cual su accionar será el de iluminar en secuencia uno a uno.

Por otro lado, el último bloque está compuesto por la parte de audio, que no es más que un pequeño amplificador, un transistor en este caso que amplifica la salida del generador de pulsos y se la entrega a un parlante para simular el sonido que produce la ruleta mecánica al girar. 

Diagrama esquemático de la ruleta electrónica digital

Page 51: Circuitos secuenciales=u.o

Observamos que el oscilador de frecuencia variable se construye a partir de un 555 alambrado para operar como VCO, o oscilador controlado por voltaje. Cuando se presiona el pulsador de arranque, se produce la carga casi instantánea del condensador C1 al voltaje de 5V del circuito.

Debido a esto, por el transistor Q1 circulará corriente para cargar al condensador C2. El 555 se encargara de descargar a C2 cada vez que su voltaje alcanza cierto valor, y el ciclo se repite mientras el transistor Q1 pueda suministrar corriente a C2. 

Esto dura mientras C1, el cual se descarga exponencialmente a través de R2, retenga suficiente carga para hacer conducir a Q1. Como la corriente de Q1es cada vez más pequeña, el tiempo de carga de C2 se alargara más y más lo que hará que los pulsos disminuyan su frecuencia hasta llegar a cero. 

La salida de este oscilador alimenta directamente al amplificador de audio, el transistor Q2, y a la entrada de reloj del 74LS164. 

La idea pues, es que al energizar, el 74LS164 es llevado a cero por la red de inicialización. Posteriormente, cuando lleguen los pulsos de reloj, el latch R-S se encarga de colocar un 1 lógico a la entrada del registro. Una vez este 1 ha sido colocado, el estado del latch cambia a 0 lógico. 

Cuando el 1 que inicialmente se cargó en el registro ha progresado hasta llegar al flip-flop No.8, el latch se encarga de colocar nuevamente un 1 a la entrada del flip-flop No. 1, produciendo así el efecto de que el 1 que inicialmente se cargó, recorrió todo el registro y finalmente hizo tránsito del flip-flop No.8 al flip-flop No.1, lo que le permitió seguir circulando dentro del registro.  

Page 52: Circuitos secuenciales=u.o

LISTA DE MATERIALES

CANT. | REF. | DESCRIPCION |1 | IC1 | Circuito integrado LM 555 |1 | IC2 | Circuito integrado 74LS00 |1 | C3 | Circuito integrado 74LS164 |1 | RG1 | Regulador fijo LM7805 |1 | C1 | Condensador de 47µf/16v |1 | C2 | Condensador de 10µf/16v |2 | C3,C4 | Condensador cerámico de 0.01µf |1 | C5 | Condensador de 1000µf/16v |1 | C6 | Condensador de tantalio de 1µf |1 | R1 | Resistencia de 100Ω-0.25w |1 | R2 | Resistencia de 220kΩ-0.25w |2 | R3,R7 | Resistencia de 100kΩ-0.25w |1 | R4 | Resistencia de 1kΩ-0.25w |2 | R5,R6 | Resistencia de 10kΩ-0.25w |1 | R8 | Resistencia de 220Ω-0.25w |1 | Q1 | Transistor NPN 2N3904 |1 | Q2 | Transistor PNP 2N3906 |1 | SP | Parlante de 8Ω-0.2W |4 | D0-D2D4-D6 | Diodos LED Verdes de 5mm |4 | D1-D3D5-D7 | Diodos LED Rojos de 5mm |1 | S1 | Pulsador miniatura N.A. |1 | Base de 8 pines |2 | Bases de 14 pines |1 | Soporte para pila cuadrada |1 | Conector para pila cuadrada |

Page 53: Circuitos secuenciales=u.o

1 zona anti-intrusión

Esta es solo una simple zona de alarma de circuito. Sus características son la salida y entrada automática de los retrasos y de un tiempo de Bell / Sirena Cut-Off.

Dispositivos de entrada NC - magnéticos reed - micros interruptores y PIRs.

Notas:

Es fácil de usar. En primer lugar comprobar que el edificio es seguro y que el LED verde se ilumine. Luego pasar SW1 a la posición set.

El LED rojo se iluminará. Ahora tiene unos 30 segundos para salir del edificio.

Al regresar y abrir la puerta - sonará el Timbre. Después tiene unos 30 segundos para mover SW1 a la posición "off". Si no lo hacen - activara el relevador y la Sirena sonará.

Mientras que al menos uno de los interruptores en el circuito NC

Permanece abierta - la Sirena seguirá sonando.

Sin embargo, alrededor de 15 minutos después de que el bucle se ha restablecido - relevador de la energía - la Sirena se Cut-Off - y la alarma Reset.

Por supuesto, usted puede convertir la Sirena en cualquier momento moviendo SW1 a la posición "off".

Debido a las tolerancias de fabricación - precisa la duración de cualquier retraso depende de las características de los componentes reales que ha utilizado en su circuito.

Sin embargo, al alterar los valores de la R3, R6 y R9 puede ajustar la salida, entrada y Bell Cut-Off veces que se adapte a sus necesidades.

El aumento de los valores aumenta el tiempo - y viceversa.

Page 54: Circuitos secuenciales=u.o