112
บทที3 พีชคณิตบูลีน และการออกแบบ วงจรลอจิก (Boolean Algebra and Design of Logic Circuit)

3 booleanalgebra

Embed Size (px)

Citation preview

Page 1: 3 booleanalgebra

บทท 3

พชคณตบล น และการออกแบบวงจรลอจก

(Boolean Algebra and Design of Logic Circuit)

Page 2: 3 booleanalgebra

กลาวน ำา อรสโตเตล นกปรชญาชาวกรกไดทำาการ

ศกษาเกยวกบ Logic และไดพฒนามาใช เปนเครองมอแกปญหาทางปรชญาของเขา

หลกจากป ค.ศ.1930 วงจร Logic ไดถก นำามาใชในการวเคราะหระบบ Switching

ของเครองชมสายโทรศพทแบบอตโนมต และในปจจบน วงจร Logic เขามาม

บทบาทในการรบสงสญญาณและขอมล ตางๆ มากมาย เราจงจำาเปนตองเรยนรและ

ทำาความเขาในสนหลกการและเทคนคของ วงจร Logic แบบตางๆ

Page 3: 3 booleanalgebra

• หลกการเบ องต นของวงจร Logic– การศกษาวงจร Logic เบองตน จะศกษา

เกยวกบ Two – State Logic คอใชตวแปร คา 2 สภาวะโดยมขอกำาหนดคอ Input และ

Output ของวงจร Logic สามารถมไดเพยง2 สภาวะเทานน และจะอยในสภาวะใด

สภาวะหนงเทานน จะอยทง 2 สภาวะในเวลา เดยวกนไมได Logic 2 สภาวะดงกลาว อาจ

ใชแทนความหมายตางๆ ได เชน สง- ตำา 1-0 ปด- เปด ใช- ไมใช ทำางาน- ไมทำางาน ม- ไมมฯลฯ

– เพอความสะดวก ตวแปรคา 2 สภาวะ เราใช สญลกษณ 0 และ 1 โดยท

–Logic 0 แทนความหมาย ไมมสญญาณ หรอสวทชเปด

–Logic 1 แทนความหมาย มสญญาณ หรอสวทชปด

Page 4: 3 booleanalgebra

• ในระบบ Electronic Logic เราใชระดบ ของแรงดนไฟฟา (Voltage Level) แทน

สภาวะทงสองเมอใช Logic 1 แทนแรงดน ทเปนบวกมากกวา เรากใช Logic 0 แทน

แรงดนทเปนบวกนอยกวา ระบบเชนนเรยก วา Positive Logic ในทางกลบกน ถาใช

Logic 1 แทนแรงดนทเปนลบมากกวา เรา กใช Logic 0 แทนแรงดนทเปนลบนอย กวา ระบบเชนนเรยกวา Negative Logic

ตวอยางของ Positive และ Negative Logic ดงแสดงตามรปท 3.1

Page 5: 3 booleanalgebra

ก. Positive Logic

Page 6: 3 booleanalgebra

ข. Negative Logic

Page 7: 3 booleanalgebra

• หลกการเบ องต นของพชคณตบล น(Boolean Algebra)– พชคณตบลน เปนเทคนคแบบหนงทใช

ในการลดรป Switching Function ซงผคดคนนคอนกคณตศาสตรชาวองกฤษ

ชอ George Boole ในพชคณตบลน เราใชตวอกษร A, B, C,……… แทน

ตวแปรคา 2 สภาวะ คอ 0 หรอ 1 ความ สมพนธระหวางตวแปรแตละตว เราใช

เครองหมายทางเลขคณตแทน ความ สมพนธระหวางตวแปรคานนๆ

เครองหมายทางคณตดงกลาวไดแก

Page 8: 3 booleanalgebra

เครองหมาย . แทนความ หมาย AND

เครองหมาย + แทนความ หมาย OR

เครองหมาย - (Bar) แทน ความหมาย NOT

Page 9: 3 booleanalgebra

AND

ก. วงจร AND ใช Contact ข. วงจร AND ใชสวทช ร ปท 3.2 วงจร AND

Page 10: 3 booleanalgebra

หนาท AND อธบายไดตามรปท3.2

คอ ดวงไฟจะตดกตอเมอสวทชA

และสวทช B ปด ดงไดกลาวมา แลววา

เรากำาหนดให สวทชปด คอ Logic 1 สวทชเปด คอ

Logic 0 ไฟตด คอ Logic 1 ไฟ ดบ คอ Logic 0

ดงนน เราสามารถเขยนตาราง ความจรง

(Truth Table) สำาหรบวงจรAND ไดดงน

Page 11: 3 booleanalgebra

A B Y = A.B

0 0 0

0 1 0

1 0 0

1 1 1

ตารางท 3.1 Truth Table ของวงจร AND

Page 12: 3 booleanalgebra

3.3.2 วงจร OR

ก . วงจร OR ใช Contact ข . วงจร OR ใชสว ทช

ร ปท 3.3 วงจร OR หนาท OR อธบายไดตามร ปท 3.3 คอ

ดวงไฟจะตดก ต อเม อสว ทช A หรอ สว ทช B ตวใดตวหนงหร อท งสองต วป ด ดงน นเรา

สามารถเขยนตารางความจร งส ำาหร บวงจรOR ไดดงน

Page 13: 3 booleanalgebra

A B Y = A + B

0 0 0

0 1 1

1 0 1

1 1 1

ตารางท 3.2 Truth Table ของวงจร OR

Page 14: 3 booleanalgebra

3.3.3 NOT หรอ Inverter NOT หรอ Inverter หมายถงการกลบคา

สภาวะของตวแปร ซงกลาวไดวา Output ของ วงจร NOT เปน Complement ของ Input

และเขยนตารางความจรงของวงจร NOT ไดดงน

Y =

AA

0 1

1 0

ตารางท 3.3 Truth Table ของวงจร NOT

Page 15: 3 booleanalgebra
Page 16: 3 booleanalgebra
Page 17: 3 booleanalgebra
Page 18: 3 booleanalgebra
Page 19: 3 booleanalgebra

การพส จน ทฤษฎบทของพชคณตบ ลนการพสจนทฤษฎบทของพชคณตบลน

สามารถทำาไดหลายวธ แตวธทงายและเหนไดชดเจนทสด คอการพสจนโดยใชตารางความจรง ดง

ตวอยางดงน

Page 20: 3 booleanalgebra

3.5.1 พส จน ว า A + A.B = A

* *A B A.B A + A.B

0 0 0 00 1 0 01 0 0 11 1 1 1

ตารางท 3.4 การพส จน ว าA + A.B = A

Page 21: 3 booleanalgebra
Page 22: 3 booleanalgebra
Page 23: 3 booleanalgebra
Page 24: 3 booleanalgebra
Page 25: 3 booleanalgebra

การใชทฤษฎของ Boolean ลดร ปSwitching Function

การออกแบบวงจร Logic จากSwitching ใดๆ กตาม เราจำาเปนทจะตอง

ลดรป Switching Function นนๆ ใหนอย ทสดกอน ทงนกเพอวตถประสงคใหจำานวน

อปกรณในวงจรมนอยทสด การลงทนในการ สรางวงจรทตองการกจะนอยลงตามไปดวย

และขอสำาคญอกประการหนงกคอ

Page 26: 3 booleanalgebra

ลดเวลาหนวง ลดเวลาหนวง (Delay Time) (Delay Time) ของของ วงจรลงได วงจรลงได (Delay Time (Delay Time หมายถง หมายถง

เวลาทใชในการทำางานของวงจร นบ เวลาทใชในการทำางานของวงจร นบจากจาก Input Input ไปจนถง ไปจนถง Output) Output) ดงดง

นน นน Switching Function Switching Function ทยดยาวทยดยาว เรากตองทำาการลดรปใหสนลง ซง เรากตองทำาการลดรปใหสนลง ซง

เทคนคการลดรปวธหนงทนยมใชกนเทคนคการลดรปวธหนงทนยมใชกน แพรหลายกคอ ใชทฤษฎของ แพรหลายกคอ ใชทฤษฎของ

Boolean Boolean จงขอใหลองทำาความจงขอใหลองทำาความ เขาใจวธการลดรป เขาใจวธการลดรป Switching Switching

Function Function โดยใชทฤษฎของ โดยใชทฤษฎของBoolean Boolean จากตวอยางตอไปนจากตวอยางตอไปน

Page 27: 3 booleanalgebra
Page 28: 3 booleanalgebra
Page 29: 3 booleanalgebra
Page 30: 3 booleanalgebra
Page 31: 3 booleanalgebra
Page 32: 3 booleanalgebra
Page 33: 3 booleanalgebra
Page 34: 3 booleanalgebra
Page 35: 3 booleanalgebra
Page 36: 3 booleanalgebra

3.7 สญลกษณของ Gate และตารางความจร ง (Truth Table) 3.7.1 สญลกษณของ Gate แบบตางๆ

Page 37: 3 booleanalgebra

AND Gate คอ Gate ทให Output เปน Logic 1 กตอเมอ Input ทกตวเปน

Logic 1 และจะให Output เปน Logic 0 กตอเมอ Input ตวใดตวหนงหรอทกตว

เปน Logic 0

AND Gate

Page 38: 3 booleanalgebra
Page 39: 3 booleanalgebra

OR Gate คอ Gate ทให Output เปน Logic 1 กตอเมอ Input ตวหนง

ตวใดหรอทกตวเปน Logic 1 และจะ ให Output เปน Logic 0 กตอเมอ

Input ทกตวเปน Logic 0

OR Gate

Page 40: 3 booleanalgebra
Page 41: 3 booleanalgebra

•NOT Gate คอ Gate ทให Output เปนComplement ของ Input

Page 42: 3 booleanalgebra
Page 43: 3 booleanalgebra

•NAND Gate คอ Gate ทใหOutput เปน Logic 1 กตอเมอInput ตวใดตวหนงหรอทกตวเปนLogic 0 และจะให Output เปนLogic 0 กตอเมอ Input ทกตวเปนLogic 1

Page 44: 3 booleanalgebra
Page 45: 3 booleanalgebra

•NOR Gate คอ Gate ทให Output เปน Logic 1 กตอเมอ Input ทกตว เปน Logic 0 และจะให Output เปน

Logic 0 กตอเมอ Input ตวใดตวหนงหรอทกตวเปนLogic 1

Page 46: 3 booleanalgebra
Page 47: 3 booleanalgebra

3.7.3 Exclusive OR GateExclusive OR Gate คอ

Gate ทให Output เปนLogic 1 กตอเมอ Input ม Logic ตางกน และจะให Output เปนLogic 0 กตอเมอ Input ม Logic เหมอนกน

ตารางความจรง ของ Exclusive OR Gate รวมทงวงจรและสญลกษณเขยนไดดงน

Page 48: 3 booleanalgebra
Page 49: 3 booleanalgebra

ร ปท 3.5 วงจรและส ญลกษณของ Exclusive OR Gate

Page 50: 3 booleanalgebra

3.7.4 Exclusive NOR 3.7.4 Exclusive NOR Gate Gate หรอ หรอ ComparatorsComparators

Exclusive NOR Gate Exclusive NOR Gate หรอ หรอ Comparator Comparator คอวงจร คอวงจร

Gate Gate ทให ทให Output Output เปน เปนLogic 1 Logic 1 กตอเมอ กตอเมอ Input Input ม มLogic Logic เหมอนกนและจะให เหมอนกนและจะใหOutput Output เปน เปน Logic 0 Logic 0 เมอ เมอ Input Input ม ม Logic Logic ตางกน เรา ตางกน เรา

สามารถเขยนตารางความจรง สามารถเขยนตารางความจรง รวมทงวงจร และสญลกษณได รวมทงวงจร และสญลกษณได

ดงนดงน

Page 51: 3 booleanalgebra
Page 52: 3 booleanalgebra
Page 53: 3 booleanalgebra

•3.7.5 Inhibit Gate• Inhibit Gate คอ AND Gate ทม NOT Gate อยหนาInput อนหนง ซงสามารถเขยนTruth Table และวงจร พรอมทง

สญลกษณของ Inhibit Gate ไดดงน

Page 54: 3 booleanalgebra
Page 55: 3 booleanalgebra
Page 56: 3 booleanalgebra

Input C ของ Inhibit Gate มหนาท เปนตวยบยง (Inhibit) การทำางานของ

AND Gate กลาวคอ Output จะเปนLogic 1 กตอเมอ Input ทกตวเปน Logic 1 ยกเวน Input C (Inhibit Gate มชอ

เรยกอกอยางหนงวา Anti – Coincidence Gate)

3.7.6 FAN-IN และ FAN-OUTFAN-IN คอ จำานวน Input ของ Gate

ใด Gate หนงFAN-OUT คอ จำานวน Gate ทสามารถ

ตอเขากบ Output ของ Gate อนๆ ได เพอความเขาใจถง FAN-IN และ FAN-

OUT ขอใหศกษาตวอยางตอไปน

Page 57: 3 booleanalgebra

ตวอย างท 3.4 จงหาจำานวน FAN-IN และFAN-OUT ของ Gate ทกตว จากวงจร Logic

ตามรปท 3.8

Page 58: 3 booleanalgebra

ร ปท 3.8 แสดงจำานวน FAN-IN และ FAN-OUT

Gate FAN-IN FAN-OUT

1 3 52 1 13 1 3

Gate อนๆ

2 -

Page 59: 3 booleanalgebra

3.8 การเข ยนวงจร Logic เบ องต น

การเขยนวงจร Logic จาก Boolean Expression หรอ Switching Function ใหทำาตามลำาดบขนตอนดงน รวมเทอมทอยในวงเลบเขากบชนดของ

Gate นนๆ เทอมทคณกน ใช AND Gate หรอ

NAND Gate ตาม Switching Function ทกำาหนด

เทอมทบวกกน ใช OR Gate หรอ NOR Gate ตาม Switching Function ทกำาหนด

Page 60: 3 booleanalgebra
Page 61: 3 booleanalgebra
Page 62: 3 booleanalgebra
Page 63: 3 booleanalgebra
Page 64: 3 booleanalgebra
Page 65: 3 booleanalgebra

3.9 3.9 การเข ยน การเข ยน Switching Switching Function Function จากวงจร จากวงจร LogicLogic

การเขยน การเขยน Switching Function Switching Function จากวงจร จากวงจร Logic Logic ทกำาหนดใหนน วธ ทกำาหนดใหนน วธ

การเขยนตองเรมตนจาก การเขยนตองเรมตนจาก Input Input มามา ทาง ทาง Output Output เรอยๆ ไปตามลำาดบ จง เรอยๆ ไปตามลำาดบ จง

สงเกตจากตวอยางตอไปนสงเกตจากตวอยางตอไปน ตวอยางท ตวอยางท 3.6 3.6 จงหา จงหา Output Output ของ ของ

Gate Gate แตละตว จากวงจร แตละตว จากวงจร Logic Logic ททกำาหนดใหตอไปนกำาหนดใหตอไปน

Page 66: 3 booleanalgebra
Page 67: 3 booleanalgebra
Page 68: 3 booleanalgebra
Page 69: 3 booleanalgebra
Page 70: 3 booleanalgebra
Page 71: 3 booleanalgebra

3.10.1 3.10.1 Canonical FormCanonical FormCanonical FormCanonical Form หมายถง หมายถง

Switching Function Switching Function ทเขยนอยในรปทเขยนอยในรป ของ ของ Sum of Product Sum of Product หรอ หรอ Product Product

of Sum of Sum โดยทแตละ โดยทแตละ Combination Combination มม ตวแปรอยเตมจำานวน ถาเขยนอยในรป ตวแปรอยเตมจำานวน ถาเขยนอยในรป

ของ ของ Product of Sum Product of Sum กเรยกวา กเรยกวา Canonical Canonical Product of Sum Form Product of Sum Form

และถาเขยนอยในรปของ และถาเขยนอยในรปของ Sum of Sum of Product Product กเรยกวา กเรยกวา Canonical Sum of Canonical Sum of Product Form Product Form และกอนทเราจะศกษา และกอนทเราจะศกษาFunction Function ทงสองรปแบบน ควรทำาความ ทงสองรปแบบน ควรทำาความ

เขาใจความหมายของ เขาใจความหมายของ Minterm Minterm และ และMaxterm Maxterm กอนกอน

Page 72: 3 booleanalgebra
Page 73: 3 booleanalgebra
Page 74: 3 booleanalgebra
Page 75: 3 booleanalgebra
Page 76: 3 booleanalgebra
Page 77: 3 booleanalgebra
Page 78: 3 booleanalgebra
Page 79: 3 booleanalgebra
Page 80: 3 booleanalgebra
Page 81: 3 booleanalgebra

3.11 การออกแบบวงจรLogic

ในการออกแบบวงจร Logic จากBoolean Expression หรอ

Switching Function หรอ Truth Table นน เราจะตองลดรป Function

ของ Output ใหเหลอนอยทสดเสย กอน โดยใชทฤษฎของ Boolean หรอ

วธอนๆ ซงจะกลาวในบทตอไป ทงนก เพอใหวงจร Logic ทตองการมจำานวน

Gate นอยทสด หรอมการลงทนในการ สรางวงจรตำา นอกจากนยงเปนการลด

เวลาหนวง (Delay Time) ของวงจรอกดวย

Page 82: 3 booleanalgebra
Page 83: 3 booleanalgebra
Page 84: 3 booleanalgebra
Page 85: 3 booleanalgebra
Page 86: 3 booleanalgebra
Page 87: 3 booleanalgebra
Page 88: 3 booleanalgebra
Page 89: 3 booleanalgebra
Page 90: 3 booleanalgebra
Page 91: 3 booleanalgebra
Page 92: 3 booleanalgebra
Page 93: 3 booleanalgebra

3.12 Timing DiagramTiming Diagram คอรปกราฟแบบ

หนงทใชแทนความหมายของสญญาณInput และ Output ทมความสมพนธกนใน

วงจร Logic หรอ Switching Network จากตวอยางทผานมา เราสามารถ

ออกแบบวงจร Logic จาก Truth Table ท กำาหนดใหได และในทำานองเดยวกน เราก

สามารถออกแบบวงจร Logic จาก Timing Diagram ไดเชนเดยวกน

ตวอย างท 3.12 จงออกแบบวงจร Logic จาก Timing Diagram ตอไปน

Page 94: 3 booleanalgebra
Page 95: 3 booleanalgebra

วธทำา จาก Timing Diagram ทกำาหนด

ให พจารณาไดดงนเมอA = 0 B = 0 C = 0 จะได

Output Y = 0 A = 0B = 0 C = 1 จะได

Output Y = 1A = 0 B = 1 C = 0 จะได

Output Y = 1A = 0 B = 1 C = 1 จะได

Output Y = 1A = 1 B = 0 C = 0 จะได

Output Y = 0A = 1 B = 0 C = 1 จะได

Output Y = 1A = 1 B = 1 C = 0 จะได

Output Y = 0A = 1 B = 1 C = 1 จะได

Output Y = 1

Page 96: 3 booleanalgebra

เปน Truth Table ไดดงนInputInput OutputOutput

AA BB CC YY

00 00 00 00 00

11 00 00 11 11

22 00 11 00 11

33 00 11 11 11

44 11 00 00 00

55 11 00 11 11

66 11 11 00 00

77 11 11 11 11

Page 97: 3 booleanalgebra
Page 98: 3 booleanalgebra

3.13 การออกแบบวงจร Logic โดยใช NAND หรอ NOR Gate เพ ยงอย างเด ยว

เนองจาก NAND Gate และ NOR Gate เปนเกทสากลทไดรบความนยมใน

การนำาไปใชในงานทวไป ดงนนการ ออกแบบวงจร Logic จงมกนยมทจะ

ออกแบบใหวงจรประกอบดวย NAND Gate หรอ NOR Gate แตเพยงอยาง

เดยว ดวยเหตผลคอ เราสามารถท ดดแปลงวงจรทประกอบดวย AND, OR

หรอ NOT Gate ใหเปนวงจรทประกอบ ดวย NAND หรอ NOR Gate เพยงอยางเดยวได

Page 99: 3 booleanalgebra

• แตไมสามารถทดดแปลงวงจรใหประกอบดวย แตไมสามารถทดดแปลงวงจรใหประกอบดวยOR OR หรอ หรอ AND AND เพยงอยางเดยวได ดวย เพยงอยางเดยวได ดวย

เหตผลดงกลาว ทำาใหการลงทนในการสราง เหตผลดงกลาว ทำาใหการลงทนในการสราง วงจรประหยดลงไปไดมาก ทงนเปนเพราะใน วงจรประหยดลงไปไดมาก ทงนเปนเพราะใน

IC 1 IC 1 ตว จะประกอบไปดวย ตว จะประกอบไปดวย Gate Gate ใด ใด Gate Gate หนงเพยงอยางเดยวเทานน เชน หนงเพยงอยางเดยวเทานน เชน IC IC เบอร เบอร 740740

0 0 หนงตว จะประกอบดวย หนงตว จะประกอบดวย NAND Gate NAND Gate ชนด ชนด 2 2 Input Input 4 4 ตว ตว IC IC เบอร เบอร 7402 7402 หนงตว หนงตว

จะประกอบดวย จะประกอบดวย NOR Gate NOR Gate ชนด ชนด 2 2 Input Input 4 4 เปนตนเปนตน

• จากตวอยางท จากตวอยางท 3.12 3.12 วงจรทไดตองใช วงจรทไดตองใช IC IC จำานวน จำานวน 3 3 ตว เพอประกอบเขาเปนวงจร แตถา ตว เพอประกอบเขาเปนวงจร แตถา

ใช ใช NAND Gate NAND Gate แตเพยงอยางเดยว กใช แตเพยงอยางเดยว กใช IC IC เพยงตวเดยวเทานน กจะไดวงจรททำาหนาท เพยงตวเดยวเทานน กจะไดวงจรททำาหนาทเหมอนกนทกประการเหมอนกนทกประการ

Page 100: 3 booleanalgebra

หลกการออกแบบวงจรใหประกอบไปหลกการออกแบบวงจรใหประกอบไป ดวย ดวย NAND Gate NAND Gate หรอ หรอ NOR Gate NOR Gate

แตเพยงอยางเดยว มวธงายๆ โดยใช แตเพยงอยางเดยว มวธงายๆ โดยใช ทฤษฎของ ทฤษฎของ Boolean Boolean เขาชวย กลาว เขาชวย กลาว

คอคอ– จาก จาก Switching Function Switching Function ทได ท ได

ใหใส ใหใส complement complement เข าไป เข าไป 2 2 ครงคร ง

– ใช ใช De Morgan Theorem De Morgan Theorem 1 1 ครง เพ อให ครง เพ อให Complement Complement

เหล อเพยง เหล อเพยง Complement Complement เด ยว เด ยว จากนนน ำา จากนนน ำา Switching Switching

Function Function ไปเข ยนวงจรไดไปเข ยนวงจรได

Page 101: 3 booleanalgebra
Page 102: 3 booleanalgebra
Page 103: 3 booleanalgebra
Page 104: 3 booleanalgebra

ตวอยาง 3.15 จาก Truth Table ทกำาหนดให จงออกแบบวงจร Logicโดย

a. ใช NAND Gate เพยงอยางเดยวb. ใช NOR Gate เพยงอยางเดยว

Page 105: 3 booleanalgebra

Input OutputA B C Y

0 0 0 0 01 0 0 1 12 0 1 0 13 0 1 1 04 1 0 0 05 1 0 1 16 1 1 0 17 1 1 1 0

Page 106: 3 booleanalgebra
Page 107: 3 booleanalgebra
Page 108: 3 booleanalgebra

ตวอยาง 3.16 จงออกแบบ วงจร Logic จาก Timing

Diagram ทกำาหนดใหโดย

a. ใช NAND Gate เพยงอยางเดยว

b. ใช NOR Gate เพยงอยางเดยว

Page 109: 3 booleanalgebra
Page 110: 3 booleanalgebra

วธทำาจาก Timing Diagram ทกำาหนดให เขยนเปน Truth Table ไดดงน

InputInput OutputOutput

AA BB CC YY

00 00 00 00 00

11 00 00 11 00

22 00 11 00 11

33 00 11 11 11

44 11 00 00 00

55 11 00 11 11

66 11 11 00 11

77 11 11 11 11

Page 111: 3 booleanalgebra
Page 112: 3 booleanalgebra