50
What’s New with CMOS (Complimentary Metal Oxide Semiconductor) Imaging Arrays Steve Murray March 22, 2011

What's New with CMOS Imaging Arrays

  • Upload
    others

  • View
    5

  • Download
    0

Embed Size (px)

Citation preview

Page 1: What's New with CMOS Imaging Arrays

What’s  New  with  CMOS(Complimentary  Metal  Oxide  Semiconductor)

Imaging  Arrays

Steve  MurrayMarch  22,  2011

Page 2: What's New with CMOS Imaging Arrays

Outline  of  Talk

• CMOS  Imaging  Arrays  for  Infrared,  Visible  and  SoI  X-­‐ray  (0.1-­‐10  keV)•Hybrid  and  Monolithic  Devices–Hawaii  Family  (Teledyne  Imaging  Sensors,  Jim  BeleSc  et  al.)»HgCdTe  and  Si-­‐PIN  (HyViSi)

–Minimal  Family  (Sarnoff,  Jim  Janesick  et  al.)»Si  -­‐  Big  Min,  Big  Min  II

Page 3: What's New with CMOS Imaging Arrays

Astronomical  SeVng

3

!"#$#%&'()*+(,--.#(/ 0'&#*%&1&'(23)4&*4(0#*.-5.(/ 6'%(7889

Optical and Infrared Astronomy(0.3 to 25 m)

:;-(<).&'(=)5%.

Telescope %-('-$$#'%()*+(1-'>.($&4?% Instrument %-(3#).>5#($&4?%

2*.%5>3#*%

•OpScs  (another  talk)• Normal/Grazing  Incidence

• On/Off  Axis• RefracSve/ReflecSve• ...

•Instrument  (this  talk)• Camera  -­‐  Imaging  • Spectrometer• Imaging  Spectrometer• Photometer• Polarimeter• ...

!"#$#%&'()*+(,--.#(/ 0'&#*%&1&'(23)4&*4(0#*.-5.(/ 6'%(7889

Instrument goal is to measure a 3-D data cube

":%(3-.%(+#%#'%-5.()5#(7;+&3#*.&-*)$(<= >#%#'%-5.()5#= ?)*(*-%(3#).:5#('-$-5= 6*$@(3#).:5#(&*%#*.&%@

6A%&'.(-1(%B#(&*.%5:3#*%()5#(:.#+(%-(3)A()(A-5%&-*(-1(%B#(C;>(+)%)(':D#(-*%-(%B#(7;>(+#%#'%-5

BLACK & WHITEWHITE

!"#$%$&'

()

*$+%,&"(,-&

.,')(/01+$&1,-&

2&($&1,(3

!,()/"445-45,"($/"4-%-',$1/(-/6-#$-&/"&7/857 9$&/2.

• But  most  detectors  are  2-­‐dimensional• Measure  intensity,  not  energy• ExcepSons:  X-­‐ray,  OpScal  (  Foveon  X3),  3-­‐rd  Gen  IR  ...

• OpScs  used  to  map  a  porSon  of  the  3-­‐D  cube  onto  the  2-­‐D  device

Data  Cube  Desired

Page 4: What's New with CMOS Imaging Arrays

“Ideal”  Imaging  Detector  

• Detect  >98%  of  photons  (QE)–Signal  proporSonal  to  photon  energy

• Large  number  of  pixels  (mega  to  giga  pixel  arrays)• Time  tag  each  photon  (<msec  goal)• Measure  photon  energy/wavelength  (R  ~100?)• Measure  photon  polarizaLon  • (Plus  low  readout  noise  and  various  operaLonal  modes  to  enable  the  above)

Page 5: What's New with CMOS Imaging Arrays

Six  Steps  to  an  Astronomical  Camera

1.  Get  Light  into  Detector

2.  Generate  Charge

6.  Signal  DigiSzaSon

AnS-­‐reflecSon  coaSngSubstrate  removal

Detector  MaterialsSi,  HgCdTe,  InSb,  Si:As

Electric  Fields  in  detectorcollect  electrical  charge

} QuantumEfficiency

Point  Spread  FuncSon

SensiSvity4. Charge-­‐to-­‐

Voltage  Conversion

5. Signal  Transfer

Source  followerCTIA,  DI

Random  access  or

full  frame  read

3.  Collect  the  Charge

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899"

:)/&$;/).2).;&'(*%)<)3=);>.&.+),$&$(&'.+

1$+/'&?'&@

:A))B'5'&'C*&'.+

!A))-'5>&)'+&.),$&$(&.6D+&'E6$2%$(&'.+)(.*&'+51FG/&6*&$)6$4.?*%

8A))H>*65$)I$+$6*&'.+B$&$(&.6)J*&$6'*%/1'K)L5H,M$K)3+1GK)1'ND/

OF*+&F4P22'('$+(@

QA))H>*65$)H.%%$(&'.+P%$(&6'()R'$%,/)'+),$&$(&.6(.%%$(&)$%$(&6'(*%)(>*65$ S.'+&)1;6$*,)RF+(&'.+

1.F6($)2.%%.T$6KHM3DK)B3

1.F6($)2.%%.T$6K1.F6($)2.%%.T$6KHM3DK)B3HM3DK)B3

UA))H>*65$E&.EV.%&*5$H.+?$6/'.+

WA))1'5+*%M6*+/2$6

=*+,.4)*(($//.6)2F%%)26*4$)6$*,=*+,.4)*(($//=*+,.4)*(($//.6)2F%%)26*4$)6$*,.6)2F%%)26*4$)6$*,

HJ71

H>*65$)(.F;%$,&6*+/2$6

H>*65$)(.F;%$,H>*65$)(.F;%$,&6*+/2$6&6*+/2$6

UA))H>*65$M6*+/2$6

WA))H>*65$E&.EV.%&*5$H.+?$6/'.+

J71RPMD4;%'2'$6J71RPMJ71RPMD4;%'2'$6D4;%'2'$6

HHB

5. Charge-­‐to-­‐Voltage  Conversion

Charge  coupledtransfer

MOSFETAmplifier

4. Charge  Transfer

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899"

:)/&$;/).2).;&'(*%)<)3=);>.&.+),$&$(&'.+

1$+/'&?'&@

:A))B'5'&'C*&'.+

!A))-'5>&)'+&.),$&$(&.6D+&'E6$2%$(&'.+)(.*&'+51FG/&6*&$)6$4.?*%

8A))H>*65$)I$+$6*&'.+B$&$(&.6)J*&$6'*%/1'K)L5H,M$K)3+1GK)1'ND/

OF*+&F4P22'('$+(@

QA))H>*65$)H.%%$(&'.+P%$(&6'()R'$%,/)'+),$&$(&.6(.%%$(&)$%$(&6'(*%)(>*65$ S.'+&)1;6$*,)RF+(&'.+

1.F6($)2.%%.T$6KHM3DK)B3

1.F6($)2.%%.T$6K1.F6($)2.%%.T$6KHM3DK)B3HM3DK)B3

UA))H>*65$E&.EV.%&*5$H.+?$6/'.+

WA))1'5+*%M6*+/2$6

=*+,.4)*(($//.6)2F%%)26*4$)6$*,=*+,.4)*(($//=*+,.4)*(($//.6)2F%%)26*4$)6$*,.6)2F%%)26*4$)6$*,

HJ71

H>*65$)(.F;%$,&6*+/2$6

H>*65$)(.F;%$,H>*65$)(.F;%$,&6*+/2$6&6*+/2$6

UA))H>*65$M6*+/2$6

WA))H>*65$E&.EV.%&*5$H.+?$6/'.+

J71RPMD4;%'2'$6J71RPMJ71RPMD4;%'2'$6D4;%'2'$6

HHB

Page 6: What's New with CMOS Imaging Arrays

CMOS  /  CCD

6

!"#$%&%'()*+,-*.//0%*1 2)(%,'(3()*45+6(,6*2%,0/70*1 8)'*9::;

CCD / CMOS Comparison

CCD Approach CMOS Approach

PixelCharge generation &

charge integrationCharge generation, charge integration &

charge-to-voltage conversion

+

PhotodiodePhotodiode Amplifier

Array ReadoutCharge transfer

from pixel to pixel

Multiplexing of pixel voltages: Successively

connect amplifiers to common bus

Sensor OutputOutput amplifier performs

charge-to-voltage conversion

Various options possible:< no further circuitry (analog out)< add. amplifiers (analog output)< A/D conversion (digital output)

CMOS = Complimentary Metal Oxide Semiconductor

!"#$%& '()

Comparison CMOS vs. CCD for Astronomy

Windowing, Guide Mode,Random Access, Reference Pixels,Large dynamic range (up the ramp)

Orthogonal TransferBinningSpecial Modes

Electronic, rolling shutter, snapshotMechanicalShutterTyp. 10x lower than CCDHighPower Consumption

Much less susceptible to radiationSensitiveRadiation

Few electrons with multiple samplingFew electronsNoise

up to 4K x 4K> 4K x 4KResolution10 – 40 µm (up to 100 µm if required)10 – 20 µmPixel pitch

400 – 1050 nm with Si PIN400 – 18,000 nm with HgCdTe400 – 5,000 nm with InSb

400 – 1050 nmTypical wavelength coverage

Low voltage onlyCan be integrated into single chip

High voltage clocks,at least 2 chips neededControl Electronics

Hybrid CMOSCCDProperty

* $+,+-./%012%3456+7%7898-9.6:%3;<8%58-.=8%;%:86+.>:%;,986/;9+<8%9.%!!?:%@6.<+7+/A%;%/>=586%.B%;7<;/9;A8:C%8:@8-+;,,4%B.6%:@;-8%;@@,+-;9+./:D

* Backside illuminated monolithic CMOS E3+-3%-.=5+/8:%938%58:9%.B%!"#$%;/7%!!?%B8;9>68:%E+,,%=;F8%=;G.6%:96+78:%58B.68%938%/8H9%7898-9.6%E.6F:3.@D

Astronomical  PerspecSve

• Si  PIN  hybrid  detectors  are  serious  alternaSve  to  CCD

• HgCdTe  hybrids  are  “standard”  IR  detctors

• Backside  monolithic  CMOS  is  rapidly  advancing  with  very  low  read  noise

Page 7: What's New with CMOS Imaging Arrays

T = 1−�n2 − n1

n2 + n1

�2

No  AnS-­‐ReflecSon  CoaSng

Incident Transmiied

Reflectedn1 n2

For  0o  incidence,  the  fracSon  of  incident  energy  transmiied  is  give  by:

No  AnS-­‐reflecSon  coaSng

Loss  at  SurfaceLoss  at  SurfaceLoss  at  Surface

Air  n1=1.00

Glass  n2=1.5

R=4%T=96%

Air  n1=1.00

Sin2~4

R=36%T=64%

Air  n1=1.00

HgCdTent=3.7

R=33%T=67%

•Si  and  HgCdTe  detectors  will  reduce  the  effec9ve  diameter  of  an  8m  telescope  to  ~6.5m  due  to  losses  at  an  untreated  surface.

•For  low  energy  X-­‐rays  (<500eV),  need  backside  illumina9on  with  thin  dead  layer  is  needed.

Page 8: What's New with CMOS Imaging Arrays

Get  the  light  into  the  detectorAnS-­‐ReflecSon  CoaSng

T = 1−�n1n2 − n2

layer

n1n2 + n2layer

�2

Incident

Reflectedn1

Transmiiedn2

nlayer For  0o  incidence,  the  fracSon  of  incident  energy  transmiied  is  give  by:

OpSmized  1/4  λ-­‐wave  AR  coaSng

1/4  λ

29

Example Anti-reflection coatings for HgCdTe

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

400 600 800 1000 1200 1400 1600 1800 2000 2200 2400 2600Wavelength (nm)

Tran

smis

sion

(%)

Single Layer (WFC3)

Double Layer

Three Layer (NIRCAM SWIR)

Tran

smis

sion

into

the

HgC

dTe

Laye

r (%

)

Substrate  removed  CdZnTe  detectors  using  thin  film  AR  coaLngs  (HfO2/SiO2)      achieve  >80%  efficiency  over  broad  bands  and  >90%  at    narrower  bands

Teledyne  Imaging  Systems

For  X-­‐rays,  Al  on  polyimide  (0.5μ)    filters  block  opLcal  and  UV  at  the  cost  of  low  energy  (<1  kev)  transmission

Page 9: What's New with CMOS Imaging Arrays

Photon  DetecSonVisible  and  IR

• Electrons  are  excited  form  the  conducSon  band  to  the  valence  band    (hν  >  Egap)

Material Egap  (eV) λc  (μm)

Si 1.12 1.1

InGaAs 0.73  -­‐  0.48 1.68  -­‐  2.6

HgCdTe 1.00  -­‐  0.07 1.24  -­‐  18

InSb 0.23 5.5

Si:As 0.05 25

X-­‐ray

• Si  PIN  Diode  is  a  photodiode• On  average  X-­‐ray  loses  ~3.6  eV  to  make  one  electron-­‐hole  pair  in  the  Si.  E.g.,  Fe55  X-­‐ray  at  5.9  keV  will  yield  1620  electrons  (Kα)

• Electrons  collected    at  the  pixels• Low  energy  cutoff  -­‐  dead  layer  and  blocking  filter

• High  energy  cutoff  -­‐  thickness  of  depleted  layer    in  Si

Page 10: What's New with CMOS Imaging Arrays

Si  AbsorpSon  Depth• For  high  QE  in  the  NIR,  need  very  thick  Si  (300  μm)• Makes  for  a  good  cosmic  ray  detector

• For  high  QE  in  UV  need  to  capture  the  photo-­‐charge  very  close  to  the  entrance  (10  nm  posiSve  field  needed)• Boron  implant/anneal  -­‐  e2v,  MIT/LL• Molecular  Beam  Epitaxy  -­‐  JPL,  MIT/LL• ChemisorpSon  coaSng  -­‐  UofA

• Index  if  refracSon  of  Si  varies  over  λ,  a  challenge  for  AR  coaSngs

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

Hybrid Silicon PIN Quantum Efficiencyachieves as high QE as CCDs in the NIR

0

10

20

30

40

50

60

70

80

90

100

300 400 500 600 700 800 900 1000 1100 1200wavelength,nm

QE,

%

HfO2 AR coatingSiO2 AR Coatingoriginal QE with SiO2

T=295K

;.4<=&$,)>?)(=6@$/

A$*/=6$,),*&*

!"#"$%&"'()*+,&+'-"&./0.

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

Hybrid Silicon PIN Quantum Efficiencyachieves as high QE as CCDs in the NIR

0

10

20

30

40

50

60

70

80

90

100

300 400 500 600 700 800 900 1000 1100 1200wavelength,nm

QE,

%

HfO2 AR coatingSiO2 AR Coatingoriginal QE with SiO2

T=295K

;.4<=&$,)>?)(=6@$/

A$*/=6$,),*&*

!"#"$%&"'()*+,&+'-"&./0.

Hybrid  Si  PIN  (HyViSI)  CMOS  H2RG

Predicted Measured

•  800  nm      11μm•  900  nm      29μm• 1000  nm    94μm

Teledyne

!"#$%&%'()*+,-*.//0%*1 2)(%,'(3()*45+6(,6*2%,0/70*1 8)'*#""9

Optical Absorption Depth in Silicon:+;<;+;**=>?%*$%+@'(3@&*A&/'BC

Page 11: What's New with CMOS Imaging Arrays

Thick  Si  IR  Detector  is  also  CR  Detector

11

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)"889

A very thick silicon detector is alsoa very good sensor of cosmic rays

!"#$%&'%()%$*%+%,(-"./0&"+(!"10$".0$,

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

Near-IR Imaging enabled by very thick silicon sensors

!"#$%&'%()%$*%+%,(-"./0&"+(!"10$".0$,

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

Near-IR Imaging enabled by very thick silicon sensors

!"#$%&'%()%$*%+%,(-"./0&"+(!"10$".0$,

Page 12: What's New with CMOS Imaging Arrays

HgCdTe  AbsorpSon  Depth

!!"#$#%&'()*+(,--.#(/ 0'&#*%&1&'(23)4&*4(0#*.-5.(/ 6'%(7889

Absorption Depth of HgCdTe :;$#(-1(<=;3>

<=&'?*#..(-1(@4A+<#($)B#5*##+.(%-(>#()>-;%(#C;)$%-(%=#(';%-11(D)E#$#*4%=HgCdTe  AbsorpSon • Growing  the  HgCdTe  -­‐

• Seed  layer  of  CdZnTe  • MBE  allows  fine  control  of  chemistry  (tunes  the  bandgap)

• Substrate  removal  recovers  the  short  wavelength  response

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

Two methods for growing HgCdTe1. Liquid Phase Epitaxy (LPE)2. Molecular Beam Epitaxy (MBE)

– Enables very accurate deposition “bandgap engineering”– Teledyne has 4 MBE machines for detector growth

RIBER 10-in MBE 49 SystemRIBER 10-in MBE 49 System

RIBER 3-in MBE SystemsRIBER 3-in MBE Systems

;9)'+(<),'*4$&$6)=%*&$+)*%%.>/)

/'4?%&*+$.?/)56.>&<).+)2.?6)@A@)(4)/?B/&6*&$/

C)'+(<),'*4$&$6)=%*&$+)*%%.>/)56.>&<).+).+$)

@A@)(4)/?B/&6*&$

D.6$)&<*+)E"99)DFG)>*2$6/)56.>+)&.),*&$

!"#"$%&"'()*+,&+'-"&./0.

Rule  of  Thumb:  Thickness  of  HgCdTe  layer  should  be  about  equal  to  the  cutoff  wavelength

!"#$%#&'()%'*+,"-.'/#"#$0#&',1'23#'4((-.#')('5#.+%-21'/#6-#7')('23#'8#9$%2:#;2')('8#(#;0#'<=>?5?=@A=B CDE#"#2-.'$;&'F))0#'G 5.-#;2-(-.'H:$I-;I'5#;0)%0'G 4.2'D==J

Cosmic Rays and Substrate RemovalK !)0:-.'%$1'#6#;20'9%)&+.#'.")+&0')('&#2#.2#&'0-I;$"'&+#'2)'9$%2-."#?-;&+.#&'("$03#0')('-;(%$%#&'"-I32'-;'23#'!&L;M#'0+,02%$2#N'%#:)6$"')('23#'0+,02%$2#'#"-:-;$2#0'23#'#((#.2

O/)I#%'5:-23'<!$"2#.3B''5*HP'Q?DQ?D==C

DRQ+:'.+2)((S'0+,02%$2#'); @RA+:'.+2)((S'0+,02%$2# ); @RA+:'.+2)((S'0+,02%$2#')((

!"#"$%&"'()*+,&+'-"&./0.

substrate no  substrate

1.7  μm  cutoff

R.  Smith  (CalTech)  SPIE  5-­‐25-­‐2006

Reduced  CR  sensiSvity  for  substrate  removed  HgCdTe  (from  IR  light  from  CdZnTe)

Page 13: What's New with CMOS Imaging Arrays

For  IR  Customize  HgCdTe  

22

Tunable Wavelength: Unique property of HgCdTeTunable Wavelength: Unique property of HgCdTe

!"#$%&'%()****+,'-./*012-,*,.*+)0340/*15'*&1'6-46*2,*7245)8 29)*:15'"1;*)5)0"/

xTxxxEg 211035.5832.081.093.1302.0 432

G. L. Hansen, J. L. Schmidt, T. N. Casselman, J. Appl. Phys. 53(10), 1982, p. 7099

22

Tunable Wavelength: Unique property of HgCdTeTunable Wavelength: Unique property of HgCdTe

!"#$%&'%()****+,'-./*012-,*,.*+)0340/*15'*&1'6-46*2,*7245)8 29)*:15'"1;*)5)0"/

xTxxxEg 211035.5832.081.093.1302.0 432

G. L. Hansen, J. L. Schmidt, T. N. Casselman, J. Appl. Phys. 53(10), 1982, p. 7099

•Modify  the  raSo  of  Hg  and  Cd  to  “tune”  the  bandgap  energy

•Eg  =  -­‐0.302  +  1.93x  -­‐0.81x2  +  5.35x10-­‐4(1-­‐2x)• Hansen,  Schmidt,  and  Casselman  Appl.  Phys  1982

•“Price  of  admission”  -­‐  Dark  Current  

Page 14: What's New with CMOS Imaging Arrays

Charge  CollecSon

14

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)"889

!"#$%&'()'"%"*(&+,%(-",."'&(.(/%-"/,..0&1,-%"%"2)(3")%2&,&456&,--,0&27&1"8(.'9(,/$&1"8(.&"'&,&:56&*2.;+(<

=2."3&'%,%(&(.(/%-2)"/'%$,%&,+1."70&,)3&-(,3

2;%&%$(&/$,-#(8

0

>

3. Charge Collection

: 3+&$+/'&;)'4*5$)'/)5$+$6*&$,)<;)(.%%$(&'+5)=>.&.(>*65$)5$+$6*&$, '+)?@A)

B.%C4$)'+&.)"@A)*66*;).2)='D$%/E

: 7=&'(*%)*+,)3F)2.(*%)=%*+$)*66*;/)<.&>)(.%%$(&)(>*65$/)B'*)$%$(&6'()2'$%,/E

: 3+)&>$)G@,'6$(&'.+H).=&'(*%)*+,)3F)C/$)*)=@+)IC+(&'.+)&.)J/K$$=L (>*65$)

&.K*6,)='D$%)(.%%$(&'.+)+.,$/E

• Image  arrays  are  2D  arrays  of  3D  pixels

• Intensity  image  is  generated  by  collecSng  photo-­‐charge  generated  in  the  3D  pixel,  typically  p-­‐n  juncSon  “sweeps”  charge  tp  collecSon  node

• CCD  -­‐  collect  charge  and  move  it  to  an  edge  or  corner  of  to  amplifiers  for  read  out

• CMOS  -­‐  collect  charge  at  pixel  where  there  is  an  amplifier  and  readout  mulSplexer

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

Photovoltaic Detector Potential Well

1'%'(.+;)<5=,>$)*+,)3+1?)*6$)@A.&.B.%&*'(),$&$(&.6/C))D%%)E/$)*)@+FGE+(&'.+)&.) 5$+$6*&$) HF2'$%,) '+) &A$) IF,'6$(&'.+) .2) $*(A) @'J$%C) ) >A'/) $%$(&6'() 2'$%,)/$@*6*&$/)&A$)$%$(&6.+FA.%$)@*'6/)5$+$6*&$,)?K)*)@A.&.+C

L.&*)?$+$)M

=*+)(.%%$(&)$'&A$6$%$(&6.+/).6)A.%$/

#.6.+),.@'+5

NA./@A.6.E/),.@'+5

n-channel CCD

BSi P

3 4 5

#.6.+),.@$,

NA./@A.6.E/),.@$,

Page 15: What's New with CMOS Imaging Arrays

CMOS  Hybrid  (Visible  and  IR)  Detectors

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899"

HybridizeBond and

Test

Sensor Chip Assembly

CdZnTe substrate MCT epilayer

MBEgrowth

DetectorProcessing

Indium bump,Dice Detector arrays

Processed wafer

Probe,In bump Dice

ROIC DieReceive wafers from foundry

CMOSmixed signaldesign

Fabrication at foundry

Focal Plane Array

Packaging

Detector FabricationDetector Fabrication

Multiplexer Design and FabricationMultiplexer Design and Fabrication

HgCdTe IR FPA Manufacturing Process

28!"#"$%&"'()*+,&+'-"&./0.!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899"

HybridizeBond and

Test

Sensor Chip Assembly

CdZnTe substrate MCT epilayer

MBEgrowth

DetectorProcessing

Indium bump,Dice Detector arrays

Processed wafer

Probe,In bump Dice

ROIC DieReceive wafers from foundry

CMOSmixed signaldesign

Fabrication at foundry

Focal Plane Array

Packaging

Detector FabricationDetector Fabrication

Multiplexer Design and FabricationMultiplexer Design and Fabrication

HgCdTe IR FPA Manufacturing Process

28!"#"$%&"'()*+,&+'-"&./0. !"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899"

HybridizeBond and

Test

Sensor Chip Assembly

CdZnTe substrate MCT epilayer

MBEgrowth

DetectorProcessing

Indium bump,Dice Detector arrays

Processed wafer

Probe,In bump Dice

ROIC DieReceive wafers from foundry

CMOSmixed signaldesign

Fabrication at foundry

Focal Plane Array

Packaging

Detector FabricationDetector Fabrication

Multiplexer Design and FabricationMultiplexer Design and Fabrication

HgCdTe IR FPA Manufacturing Process

28!"#"$%&"'()*+,&+'-"&./0.

CMOS  Readout

Detector  Array

HyViSI  (Hybrid  Visible  light  Silicon  PIN)

Page 16: What's New with CMOS Imaging Arrays

Substrate  Removal

16

!"#$%#&'()%'*+,"-.'/#"#$0#&',1'23#'4((-.#')('5#.+%-21'/#6-#7')('23#'8#9$%2:#;2')('8#(#;0#'<=>?5?=@A=B C=D#"#2-.'$;&'E))0#'F 5.-#;2-(-.'G:$H-;H'5#;0)%0'F 4.2'I==J

Substrate Removal of HgCdTeThe new standard in astronomy

Substrate Removal Process

@K 8#2#.2)%0'$%#'31,%-&-L#&'

IK M3#'31,%-&0'$%#'#9)N1',$.O(-""#&

PK !&Q;M#'0+,02%$2#0'$%#'23#;':#.3$;-.$""1'23-;;#&

RK /#:$-;-;H'0+,02%$2#'-0'%#:)6#&',1'#2.3-;HS'02)99-;H'-;'23#'TH!&M#'"$1#%

UK M3#'#2.3#&'0+%($.#'-0'9$00-6$2#&'$;&'V/'.)$2#&

CK M3#'31,%-&'9$.O$H-;H'-0'.):9"#2#&

*$.O$H-;H

@

I

P

RU C

@

IP

R

U

C

!"#"$%&"'()*+,&+'-"&./0.!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899"

HybridizeBond and

Test

Sensor Chip Assembly

CdZnTe substrate MCT epilayer

MBEgrowth

DetectorProcessing

Indium bump,Dice Detector arrays

Processed wafer

Probe,In bump Dice

ROIC DieReceive wafers from foundry

CMOSmixed signaldesign

Fabrication at foundry

Focal Plane Array

Packaging

Detector FabricationDetector Fabrication

Multiplexer Design and FabricationMultiplexer Design and Fabrication

HgCdTe IR FPA Manufacturing Process

28!"#"$%&"'()*+,&+'-"&./0. !"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899"

HybridizeBond and

Test

Sensor Chip Assembly

CdZnTe substrate MCT epilayer

MBEgrowth

DetectorProcessing

Indium bump,Dice Detector arrays

Processed wafer

Probe,In bump Dice

ROIC DieReceive wafers from foundry

CMOSmixed signaldesign

Fabrication at foundry

Focal Plane Array

Packaging

Detector FabricationDetector Fabrication

Multiplexer Design and FabricationMultiplexer Design and Fabrication

HgCdTe IR FPA Manufacturing Process

28!"#"$%&"'()*+,&+'-"&./0.

!"#$%#&'()%'*+,"-.'/#"#$0#&',1'23#'4((-.#')('5#.+%-21'

/#6-#7')('23#'8#9$%2:#;2')('8#(#;0#'<=>?5?=@A=B C@D#"#2-.'$;&'E))0#'F 5.-#;2-(-.'G:$H-;H'5#;0)%0'F 4.2'I==J

QE Improvement With Substrate Removal

K+$;2+:'#((-.-#;.1'

-:9%)6#0'$.%)00'23#'G/'

,$;&'$(2#%'0+,02%$2#'

%#:)6$"L'9$%2-.+"$%"1'$2'

03)%2'7$6#"#;H230L'$;&'

MH!&N#'-0'0#;0-2-6#'2)'

6-0-,"#'"-H32O

Spectral Response (FPA) at 145K

=

@=

I=

P=

Q=

R=

C=

A=

>=

J=

@==

>== @=== @I== @Q== @C== @>==

Wavelength (nm)

QE

%

5+,02%$2#'4;'<S*TUR=B

5+,02%$2#'/#:)6#&'<S*TU@Q>B

Substrate ON

Substrate OFF

S*T'KV':#$0+%#&',1'WT5T'X)&&$%&'8#2#.2)%'

!3$%$.2#%-Y$2-);'E$,)%$2)%1'<;)'G*!!B

!"#"$%&"'()*+,&+'-"&./0.

GSFC  Detector  CharacterizaSon  Lab

Page 17: What's New with CMOS Imaging Arrays

Hybrid  Detector  Zoo

17

!!"#$#%&'()*+(,--.#(/ 0'&#*%&1&'(23)4&*4(0#*.-5.(/ 6'%(7889

Spectral Bands:#1&*#+(;<()%3-.=>#5&'(%5)*.3&..&-*(?(+#%#'%-5(3)%#5&)$(=5-=#5%&#.

@)A#$#*4%>(B3&'5-*.C

!"#$%&'()*+,-)./%#*%%*$/

:#%#'%-5D--$-4<

Read  Out  Integrated  Circuit:H1RG  1k  x  1k  x  18  μmH2RG  2k  x  2k  x  18  μmH4RG  4k  x4k  x  10  and  15  μm...

Detector  Materials

SIDECAR  ASICSystem  for  Image  DigiSzaSon,  

Enhancement,  Control  And  Retrieval

!

!

"#$$%&'"!'()!*#++!*#,-'.%,/+.'0!%*!'()!1234567!61258!9%&!-&0%:),.-!%$)&/'.%,;!(%<)=)&;!'()!>?6!."!$&)*)&&)@!A)-/#")!.'!-/,!B#-(!A)'')&!-%B$),"/')!*%&!'()&B/++0!.,@#-)@!"'&)""!A)'<)),!'()!$/-C/:)!/,@!'()!B%#,'.,:!"%-C)'8!!!D%'(!$/-C/:)!*+/=%&"!/&)!A/")@!%,!/!-)&/B.-!-(.$!-/&&.)&!<.'(!.,")&')@!-%$$)&E'#,:"'),!-%&)!$&%=.@.,:!)F-)++),'!'()&B/+!-%,'/-'! '%! '()!6125!@.)8!G()!$/-C/:)! ".H)! ."! /A%#'!IJBB!F!IJBB8!9.:#&)!K! "(%<"!/! $(%'%:&/$(!%*! '()!1234567!6125!$/-C/:)@!.,!'()!B.-&%EL?6!/,@!'()!>?6!$/-C/:)8!G()!>?6!$/-C/:)!."!-#&&),'+0!#")@!/,@!&)-%BB),@)@!*%&!/++!:&%#,@EA/")@!/"'&%,%B0!/$$+.-/'.%,"!/,@!."!.,-+#@)@!/"!$/&'!%*!'()!1234567!@)=)+%$B),'!C.'8!G()!@)=)+%$B),'!A%/&@!-%B$&.".,:!%,)!1234567!6125!M"%-C)'!.,!'()!-),')&!%*!'()!A%/&@N!/,@!/!,#BA)&!%*!')"'!$%.,'"!/,@!>43"!-/,!A)!")),!.,!'()!&.:('!(/+*!%*!9.:#&)!K8!

!!

!

9.:#&)!KO!1234567!6125!$/-C/:)@!.,!/!L?6!$/-C/:)!M'%$!+)*'N!/,@!/,!>?6!$/-C/:)!MA%''%B!+)*'N!<.'(!IIP!$.,"!)/-(;!/,@!'()!@)=)+%$B),'!A%/&@!<.'(!'()!1234567!6125!"%-C)'!M&.:('N8!

!"#"!$%&'()*+,-.(/0120,3(G%! :#/&/,'))! -+)/,! "#$$+0! =%+'/:)"! /,@! '%! $&%=.@)! ,%.")! *.+')&.,:! %,! '()! /,/+%:! A./"! /,@! &)*)&),-)! ".:,/+";! '()!1234567!6125! &)Q#.&)"! /!,#BA)&! %*! A0$/""! -/$/-.'%&"! -+%")! '%! '()! -(.$8! 2,! -/")!%*! '()!:),)&.-! $/-C/:)! /$$&%/-(!$&)"),')@! .,! '()! $&)=.%#"! ")-'.%,;! '()! -/$/-.'%&"! /&)! $+/-)@! %,! '()! A%/&@! ,)F'! '%! '()! 1234567! "%-C)'8! 9%&! RS1G;!(%<)=)&;! /! @.**)&),'! $/-C/:.,:! /$$&%/-(!</"! -(%"),! '%! A)! /A+)! '%! &)'&%*.'! '()! )F."'.,:! .,"'&#B),'! @)".:,"!<.'(! '()!12345678! T)&);! '()! 1234567! @.)! ."! @.&)-'+0! B%#,')@! /,@! <.&)EA%,@)@! '%! /! -)&/B.-! -.&-#.'! A%/&@! '(/'! $&%=.@)"!"#**.-.),'! &%%B! *%&! /++! $/"".=)! -%B$%,),'"8! G()! -)&/B.-! A%/&@! (/"! /! =)&0! (.:(! '()&B/+! -%,@#-'.=.'0! /,@! ),"#&)"!%$'.B#B!()/'!".,C.,:8!9.:#&)!I!"(%<"!/!$(%'%:&/$(!%*!'()!RS1G!1234567!$/-C/:);!<.'(!/,@!<.'(%#'!'()!"#&&%#,@.,:!B)'/+!A%F8!G()!$.-'#&)"!/&)!"-/+)@!/$$&%F.B/')+0!UE'%EU8!G()!$/-C/:)!/+"%!-%B$&.")"!/!A%''%B!/,@!/!'%$!+.@;!<(.-(!(/=)!A)),!+)*'!%**!.,!'()!$.-'#&)!*%&!'()!"/C)!%*!-+/&.'08!!V,!).'()&!),@!%*!'()!-)&/B.-!A%/&@;!/!IPE$.,!B.-&%E3!-%,,)-'%&!+.,C"!'()!)F')&,/+!-/A+)"!'%!'()!-%&&)"$%,@.,:!".:,/+"!%*!'()! 1234567!61258!V,)! -%,,)-'%&! (/,@+)"! '()!B.F)@EB%@)! .,')&*/-)! '%! '()!T6S622EK7?! @)')-'%&! /,@! .,-+#@)"!-+%-C";!A./"!=%+'/:)"!/,@!J!/,/+%:!.,$#'!-(/,,)+"8!G()!%'()&!-%,,)-'%&!$&%=.@)"!/--)""!'%!'()!@.:.'/+!.,')&*/-)!/,@!'()!$%<)&!"#$$+.)"8!2'!/+"%!.,-+#@)"!'()!".:,/+"!*%&! '()!%,EA%/&@!')B$)&/'#&)!"),"%&!/,@!'()!%$'.%,/+!()/')&!&)"."'%&"8!G()!B)'/+! ),-+%"#&)! $&%=.@)"! )+)-'&.-/+! /"! <)++! /"! %$'.-/+! "(.)+@.,:! /,@! $&%')-'"! '()! 1234567! @.)! /:/.,"'! B)-(/,.-/+!@/B/:)8!

!"#$%&#'&(!)*&+#,%&-.-/&&-.-/.012

Downloaded from SPIE Digital Library on 21 Mar 2011 to 128.220.144.19. Terms of Use: http://spiedl.org/terms

I. r

!

!

"#$!%&'()*+!,--$./01!2300!/$!045,6$7!504-$!64!6#$!7$6$5648-!236#39!$,5#!:;%"!-53$95$!39-68<.$96!,97!2300!4=$8,6$!,6!>?@A! "#$! 5496840! $0$5684935-B! 49! 6#$! 46#$8! #,97B! 2300! /$! 045,6$7! -$C$8,0! .$6$8-! ,2,1! ,97!2300! 4=$8,6$! <97$8! 844.!6$.=$8,6<8$!549736349-A!"#$8$D48$B!,!-=$53,0! 6#$8.,001! 8$-3-63C$!5,/0$!9$$7-! 64!/837E$! 6#$! 624!8$E349-!/1!F$$=39E! 6#$!6#$8.,0!04,7!,!-.,00!,-!=4--3/0$A!"#$!73-,7C,96,E$!4D!-<5#!5,/0$-!3-!6#$!#3E#!$0$56835,0!8$-3-6,95$!,97!6#$!=448!-#3$0739E!5#,8,56$83-635-A! ! )0$,9! -$=,8,6349! 3964! C,834<-! =42$8! 74.,39-! ,97! 5<-64.! 042G5<88$96! HI'%! -3E9,0-! D48! 6#$! 73E36,0!54..<935,6349! #,C$! /$$9! $.=041$7! 64! ,5#3$C$! 8$03,/0$! 042! 943-$! 4=$8,6349! <97$8! 6#$! E3C$9!549736349-A

!J3E<8$!>K!:;%"!D03E#6!=,5F,E$K!)$8,.35!5385<36!/4,87!236#!*%&)!73$!,97!=,--3C$!54.=49$96-B!-#429!236#4<6!,97!236#!.$6,0!

#4<-39EB!73.$9-349-!,8$!,/4<6!?L!M!>N!M!OPAL!..>A!!!

!"! #$%&'()*%)$+&*&,&'-)./$'#*!&9! E$9$8,0B! 4=$8,6349! 4D! 6#$! %&'()*+! *%&)! .$8$01! 8$Q<38$-! =42$8! ,97! 54..<935,6349! C3,! 6#$! 73E36,0! 396$8D,5$A!R42$C$8B!D48!6#$!-,F$!4D!.,F39E!6#$!%&'()*+!-<36,/0$!D48!042G=42$8!5814E$935!-=,5$!,==035,6349-B!,!5<-64.!396$8D,5$!=8464540!#,-!/$$9!3.=0$.$96$7!6#,6!3-!946!54.=,63/0$!236#!,91!46#$8!396$8D,5$!-6,97,87A!"#<-B!5499$5639E!6#$!*%&)!64!,9!,5Q<3-36349!#4-6! 03F$!,!=$8-49,0! 54.=<6$8!9$5$--36,6$-! 6#$!<-$!4D! ,9! 396$8.$73,6$! 04E35!54.=49$96! 64! 68,9-0,6$! 6#$!%&'()*+!=8464540A!*!5<-64.!54968400$8!5,87B!5,00$7!:*'(S!D48! :;%"!*%&)!'83C$!(0$5684935-!I$8-349!SB!#,-!/$$9!/<306! D48! 6#3-! =<8=4-$! ,97! 3-! <-$7! D48! ,00! 4D! 6#$! :;%"! Q<,03D35,6349! 6$-639EA! J3E<8$! L! -#42-! 6#$! /045F! 73,E8,.! ,97!D3E<8$!T!,!=#464E8,=#!4D!6#$!:*'(S!5,87A!!"#$!:*'(S!54968400$8!5,87!5499$56-!738$5601!64!,!U)!6#84<E#!36-!V%W!SAP!=486A!&9!,7736349!64!6#$!73E36,0!7,6,!68,9-D$8B!6#$!V%W!5499$5648!,0-4!=84C37$-!TI!=42$8A!J48!042!943-$!48!#3E#!5<88$96!,==035,6349-B!,!-$=,8,6$!=42$8!5499$5648!3-!,C,30,/0$A! "#$! :*'(S! /4,87! E$9$8,6$-! ,! 646,0! 4D! SL! =84E8,..,/0$! C406,E$! -4<85$-! 64! 783C$! .<063=0$! 397$=$97$96!%&'()*+!*%&)-A! (,5#! C406,E$! -4<85$! 3-! $Q<3==$7!236#! ,! C406,E$! ,97! 5<88$96! 8$,7G/,5F! 5385<36! 64! .$,-<8$! =42$8!549-<.=6349!,97!64!.493648!6#$!4=$8,6349A!!*!%=,86,9G>!JUX*!#,970$-!6#$!=8464540!68,9-0,6349-!D84.!6#$!%&'()*+!64!

!"#$%&#'&(!)*&+#,%&-.-/&&-.-/.01/

Downloaded from SPIE Digital Library on 21 Mar 2011 to 128.220.144.19. Terms of Use: http://spiedl.org/terms

Teledyne Imaging Sensors HAWAII-4RG™

Visible & Infrared Focal Plane Array

The 4096!4096 pixel HAWAII-4RG™ (H4RG) is the next generation, state-of-the-art readout integrated circuit for visible and infrared instrumentation in ground-based and space telescope applications.

• Large (4096!4096 pixel) array with either 10 µm or 15 µm pixel pitch.

• Compatible with Teledyne Imaging Sensors (TIS) HgCdTe infrared (IR) and silicon PIN HyViSI™ visible detectors, providing sensing of any spectral band from soft X-ray to 5.5 "m.

• Substrate-removed HgCdTe enhances the J-band QE, enables response through the visible spectrum (70% QE down to 400nm), and eliminates fluorescence from cosmic radiation absorbed in the substrate, and eliminates fringing in the substrate material.

• Reference rows and columns for common-mode noise rejection.

• Guide window output – windowing with simultaneous science date acquisition of full array. Programmable window which may be read out at up to 5 MHz pixel rate for guiding. Readout is designed to allow interleaved readout of the guide window and the full frame science data.

• Selectable number of outputs (1, 4, 16, 32 or 64) and user-selectable scan directions provide flexibility in data acquisition.

• Built with modularity in mind – the array is 4-side-buttable to allow assembly of large mosaics of 4096!4096 H4RG modules.

• Fully compatible with the TIS SIDECAR™ ASIC Focal Plane Electronics.

For more information, please email Richard Blank at [email protected] or call +1 805 373-4083.

Published Information – Cleared for Public Release by the DoD’s Office of Security Review (Case #10-S-1233)

Teledyne Imaging Sensors HAWAII-4RG™

Visible & Infrared Focal Plane Array

The 4096!4096 pixel HAWAII-4RG™ (H4RG) is the next generation, state-of-the-art readout integrated circuit for visible and infrared instrumentation in ground-based and space telescope applications.

• Large (4096!4096 pixel) array with either 10 µm or 15 µm pixel pitch.

• Compatible with Teledyne Imaging Sensors (TIS) HgCdTe infrared (IR) and silicon PIN HyViSI™ visible detectors, providing sensing of any spectral band from soft X-ray to 5.5 "m.

• Substrate-removed HgCdTe enhances the J-band QE, enables response through the visible spectrum (70% QE down to 400nm), and eliminates fluorescence from cosmic radiation absorbed in the substrate, and eliminates fringing in the substrate material.

• Reference rows and columns for common-mode noise rejection.

• Guide window output – windowing with simultaneous science date acquisition of full array. Programmable window which may be read out at up to 5 MHz pixel rate for guiding. Readout is designed to allow interleaved readout of the guide window and the full frame science data.

• Selectable number of outputs (1, 4, 16, 32 or 64) and user-selectable scan directions provide flexibility in data acquisition.

• Built with modularity in mind – the array is 4-side-buttable to allow assembly of large mosaics of 4096!4096 H4RG modules.

• Fully compatible with the TIS SIDECAR™ ASIC Focal Plane Electronics.

For more information, please email Richard Blank at [email protected] or call +1 805 373-4083.

Published Information – Cleared for Public Release by the DoD’s Office of Security Review (Case #10-S-1233)

In  general  Hawaii  family  read  noise  is  in  the  15  e-­‐  range

Page 18: What's New with CMOS Imaging Arrays

SIDECAR  ASIC

18

!!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

SIDECAR ASIC Functionality

Digital ControlMicrocontroller for Clock Generation

and Signal ProcessingBias

Generator

Amplification and A/D

Conversion

Data Memory

Program Memory

Data Memory

Digital I/O

Interface

SIDECARSIDECAR

Exte

rnal

El

ectr

onic

s

Mul

tiple

xer,

e.g.

HA

WA

II-2R

G

analog mux outanalog

mux out

bias voltages

bias voltages

clocksclocksmain clockmain clock

data indata in

data outdata out

synchron.synchron.

Digital Generic I/O

!"#"$%&"'()*+,&+'-"&./0.

!!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

SIDECAR ASIC Floorplan

88)44

!";<)44

!"#"$%&"'()*+,&+'-"&./0.

• Designed  to  pair  with  Hawaii  Family,  can  also  interface  with  CCDs  and  Monolithic  CMOS

• Extremely  versaSle,  but  very  complex• Must  run  cold  for  low  noise,  limit  is  ~5-­‐10  e-­‐

Page 19: What's New with CMOS Imaging Arrays

Non-­‐DestrucSve  Readout

19

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

Non-destructive readout enables reduction of noise from multiple samples

1'4;%$)<=$.6>)?+.)@A2)+.'/$B

C$*/D6$,

H2RG array2.5 micron cutoff

Temperature = 77K

EF1)G)(.66$%*&$,),.DH%$)/*4;%$

Example of Noise vs Number of Fowler Samples

• Fowler  Sampling  drives  down  read  noise• sample  the  reset  N  Smes  and  then  sample  the  signal  N  Smes  (pairs)

• Example  is  a  H2RG  HgCdTe  array  with  a  2.5  μm  cutoff,  operated  at  77K

• Requires  added  Sme• Sme  to  read  a  H2RG  frame  is  ~1/2  s• need  off  chip  frame  storage

Page 20: What's New with CMOS Imaging Arrays

Here  there  be  X-­‐rays

20

H2RG  Si-­‐PIN  (HyViSI)  1k  x  1k  x  36  μm  pixelsTi  X-­‐ray  sourceRead  Noise  17  e-­‐  rms  100  kpix/sec  @  140K

Kα  4.51  keV

Kβ  4.93  keV

Page 21: What's New with CMOS Imaging Arrays

FourStar Detector ModuleFourStar Back End

Detector module with ASIC cold cards 14Jump to:

FourStar Photo Album

FourStar Detector Module

FourStar Detector ModuleFourStar Back End

3 of the SCA's in the camera module1Jump to:

FourStar Photo Album

FourStar Detector Module

Four  Star  Camera  (Magellan  Baade)

21

Four  Star  focal  plane  (uses  HJK  filters)

1.1. Instrument Overview

FourStar provides a 10.9 arcminute square field of view using a 2x2 array of Teledyne HAWAII-2RG focal plane arraysthat provide a plate scale of 0.159 arcsec per pixel. This scale slightly under-samples images under the best seeingconditions at Magellan, which have been measured with the PANIC camera on the Magellan Baade telescope (Martini etal. 2004), to be at best 0.21 arcsec FWHM at Ks in ten minutes and a median of 0.41 arcsec FWHM.

Property Value Notes

Focal Plane Format 4096 x 4096 Four HAWAII-2RG arrays

Pixel Scale 0.159!! 18µm pixels

Field of View 10.9! x 10.9!Sensitivity Ks = 20.6 (Vega) 5" point source in 1hr

Table 1. Key FourStar parameters.

FourStar will be outfitted with a standard suite of JHKs filters. The instrument has two 6-position filter wheels in series,and may accommodate up to 10 filters. The remaining filters will be designed for particular science projects, and willhave narrow (#/$#~100) or medium (#/$#~10) bandwidths.

The instrument will reside at one of the two f/11 Nasmyth ports of the Baade 6.5m telescope. It is about 3 m long andweighs 1200 kg. Most of its weight will be supported by the instrument handling cart which sits on the Nasmythplatform; attachment to the telescope via the guider is used only to locate the instrument in space.

1.2. Science with FourStar

FourStar will be primarily a survey instrument. Its combination of sensitivity, image quality and field of view are ideallysuited to addressing key problems in the study of distant galaxies, star formation, and stellar astrophysics.

Figure 2. An example of the image quality and sensitivity offered by the Magellan Telescopes. This 17 hour Ks-band image of the Hubble Ultra-Deep Field with PANIC (Martini et al. 2004) reaches a limiting depth of Ks = 24mag (Vega). The resolution of the images rivals that of NICMOS on HST. The 25 times larger field of view ofFourStar will make deep surveys over moderate areas practical and will enable studies of massive red galaxies inthe 1 < z < 3 range with high precision and statistical accuracy. The image is from Labbé (2007).

!

!

"#$$%&'"!'()!*#++!*#,-'.%,/+.'0!%*!'()!1234567!61258!9%&!-&0%:),.-!%$)&/'.%,;!(%<)=)&;!'()!>?6!."!$&)*)&&)@!A)-/#")!.'!-/,!B#-(!A)'')&!-%B$),"/')!*%&!'()&B/++0!.,@#-)@!"'&)""!A)'<)),!'()!$/-C/:)!/,@!'()!B%#,'.,:!"%-C)'8!!!D%'(!$/-C/:)!*+/=%&"!/&)!A/")@!%,!/!-)&/B.-!-(.$!-/&&.)&!<.'(!.,")&')@!-%$$)&E'#,:"'),!-%&)!$&%=.@.,:!)F-)++),'!'()&B/+!-%,'/-'! '%! '()!6125!@.)8!G()!$/-C/:)! ".H)! ."! /A%#'!IJBB!F!IJBB8!9.:#&)!K! "(%<"!/! $(%'%:&/$(!%*! '()!1234567!6125!$/-C/:)@!.,!'()!B.-&%EL?6!/,@!'()!>?6!$/-C/:)8!G()!>?6!$/-C/:)!."!-#&&),'+0!#")@!/,@!&)-%BB),@)@!*%&!/++!:&%#,@EA/")@!/"'&%,%B0!/$$+.-/'.%,"!/,@!."!.,-+#@)@!/"!$/&'!%*!'()!1234567!@)=)+%$B),'!C.'8!G()!@)=)+%$B),'!A%/&@!-%B$&.".,:!%,)!1234567!6125!M"%-C)'!.,!'()!-),')&!%*!'()!A%/&@N!/,@!/!,#BA)&!%*!')"'!$%.,'"!/,@!>43"!-/,!A)!")),!.,!'()!&.:('!(/+*!%*!9.:#&)!K8!

!!

!

9.:#&)!KO!1234567!6125!$/-C/:)@!.,!/!L?6!$/-C/:)!M'%$!+)*'N!/,@!/,!>?6!$/-C/:)!MA%''%B!+)*'N!<.'(!IIP!$.,"!)/-(;!/,@!'()!@)=)+%$B),'!A%/&@!<.'(!'()!1234567!6125!"%-C)'!M&.:('N8!

!"#"!$%&'()*+,-.(/0120,3(G%! :#/&/,'))! -+)/,! "#$$+0! =%+'/:)"! /,@! '%! $&%=.@)! ,%.")! *.+')&.,:! %,! '()! /,/+%:! A./"! /,@! &)*)&),-)! ".:,/+";! '()!1234567!6125! &)Q#.&)"! /!,#BA)&! %*! A0$/""! -/$/-.'%&"! -+%")! '%! '()! -(.$8! 2,! -/")!%*! '()!:),)&.-! $/-C/:)! /$$&%/-(!$&)"),')@! .,! '()! $&)=.%#"! ")-'.%,;! '()! -/$/-.'%&"! /&)! $+/-)@! %,! '()! A%/&@! ,)F'! '%! '()! 1234567! "%-C)'8! 9%&! RS1G;!(%<)=)&;! /! @.**)&),'! $/-C/:.,:! /$$&%/-(!</"! -(%"),! '%! A)! /A+)! '%! &)'&%*.'! '()! )F."'.,:! .,"'&#B),'! @)".:,"!<.'(! '()!12345678! T)&);! '()! 1234567! @.)! ."! @.&)-'+0! B%#,')@! /,@! <.&)EA%,@)@! '%! /! -)&/B.-! -.&-#.'! A%/&@! '(/'! $&%=.@)"!"#**.-.),'! &%%B! *%&! /++! $/"".=)! -%B$%,),'"8! G()! -)&/B.-! A%/&@! (/"! /! =)&0! (.:(! '()&B/+! -%,@#-'.=.'0! /,@! ),"#&)"!%$'.B#B!()/'!".,C.,:8!9.:#&)!I!"(%<"!/!$(%'%:&/$(!%*!'()!RS1G!1234567!$/-C/:);!<.'(!/,@!<.'(%#'!'()!"#&&%#,@.,:!B)'/+!A%F8!G()!$.-'#&)"!/&)!"-/+)@!/$$&%F.B/')+0!UE'%EU8!G()!$/-C/:)!/+"%!-%B$&.")"!/!A%''%B!/,@!/!'%$!+.@;!<(.-(!(/=)!A)),!+)*'!%**!.,!'()!$.-'#&)!*%&!'()!"/C)!%*!-+/&.'08!!V,!).'()&!),@!%*!'()!-)&/B.-!A%/&@;!/!IPE$.,!B.-&%E3!-%,,)-'%&!+.,C"!'()!)F')&,/+!-/A+)"!'%!'()!-%&&)"$%,@.,:!".:,/+"!%*!'()! 1234567!61258!V,)! -%,,)-'%&! (/,@+)"! '()!B.F)@EB%@)! .,')&*/-)! '%! '()!T6S622EK7?! @)')-'%&! /,@! .,-+#@)"!-+%-C";!A./"!=%+'/:)"!/,@!J!/,/+%:!.,$#'!-(/,,)+"8!G()!%'()&!-%,,)-'%&!$&%=.@)"!/--)""!'%!'()!@.:.'/+!.,')&*/-)!/,@!'()!$%<)&!"#$$+.)"8!2'!/+"%!.,-+#@)"!'()!".:,/+"!*%&! '()!%,EA%/&@!')B$)&/'#&)!"),"%&!/,@!'()!%$'.%,/+!()/')&!&)"."'%&"8!G()!B)'/+! ),-+%"#&)! $&%=.@)"! )+)-'&.-/+! /"! <)++! /"! %$'.-/+! "(.)+@.,:! /,@! $&%')-'"! '()! 1234567! @.)! /:/.,"'! B)-(/,.-/+!@/B/:)8!

!"#$%&#'&(!)*&+#,%&-.-/&&-.-/.012

Downloaded from SPIE Digital Library on 21 Mar 2011 to 128.220.144.19. Terms of Use: http://spiedl.org/terms

Four  Star  Focal  Plane

SIDECAR

Page 22: What's New with CMOS Imaging Arrays

Visible  Light  Photometry

22

“Whipple”  -­‐  HyViSI  High  Speed  Photometer

Time  (sec)

H2RG+HiViSI  @  20  Hz  (400kpix/sec)Read  noise  17  e-­‐  rms  @  200K

!

!"#!"#$!%&!'(#)*%#+&$!%,!(-,%&./0(%-!)%-0/(-$'!%-!01(#!#1$$0!(#!#+23$)0!0%!01$!&$#0&()0(%-!%-!01$!4$#0&()0(5$!6%0()$!7/8$!%,!01(#!7&%7%#/*9!

!

!"#$$%&'()*(*+,-'+./+0102.! ! !$%$&'(!)'!$(*+,!*!)-.-(/)!!

"#$%"&'(!)*"*!+&#$!",%!-./!#+!",%!"*&0%"!1"*&1!2'3(45)'30!6789:!*3)!#&0*3';'30!",%1%!)*"*!'3"#!",%!1*$%!"'$%!1%&'%1!+#&$*"!*1!",%!8/7<=6>-!)#%1!+#&!",%!?'3)#?!$#)%!#@%&*"'#3A!>3!BCD>!E*1%)!)*"*!,*3)4%&!51%1!*!1"*&!4##F5@!"*E4%!",*"!&#5"%1!",%!'3)'G')5*4!'$*0%!@'H%41!*@@&#@&'*"%4IA!J,%!1"*&!4##F5@!"*E4%!'1!0%3%&*"=%)!'3!",%!/68C!+&#$!",%!1"*&!4'1"!",*"!'1!5@=4#*)%)!*"!",%!1"*&"!#+!*!1('%3(%!#E1%&G*"'#3A!J,%!<K5'G*4%3"!L')",!*40#&'",$!2<L>:!8'=

(*&)I!%"!*4A:!MNNMO!-#K5%1!%"!*4A:!PQQR9!'1!51%)!*1!",%!%G%3"!"&'00%&A!/"!%G*45*"%1!*!1,#&"!&53=3'30!*G%&*0%!1"*&!'3"%31'"I!*3)!(#$@*&%1!",*"!"#!*!4#30!"%&$!$%*3!'3"%31'"I:!*1!1,#?3!'3!",%!1'$@4'+'%)!%K5*"'#3S!

! !

L,%&%!",%!15$!'1!#G%&!",%!1,#&"!'3"%&G*4!23#=$'3*44I!T!1*$@4%19!*3)!",%!4#30!"%&$!$%*3!2)%3#"%)!EI!B=E*&9!'1!"*F%3!#G%&!PUV!1*$@4%1A!J,%!<L>!'1!%3(#)%)!'3!*!,'0,!1@%%)!B'%4)!C&#0&*$$*E4%!D*"%!>&&*I!2BCD>9A!.3%!BCD>!@&'3"%)!?'&%!E#*&)!2CLW9!,*1!15++'('%3"!(*@*E'4'"I!"#!1'$54"*3%#514I!@&#(%11!)*"*!+&#$!*44!#+!",%!"*&0%"!1"*&1!+#&!",*"!)%"%("#&!25@!"#!XN:QQQ9A!!L%!,*G%!G%&'+'%)!#5&!*@@&#*(,!EI!%3(#)'30!

",%!<L>!'3!*!Y'4'3H!Z'&"%H=[\Z!BCD>!&53=3'30!*"![Q!]^;A!L%!$%*15&%)!",%!&%1#5&(%!&%K5'&%$%3"1!235$E%&!#+!0*"%1!*3)!*$#53"!#+!$%$#&I9!*3)!",%!35$E%&!#+!BDCD>!(4#(F!(I(4%1!3%%)%)!"#!@&#(%11!*!1'304%!1"*&!$%*=15&%$%3"A!J,%!Z'&"%H!BCD>!(*3!15@@#&"![!'3=1"*3(%1!#+!",%!<L>!2%H(45)'30!",%!%H"%&3*4!->]9A!>!1'304%!1"*&!$%*15&%$%3"!&%K5'&%1![QQ!BCD>!(4#(F!(I(4%1A!J,51:!*!1'304%!'3=1"*3(%!#+!",%!*40#&'",$!(*3!E%!$54"'@4%H%)!"#!*3*4I;%!5@!"#!UQQ!1"*&1!2*"![Q!^;9A!6#$E'3'30!",%1%!$%*15&%)!@%&+#&$*3(%!G*45%1:!*!1'304%!Z'&"%H=[\Z!(*3!*3*4I;%!5@!"#!P:QQQ!"*&0%"!1"*&1_)%"%("#&!*"![Q!^;!*3)!@&#@#&"'#3*44I!$#&%!*"!14#?%&!(*)%3(%1A!J,%!Z'&"%H=[\Z!'1!*!+544I!1@*(%!K5*4'+'%)!BCD>!*3)!?'44!E%!51%)!",&#50,#5"!",%!/68CA!L,%3!",%!%K5'G*4%3"!?')",!+53("'#3!G*45%!

%H(%%)1!*!",&%1,#4)!@*&*$%"%&:!",%!-./!"'$%!1%&'%1!(%3"%&%)!#3!",*"!"'$%!1*$@4%!2*4#30!?'",!,%*)%&!'3+#&$*"'#39!'1!+4*00%)!*1!(#3"*'3'30!*!@#"%3"'*4!)%"%("'#3:!3#!3%?!)%"%("'#3!'1!@%&$'"="%)!53"'4!",%!<L!G*45%!+*441!E%4#?!*!1%(#3)!4#?%&!",&%1,#4):!@&%G%3"'30!$54"'@4%!)%"%("'#31!

#+!",%!1*$%!%G%3"A!J,%!+544!@'H%4!)*"*!1%&'%1!#+!PUV!1*$@4%1!2(%3"%&%)!#3!",%!%G%3"!"&'00%&9!'1!",%3!@*11%)!"#!",%!#3=E#*&)!)*"*!1"#&*0%!1I1="%$!+#&!4*"%&!"&*31$'11'#3!"#!",%!0&#53)A!7*"*!",*"!*&%!3#"!+4*00%)!('&(54*"%!#5"!#+!",%!B/B.O!*1!*!&%154":!",%!$*`#&'"I!#+!",%!"'$%!1%&'%1!)*"*!*&%!3#"!&%"*'3%)A!!J,%!<L>!,*1!"?#!(&'"'(*4!@*&*$%"%&1:!",%!

4%30",!#+!",%!1,#&"!*G%&*0%!23#$'3*44I!T!1*$=@4%19!*3)!",%!",&%1,#4)!+#&!)%"%("'#3!23#$'3*4=4I!PAU!'3!3#&$*4';%)!<L!53'"19A!W#",!#+!",%1%!(*3!E%!$#)'+'%)!)5&'30!",%!$'11'#3!'+!3%(%1=1*&I!"J,%!/68C!*41#!1*G%1!'3"%31'"I!G*45%1!+#&!5@!

"#!PQ:QQQ!#+!",%!"*&0%"%)!1"*&1!#3(%!@%&!$'35"%:!EI!*G%&*0'30!#G%&!",%!&%*)!#5"!1*$@4%1A!J,%1%!*&%!@*11%)!"#!",%!)*"*!1"#&*0%!1I1"%$!+#&!"&*31$'11'#3!*1!@*&"!#+!",%!@&'$*&I!1('%3(%!)*="*A!J,%1%!)*"*!*&%!51%)!'3!",%!*3*4I1'1!#+!(*3=)')*"%!%G%3"1!EI!')%3"'+I'30!G*&'*E4%!1"*&1!?,#1%!'3"&'31'(!G*&'*"'#31!$'0,"!$'$'(!*3!#(=(54"*"'#3!%G%3"A!>1!)'1(511%)!'3!8%("'#3!<Aa:!",%1%!)*"*!(*3!*41#!E%!51%)!+#&!*))'"'#3*4!1('%3(%!E%I#3)!",%!L,'@@4%!1('%3(%!0#*41!15(,!*1!1"5)I'30!1"%44*&!G*&'*E'4'"I!*3)!1%*&(,'30!+#&!"&*31'"'30!%H#@4*3%"1A!.3435' 1&6"7#68%')&89#7&::'-&;&%'#$$"%&"'()"*+'),-+$."+/0"1%*2%/)/'."%&"'()"

')$).1%2)"+,)".2+1)"34+$-&-)0!!5()"6789"+/0":;<=>#8"+,)"*+'4,)"0)?-1).A!@)".)$)1')0"+"&4$$A"&$-B('"34+$-&-)0"0)?-1)"CD-$-/E"F-,')E"GHIFJ"K-'("58L"M"N!!]*3I!"%4%1(#@%!$*"%&'*41!*3)!(#$@#3%3"1!

?%&%!51%)!'3!",%!b%@4%&!*3)!8@'";%&!$'11'#31A!J,%!8=6!)%1'03!'1!*!1"*3)*&)!#@"'(*4!)%1'03!+#&$A!c#!3%?!)%G%4#@$%3"1!*&%!3%%)%):!*3)!",%&%+#&%!?%!,*G%!*11%11%)!",%!J-d!#+!V!+#&!",'1!15E1I1"%$A!J,%!)%"%("#&1!*&%!51%)!'3!G*&'#51!1@*(%!*@=

@4'(*"'#31!'3(45)'30!",%!^5EE4%!8@*(%!J%4%=1(#@%!28/7<6>-!#@%&*"%1!",%!>689!*3)!",%!e*$%1!L%EE!8@*(%!J%4%1(#@%!2MU!+4'0,"!^P-D1!*3)!8/7<6>-1!,*G%!E%%3!)%4'G%&%)!EI!J/89A!>!^M-D!?'",!^IZ'8/!?*1!4*53(,%)!#3!",%!.&E'"'30!6*&E#3!.E1%&G*"#&I:!?,'(,!53+#&"53*"%4I!)')!3#"!*(,'%G%!#&E'"A!L%!(*"%=0#&';%!",%!8/7<6>-!*"!J-d!N!*3)!",%!^P-D!*"!J-d!T_aA!!J,%!F%I!(#$@#3%3"!+#&!",%!/68C!'1!",%!

BCD>:!?,'(,!'1!51%)!+#&!1%G%&*4!+53("'#31A"/"!'1!*!(#$$%&('*44I!*G*'4*E4%!@&#)5("A!8'$'4*&4I:!?%!?'44!51%!+544I!K5*4'+'%)!->]!+#&!",%!)*"*!

Page 23: What's New with CMOS Imaging Arrays

Other  Examples

23

!"!#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)"889

Another 4096 x 4096 pixel IR mosaic comes on-line:;%<)"88=))> ?'6/&)%'5@&).2)ABCD>3))EA'5@)B(;'&<F)C',$)2'$%,)D>G*+,)34*5'+5HI;6.J$*+)1.;&@$6+)7G/$6K*&.6<)L89MNL89M)J'N$%)4./*'().2)A"OP/M&@).J$6*&'.+*%)LDQLD)4./*'().2)A")R)A"OP/S))I17F)P$4'+'F)T?AUF)VAF)VD3OUF)17BOUW.)4.6$)LDQLD)4./*'(/)&.)G$)(.44'//'.+$,)'+)"8!8S)7T3CF)XY3B

Serpens Star Forming Region1 million year old stars

!""#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)"889

VISTA Telescope (ESO)

HgCdTe 2K x 2K,20 µm pixels

Mockup of image on sky with Moon

4!4 Mosaic67 Megapixels

!"#$%&'()*+,+'()-#,$&.,

Page 24: What's New with CMOS Imaging Arrays

Very  Liile  Energy  in  IR  

24

An electron-volt (eV)

is extremely small

An electron-volt (eV)

is extremely small

!"#$""%""!&'"( !)*!+ ,""-,"%"./01#2

!",""%""3"( 4""%""56"( 4"( 7#8*9 ( 4

!"56":;<7#="!"4#>#:""%""+&?",""%""'&!"( !)!+ #$

15 H2RG2K 2K arrays

63 million pixels

( @A#"#B#:6C"/D";"EA/>/B"<7"VERY 74;11F GB#:6C"/D"HIJK"-9&L" 42"EA/>/B"<7")&L"#$

( JB"L"C#;:7M",IH@"N<11">;5#"O!"4<11</B"<4;6#7

F !)))"7#8"#PE&M"!L"Q9KR7M"+)S"=0>C"8C81#

F TA/>/B7"U"Q9KR"<4;6#" V&'"W !)!)"EA/>/B7( LS"E<P#17";>"?LS"D011"N#11

( !)S""""X ;>"Y)S"D011"N#11

( !)S""""X ;>"!)S"D011"N#11

( ZLS""""X ;>"""!S"D011"N#11

F @/>;1"["HIJK"EA/>/B7"=#>#8>#=" V&'"W !)!'

F @/>;1"#B#:6C"=#>#8>#=" !&?"W !)!' #$

( @A#"#B#:6C"/D";"EA/>/B"<7"VERY 74;11F GB#:6C"/D"HIJK"-9&L" 42"EA/>/B"<7")&L"#$

( JB"L"C#;:7M",IH@"N<11">;5#"O!"4<11</B"<4;6#7

F !)))"7#8"#PE&M"!L"Q9KR7M"+)S"=0>C"8C81#

F TA/>/B7"U"Q9KR"<4;6#" V&'"W !)!)"EA/>/B7( LS"E<P#17";>"?LS"D011"N#11

( !)S""""X ;>"Y)S"D011"N#11

( !)S""""X ;>"!)S"D011"N#11

( ZLS""""X ;>"""!S"D011"N#11

F @/>;1"["HIJK"EA/>/B7"=#>#8>#=" V&'"W !)!'

F @/>;1"#B#:6C"=#>#8>#=" !&?"W !)!' #$

\011"N#11

?LM)))"#*

!L"84"E#;B0>"]^]_ =:/E"<7"

#`0;1">/">A#"#B#:6C"=#>#8>#="

=0:<B6"L"C#;:"/E#:;></B"/D">A#"

,;4#7"I#aa"HE;8#"@#1#78/E#b

!L"84"E#;B0>"]^]_ =:/E"<7"

#`0;1">/">A#"#B#:6C"=#>#8>#="

=0:<B6"L"C#;:"/E#:;></B"/D">A#"

,;4#7"I#aa"HE;8#"@#1#78/E#b

( c:/E"E#;B0>"]^]_ 8;B=C"-O962"D:/4"

A#<6A>"/D"!L"84"-O'"<B8A#72

F T/>#B><;1"#B#:6C" !&?"P"!)!' #$"

( c:/E"E#;B0>"]^]_ 8;B=C"-O962"D:/4"

A#<6A>"/D"!L"84"-O'"<B8A#72

F T/>#B><;1"#B#:6C" !&?"P"!)!' #$"

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

JWST - James Webb Space Telescope15 Teledyne 2K!2K infrared arrays on board (~63 million pixels)

; 3+&$6+*&'.+*%)(.%%*<.6*&'.+; =>")4$&$6)?6'4*6@)4'66.6)*+,)&$++'/)(.A6&)/'B$)/A+/C'$%,; 89!D)%*A+(C).+)E6'*+$)")6.(F$&; -8).6<'&)G!>")4'%%'.+)F4)26.4)H*6&CI

=>"4)4'66.6

/A+/C'$%,

Two 2x2 mosaicsof SWIR 2Kx2K

Two individual MWIR 2Kx2K

NIRCamGJ$*6)3+26*6$,)K*4$6*I

; Wide field imager; Studies morphology of objects

and structure of the universe; U. Arizona / Lockheed Martin

; Spectrograph; Measures chemical composition,

temperature and velocity; European Space Agency / NASA

NIRSpecGJ$*6)3+26*6$,)1?$(&6.56*?CI

1x2 mosaic of MWIR 2Kx2K

FGSGL'+$)MA',*+($)1$+/.6/I

; Acquisition and guiding; Images guide stars for telescope

stabilization; Canadian Space Agency

3 individual MWIR 2Kx2K

H*6&C

NO1P) Q'%%) 2'+,) &C$) R2'6/&) %'5C&S.<T$(&/)*2&$6)&C$)#'5)#*+5U)*+,)Q'%%) /&A,@) C.Q) 5*%*V'$/U) /&*6/)*+,)?%*+$&*6@)/@/&$4/)2.64

!"#"$%&"'()*+,&+'-"&./0.

W8XM)YA*%'2'$,)&.)PX-Z=)*+,)13[HKEX)E13K)YA*%'2'$,)&.)PX-Z:

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

JWST - James Webb Space Telescope15 Teledyne 2K!2K infrared arrays on board (~63 million pixels)

; 3+&$6+*&'.+*%)(.%%*<.6*&'.+; =>")4$&$6)?6'4*6@)4'66.6)*+,)&$++'/)(.A6&)/'B$)/A+/C'$%,; 89!D)%*A+(C).+)E6'*+$)")6.(F$&; -8).6<'&)G!>")4'%%'.+)F4)26.4)H*6&CI

=>"4)4'66.6

/A+/C'$%,

Two 2x2 mosaicsof SWIR 2Kx2K

Two individual MWIR 2Kx2K

NIRCamGJ$*6)3+26*6$,)K*4$6*I

; Wide field imager; Studies morphology of objects

and structure of the universe; U. Arizona / Lockheed Martin

; Spectrograph; Measures chemical composition,

temperature and velocity; European Space Agency / NASA

NIRSpecGJ$*6)3+26*6$,)1?$(&6.56*?CI

1x2 mosaic of MWIR 2Kx2K

FGSGL'+$)MA',*+($)1$+/.6/I

; Acquisition and guiding; Images guide stars for telescope

stabilization; Canadian Space Agency

3 individual MWIR 2Kx2K

H*6&C

NO1P) Q'%%) 2'+,) &C$) R2'6/&) %'5C&S.<T$(&/)*2&$6)&C$)#'5)#*+5U)*+,)Q'%%) /&A,@) C.Q) 5*%*V'$/U) /&*6/)*+,)?%*+$&*6@)/@/&$4/)2.64

!"#"$%&"'()*+,&+'-"&./0.

W8XM)YA*%'2'$,)&.)PX-Z=)*+,)13[HKEX)E13K)YA*%'2'$,)&.)PX-Z:

!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

JWST - James Webb Space Telescope15 Teledyne 2K!2K infrared arrays on board (~63 million pixels)

; 3+&$6+*&'.+*%)(.%%*<.6*&'.+; =>")4$&$6)?6'4*6@)4'66.6)*+,)&$++'/)(.A6&)/'B$)/A+/C'$%,; 89!D)%*A+(C).+)E6'*+$)")6.(F$&; -8).6<'&)G!>")4'%%'.+)F4)26.4)H*6&CI

=>"4)4'66.6

/A+/C'$%,

Two 2x2 mosaicsof SWIR 2Kx2K

Two individual MWIR 2Kx2K

NIRCamGJ$*6)3+26*6$,)K*4$6*I

; Wide field imager; Studies morphology of objects

and structure of the universe; U. Arizona / Lockheed Martin

; Spectrograph; Measures chemical composition,

temperature and velocity; European Space Agency / NASA

NIRSpecGJ$*6)3+26*6$,)1?$(&6.56*?CI

1x2 mosaic of MWIR 2Kx2K

FGSGL'+$)MA',*+($)1$+/.6/I

; Acquisition and guiding; Images guide stars for telescope

stabilization; Canadian Space Agency

3 individual MWIR 2Kx2K

H*6&C

NO1P) Q'%%) 2'+,) &C$) R2'6/&) %'5C&S.<T$(&/)*2&$6)&C$)#'5)#*+5U)*+,)Q'%%) /&A,@) C.Q) 5*%*V'$/U) /&*6/)*+,)?%*+$&*6@)/@/&$4/)2.64

!"#"$%&"'()*+,&+'-"&./0.

W8XM)YA*%'2'$,)&.)PX-Z=)*+,)13[HKEX)E13K)YA*%'2'$,)&.)PX-Z:

JWST  has  15  IR  detectors  total  of  63  MegaPixels

An electron-volt (eV)

is extremely small

An electron-volt (eV)

is extremely small

!"#$""%""!&'"( !)*!+ ,""-,"%"./01#2

!",""%""3"( 4""%""56"( 4"( 7#8*9 ( 4

!"56":;<7#="!"4#>#:""%""+&?",""%""'&!"( !)!+ #$

15 H2RG2K 2K arrays

63 million pixels

( @A#"#B#:6C"/D";"EA/>/B"<7"VERY 74;11F GB#:6C"/D"HIJK"-9&L" 42"EA/>/B"<7")&L"#$

( JB"L"C#;:7M",IH@"N<11">;5#"O!"4<11</B"<4;6#7

F !)))"7#8"#PE&M"!L"Q9KR7M"+)S"=0>C"8C81#

F TA/>/B7"U"Q9KR"<4;6#" V&'"W !)!)"EA/>/B7( LS"E<P#17";>"?LS"D011"N#11

( !)S""""X ;>"Y)S"D011"N#11

( !)S""""X ;>"!)S"D011"N#11

( ZLS""""X ;>"""!S"D011"N#11

F @/>;1"["HIJK"EA/>/B7"=#>#8>#=" V&'"W !)!'

F @/>;1"#B#:6C"=#>#8>#=" !&?"W !)!' #$

( @A#"#B#:6C"/D";"EA/>/B"<7"VERY 74;11F GB#:6C"/D"HIJK"-9&L" 42"EA/>/B"<7")&L"#$

( JB"L"C#;:7M",IH@"N<11">;5#"O!"4<11</B"<4;6#7

F !)))"7#8"#PE&M"!L"Q9KR7M"+)S"=0>C"8C81#

F TA/>/B7"U"Q9KR"<4;6#" V&'"W !)!)"EA/>/B7( LS"E<P#17";>"?LS"D011"N#11

( !)S""""X ;>"Y)S"D011"N#11

( !)S""""X ;>"!)S"D011"N#11

( ZLS""""X ;>"""!S"D011"N#11

F @/>;1"["HIJK"EA/>/B7"=#>#8>#=" V&'"W !)!'

F @/>;1"#B#:6C"=#>#8>#=" !&?"W !)!' #$

\011"N#11

?LM)))"#*

!L"84"E#;B0>"]^]_ =:/E"<7"

#`0;1">/">A#"#B#:6C"=#>#8>#="

=0:<B6"L"C#;:"/E#:;></B"/D">A#"

,;4#7"I#aa"HE;8#"@#1#78/E#b

!L"84"E#;B0>"]^]_ =:/E"<7"

#`0;1">/">A#"#B#:6C"=#>#8>#="

=0:<B6"L"C#;:"/E#:;></B"/D">A#"

,;4#7"I#aa"HE;8#"@#1#78/E#b

( c:/E"E#;B0>"]^]_ 8;B=C"-O962"D:/4"

A#<6A>"/D"!L"84"-O'"<B8A#72

F T/>#B><;1"#B#:6C" !&?"P"!)!' #$"

( c:/E"E#;B0>"]^]_ 8;B=C"-O962"D:/4"

A#<6A>"/D"!L"84"-O'"<B8A#72

F T/>#B><;1"#B#:6C" !&?"P"!)!' #$"

Page 25: What's New with CMOS Imaging Arrays

He  Forgot  X-­‐ray  Bull!

25!"#$%$&'()*+,)-../$)0 1('$+&'2'()34*5'+5)1$+/.6/)0 7(&)899:

Page 26: What's New with CMOS Imaging Arrays

Monolithic  CMOSJim  Janesick

J.  Pinter,  R.  Poier,  T.  Elliot,  J.  Andrews,  J.  Tower,  M.  Grygon,  D.  Keller,  A.  Kenter,  R.  KraI,  T.  Gauron,  P.Doherty

26

• Minimal  Arrays• General  ScienSfic  CMOS  Performance• Buried  Channel  Sub-­‐electron  Pixels• Metal-­‐Insulator-­‐Metal  (MIM)  CMOS  Pixel• 1024  x  1024  x  16  um  5TPPD  CMOS  Imager• Mk  x  Nk  x  10  um  5TPPD  CMOS  Imagers• High  Energy  RadiaSon  Damage  Data

Proc.  SPIE  7742-­‐11,  San  Diego  2010

Page 27: What's New with CMOS Imaging Arrays

Minimal  Arrays

27

•Not  a  camera  on  a  chip• Sensor  is    “stripped”  of  many  convenSonal  CMOS  on-­‐chip  features  leaving• Pixels  -­‐  3T,  4T,  5T  and  6T• Row  and  column  address  decoders  and  switches

• Pixel  drivers  -­‐  reset,  transfer  gate,  photo  gate,  global  reset,  and  row  select

• Clamping  analog  circuitry  for  pixel  tesSng• External  pixel  clocks  allow  various  readout  modes  -­‐  snap,  progressive  scan,  windowed

• External  clock  amplitudes• External  current  control  for  source  followers

• External  VDD  power  supplies  and  ground

Page 28: What's New with CMOS Imaging Arrays

Key  to  Low  Noise

28

!"#!"#$%"

!""

&'&

($)!"*"+,-$!.",

//0123

4

56$,$%7$%"

!#$%

!$8(+".$**$)"(-$!.",("!",/

-$!.",

(

($)

!"

#$%&'

()*$+,

()*$+,-.)//)0,$-

1)(.,2-+3%'',/

$2(4)2,'2&%/5%$$&,$

2$%4#$%&'

+*$$,'2

,1426

.&//,#

78-!"9"9:;

-<%=-#&>

&#?-:8-@%

+%42*$,

$,/,%(,

2&1,A-B8-@C=DEF

&# ?:;-@%

&# ?B8-@%

+%42*$,--2&1,-

$,/,%(,--2&1,

:;-<%=-#&>

2&1,A-B8-@C=DEF

,1426

.&//,#:;-<%=-#&>

&#?-:8-@%

2&1,A-B8-@C=DEF

:;-<%=-#&>

&#?-:8-@%

2&1,A-B8-@C=DEF

,1426

.&//,#

!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

.9:;<2/=/

.9:;<2/>/

.9:;<2/?/

.9:;<2/@/

.9:;<2/A/ .9:;<2/B/

.9:;<2/C/

!"#!"#$%"

!""

&'&

($)!"*"+,-$!.",

//0123

4

56$,$%7$%"

!#$%

!$8(+".$**$)"(-$!.",("!",/

-$!.",

(

($)

!"

#$%&'

()*$+,

()*$+,-.)//)0,$-

1)(.,2-+3%'',/

$2(4)2,'2&%/5%$$&,$

2$%4#$%&'

+*$$,'2

,1426

.&//,#

78-!"9"9:;

-<%=-#&>

&#?-:8-@%+%42*$,

$,/,%(,

2&1,A-B8-@C=DEF

&# ?:;-@%

&# ?B8-@%

+%42*$,--2&1,-

$,/,%(,--2&1,

:;-<%=-#&>

2&1,A-B8-@C=DEF

,1426

.&//,#

:;-<%=-#&>

&#?-:8-@%

2&1,A-B8-@C=DEF

:;-<%=-#&>

&#?-:8-@%

2&1,A-B8-@C=DEF

,1426

.&//,#

!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

.9:;<2/=/

.9:;<2/>/

.9:;<2/?/

.9:;<2/@/

.9:;<2/A/ .9:;<2/B/

.9:;<2/C/

!"#!"#$%"

!""

&'&

($)!"*"+,-$!.",

//0123

4

56$,$%7$%"

!#$%

!$8(+".$**$)"(-$!.",("!",/

-$!.",

(

($)

!"

#$%&'

()*$+,

()*$+,-.)//)0,$-

1)(.,2-+3%'',/

$2(4)2,'2&%/5%$$&,$

2$%4#$%&'

+*$$,'2

,1426

.&//,#

78-!"9"9:;

-<%=-#&>

&#?-:8-@%

+%42*$,

$,/,%(,

2&1,A-B8-@C=DEF

&# ?:;-@%

&# ?B8-@%

+%42*$,--2&1,-

$,/,%(,--2&1,

:;-<%=-#&>

2&1,A-B8-@C=DEF

,1426

.&//,#

:;-<%=-#&>

&#?-:8-@%

2&1,A-B8-@C=DEF

:;-<%=-#&>

&#?-:8-@%

2&1,A-B8-@C=DEF

,1426

.&//,#

!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

.9:;<2/=/

.9:;<2/>/

.9:;<2/?/

.9:;<2/@/

.9:;<2/A/ .9:;<2/B/

.9:;<2/C/

• Source  follower  flicker  (1/f)  noise  ulSmately  limits  CCD  noise  floor  to  a  few  electrons

•  CMOS  read  noise  is  limited  by  “random  telegraph  signal  (RTS)  noise”

• Flicker  and  RTS  both  originate  through  the  capture  and  emission  of  carriers  in  traps  located  at  or  near  the  Si-­‐SiO2  gate  interface  of  the  source  follower  amplifier

• RTS  involves  a  single  trap,  flicker  is  the  superposiSon  of  many  RTS  sources

• The  size  of  the  source  follower  is  what  determines  the  noise  limit

!"#!"#$%"

!""

&'&

($)!"*"+,-$!.",

//0123

4

56$,$%7$%"

!#$%

!$8(+".$**$)"(-$!.",("!",/

-$!.",

(

($)

!"

#$%&'

()*$+,

()*$+,-.)//)0,$-

1)(.,2-+3%'',/

$2(4)2,'2&%/5%$$&,$

2$%4#$%&'

+*$$,'2

,1426

.&//,#

78-!"9"9:;

-<%=-#&>

&#?-:8-@%

+%42*$,

$,/,%(,

2&1,A-B8-@C=DEF

&# ?:;-@%

&# ?B8-@%

+%42*$,--2&1,-

$,/,%(,--2&1,

:;-<%=-#&>

2&1,A-B8-@C=DEF

,1426

.&//,#

:;-<%=-#&>

&#?-:8-@%

2&1,A-B8-@C=DEF

:;-<%=-#&>

&#?-:8-@%

2&1,A-B8-@C=DEF

,1426

.&//,#

!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

.9:;<2/=/

.9:;<2/>/

.9:;<2/?/

.9:;<2/@/

.9:;<2/A/ .9:;<2/B/

.9:;<2/C/

• Examples  of  RTS  -­‐  output  of  a  3T  pixel  as  a  funcSon  of  Sme• A  single  trap  changes  the  drain  current  by  as  much  as  0.1%

• Two  traps  give  rise  to  three  current  states  

SIngle  trap   Two  traps  

Janesick,  Andrews  and  Elliot  SPIE  6276  (2006)  

Page 29: What's New with CMOS Imaging Arrays

Reducing  RTS

29

!"##$%

$&'()

**+,-./+,-.

$&'()

!"##$%

/+++++++++++++++++++++++++++0+++++++++++++++++++++*/+++++++++ *0++++++++++++++++++++++++++1/+

234

("&$5,-.

!"#$

!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

!"#$%&'('

!"#$%&')'

Example  of  the  effect  of  cooling  on  RTS,  traps  remain  filled  and  do  not  contribute  to  the  noise.  Many  factors  govern  RTS  e.g.,  the  design  of  the  MOSFET,  the  drain  bias  current,  the  use  of  correlated  double  sampling    (and  the  Sme  constant).

!"#$%

!"#$&

!" !# !$ !% !& !' !( !) !* !! #"" #"# #"$ #"% #"& #"' #"( #") #"* #"! ##" ### ##$$

"

$

'% '& '% '& '% '& '% '&'% '&

()'*'+,-#./*012((3""4-(4'

!54"6#.-2+'4

"!'! "

! "#

#

+ ,-%-./0/ ,-(-1/

+ ,-!"-2/0/ ,-(-1/

!54"6#.-2+'4

!54"6#.-2+'4

2((3""4-(4'

2((3""4-(4'

()'*'+,-#./*01

'% '&

'% '&

"!'

"!'

7************%77************&77**********877***********977****** :77!+64

7************%77************&77**********877***********977****** :77!+64

"!'*%

()'*23!$3!

"!'*&

"!'*%*;*"!'*&

! "# $ ! "# $

2((3""4-

(4'

2((3""4-

(4'

2((3""4-

(4'

2((3""4-

(4'

2((3""4-

(4'

2((3""4-

(4'

"!'%<*=*&

1>>*(

1?7*(

"2@*A*&8 @5+!4*-2+'4%71%>

%71%:

%71%9

%71%8

-2+'4*$2@4"/*B

& <5C%<&

%*************************************************%7************ %77**D"4E34-(F/*5C

%71%G

############################################

345167-#&-345167-#%-

345167-#'-345167-#(-

345167-#)- 345167-#*-

Page 30: What's New with CMOS Imaging Arrays

Low  Noise  CMOS

30

• Key  to  low  noise  is  high  conversion  gain  at  the  pixel• Requires  low  sense  node  capacitance  (natural  in  5TPPD  and  6TPG  with  small  sense  node).  3TPPD  design  achieves  low  sense  node  capacitance  even  though  the  pixel  area  is  large

• Conversion  gain  up  to  ~70  μV/e-­‐  is  achieved• DetecSon  efficiency  requires  thick  depleted  absorber• For  NIR  need  >10  μm  Si• For  X-­‐ray  (6  keV)  need  even  more!• Requires  very  high  resisSvity  Si  e.g.,  epitaxial  >10,000  Ω-­‐cm

!

" # $

!"#$%!&#'#(#')*$+,-./-

0!"1!'#23$0!"'4*$5-

678(

979(

:78 %

!""#$%

&""#$%

'&"#$%

(&"#$%

!"#$#%&'()#*!$+#%&,-%.$"

)#################)") )"* )"+ )"& )"!

)""

)")

)"*

)"+

)",)

-.//01-2345-

6,

.7818#9/89-

-.//01-2345-

6,

.7818#9/89-

6,

('**+-*

&&&&&&&&&&&&&&&&&&&&'()*+,&-.&&"/&01&2(3,4&567(48&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&'()*+,&".&&9/&0:&6;<&$/&001&=>6+),&=?*24,<&2(3,4@&&&&&&&&&&&&&&&&&&&&&&&&&&&&'()*+,&#.&1,24,A(?;&<,2A>&B(A>&,2(&@(4(=?;&+,@(@A(C(A8&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&'()*+,&$.&&D$&*7&>()>&+,@(@A(C(A8&,2(A63(64&@(4(=?;&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&'()*+,&9.&&E4,=A+(=&5(,4<&A>+?*)>&A>,&D$&*7&,2(&468,+&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&'()*+,&F.&-$&*7&>()>&+,@(@A(C(A8&,2(A63(64&@(4(=?;&&&&&&

!!

!!"#$%&'!()!!*#+',-!.#/0!12)!13/#4'!156!72)!13/#4'891--#4'!#-:,1/#:5)!!!!!!!!!!!!"#$%&'!;)!<#$0/,=!6:9'6!**>!'5/'&#5$!?%,,!6'9,'/#:5!1/!@)A!B)!!

!"#$%&'!C)!!D+!6:9'6!**>!/01/!6:'-!5:/!?%,,=!6'9,'/'!.#/0!7#1-)!!!!!!!!!!!!!!!!!!!!!"#$%&'!@E)!<:.!156!D+!6:9'6!13/#4'!#-:,1/#:5!&'-9:5-'-)!!

!!"#$%&'!@@)!<:.!156!D+!6:9'6!13/#4'891--#4'!#-:,1/#:5!&'-9:5-'-)!!!!!!!!!!!!!!!!!!"#$%&'!@D)!FG&1=!&'-9:5-'-!7'?:&'!156!1?/'&!>H>I)!!

!!

!!"#$%&'!()!!*#+',-!.#/0!12)!13/#4'!156!72)!13/#4'891--#4'!#-:,1/#:5)!!!!!!!!!!!!"#$%&'!;)!<#$0/,=!6:9'6!**>!'5/'&#5$!?%,,!6'9,'/#:5!1/!@)A!B)!!

!"#$%&'!C)!!D+!6:9'6!**>!/01/!6:'-!5:/!?%,,=!6'9,'/'!.#/0!7#1-)!!!!!!!!!!!!!!!!!!!!!"#$%&'!@E)!<:.!156!D+!6:9'6!13/#4'!#-:,1/#:5!&'-9:5-'-)!!

!!"#$%&'!@@)!<:.!156!D+!6:9'6!13/#4'891--#4'!#-:,1/#:5!&'-9:5-'-)!!!!!!!!!!!!!!!!!!"#$%&'!@D)!FG&1=!&'-9:5-'-!7'?:&'!156!1?/'&!>H>I)!!

• AcSve  isolaSon  3TPPD  pixel  design• Isolate  the  diode  from  the  p+  well  that  defines  the  pixel,  lowers  capacitance

• Charge  collecSon  degradaSon  is  avoided  using  n-­‐  implants

• Careful  implant  design  and  doping  levels  are  required  to  achieve  desired  performance

!"

!""

!"" !""" !"""" !"""""#$%&'()*+&

#,-.*&-$#/)*+&

&-&($&/'0$.1

2!34*567+&

#(-8/*!74

!"# $ $"# % %"# & &"#$!

$#

%!

%#

&!

&#

'!

'#

#!

()*()+,-./01)2,

()*()+*-3)+104*2++5,678

&-$#/&#&9:#&756;

#$%&'(##&9:#&756;

"

!

4

<

=

!3""/>"4 !3""/>"< !3""/>"= !3""/>"?

#$%&'()*56

'+@*#/&#$.$:$.1)*567+&*

&'+@9567+&;

#'+@9567+&;

A'+@9567+&;

"

!"""""

4"""""

<"""""

="""""

?"""""

" 4"""" ="""" B"""" C"""".0D/*#$%&'()*56

E'(#/*#$%&'(*56

=?" FG)*!? G*H65HI

H>*HIJJIJK

H>*J6

8LMNM*+IMO5J>

@MJNPFN

QIFRMJS

!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

9:;5<7+&=+

9:;5<7+&>+

9:;5<7+&?+

9:;5<7+&@+

9:;5<7+'!+ 9:;5<7+'$+

Page 31: What's New with CMOS Imaging Arrays

Digital  Correlated  Double  Sampling  (dCDS)

31

• Global  reset  and  full  frame  read  out,  digiSzed  and  stored• Signal  integraSon• Full  frame  read  out  and  digiSzed  and  stored• Reset  frame  subtracted  from  the  signal  frame• dCDS  effecSve  in  removing  offset,  pixel-­‐to-­‐pixel  offset  fixed  paiern  noise,  and  reset  noise

• Raw  video  has  ~250  e-­‐  noise,  dCDS  signal  has  ~2.5  e-­‐  noise• Raw  video  noise  250  e-­‐    is  mainly  offset  FPN• Reset  noise  is  ~28  e-­‐,  and  source  follower  is  ~2.5  e-­‐  

• Caveats  for  dCDS• Need  DC  coupling  and  offset  must  be  well  controlled• Low  frequency  noise  is  not  rejected  (e.g.  60  Hz)

!!

!!"#$%&'!()!!*#+',-!.#/0!12)!13/#4'!156!72)!13/#4'891--#4'!#-:,1/#:5)!!!!!!!!!!!!"#$%&'!;)!<#$0/,=!6:9'6!**>!'5/'&#5$!?%,,!6'9,'/#:5!1/!@)A!B)!!

!"#$%&'!C)!!D+!6:9'6!**>!/01/!6:'-!5:/!?%,,=!6'9,'/'!.#/0!7#1-)!!!!!!!!!!!!!!!!!!!!!"#$%&'!@E)!<:.!156!D+!6:9'6!13/#4'!#-:,1/#:5!&'-9:5-'-)!!

!!"#$%&'!@@)!<:.!156!D+!6:9'6!13/#4'891--#4'!#-:,1/#:5!&'-9:5-'-)!!!!!!!!!!!!!!!!!!"#$%&'!@D)!FG&1=!&'-9:5-'-!7'?:&'!156!1?/'&!>H>I)!!

!"#$%&"'%(%)*%+,-$.%#/(#%012(3,4%'(25$%,6%2"#%0$+$20$2#%"2%78!%$-4$+#%&"'%,#6%,2&.9$24$%"2%#/$%'$6$#%4."4:%&$$0;#/'"95/<%%=,59'$%>?%+."#6%012(3,4%'(25$%5,@$2%A1%BC<%DEF%&"'%0,&&$'$2#%6$26$%2"0$%5(,26<%%

%%%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%G)<%HIH8%'$(0"9#%0$3"26#'(#,"2<%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%GJ<%%!",6$%6"9'4$6%'$3"@$0%(20%'$094$0%A1%HIH8<%

%%%%%%%%%%%%%%%%=,59'$%GK<%%L(52,&,$0%@,$M%"&%=,5<%GJ<%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%GN<%%O"M%&'$C9$241%2",6$%6"9'4$6%2"#%'$P$4#$0%A1%HIH8<%

%%%%%%%%%%%=,59'$%GE<%%Q$(0%2",6$%(6%#8%,24'$(6$6%&"'%(%&,-$0% H<%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%GR<%Q$(0%2",6$%M/$2%#6%S% %(20% H%@(',$6<%

!"#$%&"'%(%)*%+,-$.%#/(#%012(3,4%'(25$%,6%2"#%0$+$20$2#%"2%78!%$-4$+#%&"'%,#6%,2&.9$24$%"2%#/$%'$6$#%4."4:%&$$0;#/'"95/<%%=,59'$%>?%+."#6%012(3,4%'(25$%5,@$2%A1%BC<%DEF%&"'%0,&&$'$2#%6$26$%2"0$%5(,26<%%

%%%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%G)<%HIH8%'$(0"9#%0$3"26#'(#,"2<%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%GJ<%%!",6$%6"9'4$6%'$3"@$0%(20%'$094$0%A1%HIH8<%

%%%%%%%%%%%%%%%%=,59'$%GK<%%L(52,&,$0%@,$M%"&%=,5<%GJ<%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%GN<%%O"M%&'$C9$241%2",6$%6"9'4$6%2"#%'$P$4#$0%A1%HIH8<%

%%%%%%%%%%%=,59'$%GE<%%Q$(0%2",6$%(6%#8%,24'$(6$6%&"'%(%&,-$0% H<%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%GR<%Q$(0%2",6$%M/$2%#6%S% %(20% H%@(',$6<%

!"#$%&"'%(%)*%+,-$.%#/(#%012(3,4%'(25$%,6%2"#%0$+$20$2#%"2%78!%$-4$+#%&"'%,#6%,2&.9$24$%"2%#/$%'$6$#%4."4:%&$$0;#/'"95/<%%=,59'$%>?%+."#6%012(3,4%'(25$%5,@$2%A1%BC<%DEF%&"'%0,&&$'$2#%6$26$%2"0$%5(,26<%%

%%%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%G)<%HIH8%'$(0"9#%0$3"26#'(#,"2<%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%GJ<%%!",6$%6"9'4$6%'$3"@$0%(20%'$094$0%A1%HIH8<%

%%%%%%%%%%%%%%%%=,59'$%GK<%%L(52,&,$0%@,$M%"&%=,5<%GJ<%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%GN<%%O"M%&'$C9$241%2",6$%6"9'4$6%2"#%'$P$4#$0%A1%HIH8<%

%%%%%%%%%%%=,59'$%GE<%%Q$(0%2",6$%(6%#8%,24'$(6$6%&"'%(%&,-$0% H<%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%=,59'$%GR<%Q$(0%2",6$%M/$2%#6%S% %(20% H%@(',$6<%

Page 32: What's New with CMOS Imaging Arrays

Playing  the  CMOS  GameThe  Sandbox-­‐  Jim  Jamesick  “Ringmaster”

32

5TPPD1536 x 1536

8um 3.3V

!"#!$%&'(%)*+,

TESTPIXEL

MINIMAL

TESTPIXEL

MINIMAL

TESTPIXEL

MINIMAL

5TPPD16um 3.3 VMINIMAL

3TPPD16um 3.3 VMINIMAL

3TPPD8um 5.0 VMINIMAL

1 2 3

4

5

6

7

8

-)..

/012+34*5+637

"8!9:;<"8$=%:;

>8>?%<%=8"%?

/)4*@3A%?

B)76%222%%C DE>F)#GH%/IJ%*'KLM%):L%$""F8

•Sarnoff•General  contractor•Pixel  design

•Chronicle•ReScle  layout

•Jazz  Semiconductor•Foundry

•CMOS  Wafer  run  (10  wafers)  ~$500,000•Layout  ~$100,000•Design  ~$250,000•Slice/Package/Test  ~$100,000

Page 33: What's New with CMOS Imaging Arrays

Big Min I

33

!

!

!!!!!!!!!!!!!!!!!!!"#$%&'()!"#$$%!&"'(!)!&"'(!*+,!-+.!+!/01/!23//045!673809!!!!!!!!!!!!!!!!!!!!!!!"#$%&'*)!!$3:;380<!*+,!-+.!+!6738049!!!!!!!!!!!!!!!!!!!!"#$%&'+)!!*+,!-+.!+!0=3>?3/6@5!/01/!A@34<9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&',)!!*+,!-+.!+!B#C!4012@510!1D@E658!5@!67380!>389!!!!!!!!!!!!!!!!!!!"#$%&'-)!*+,!-+.!+!2D@/@5!/4351F04!:?4=0!G$#BH9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'.)!!$$%!26)0>!24@:011!10/!?2!2@/05/63>19!

!"#$%&#'&(!)*&+#,%&--./&&--./0123

!

!

!!!!!!!!!!!!!!!!!!!"#$%&'()!"#$$%!&"'(!)!&"'(!*+,!-+.!+!/01/!23//045!673809!!!!!!!!!!!!!!!!!!!!!!!"#$%&'*)!!$3:;380<!*+,!-+.!+!6738049!!!!!!!!!!!!!!!!!!!!"#$%&'+)!!*+,!-+.!+!0=3>?3/6@5!/01/!A@34<9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&',)!!*+,!-+.!+!B#C!4012@510!1D@E658!5@!67380!>389!!!!!!!!!!!!!!!!!!!"#$%&'-)!*+,!-+.!+!2D@/@5!/4351F04!:?4=0!G$#BH9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'.)!!$$%!26)0>!24@:011!10/!?2!2@/05/63>19!

!"#$%&#'&(!)*&+#,%&--./&&--./0123

!

!

!!!!!!!!!!!!!!!!!!!"#$%&'()!"#$$%!&"'(!)!&"'(!*+,!-+.!+!/01/!23//045!673809!!!!!!!!!!!!!!!!!!!!!!!"#$%&'*)!!$3:;380<!*+,!-+.!+!6738049!!!!!!!!!!!!!!!!!!!!"#$%&'+)!!*+,!-+.!+!0=3>?3/6@5!/01/!A@34<9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&',)!!*+,!-+.!+!B#C!4012@510!1D@E658!5@!67380!>389!!!!!!!!!!!!!!!!!!!"#$%&'-)!*+,!-+.!+!2D@/@5!/4351F04!:?4=0!G$#BH9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'.)!!$$%!26)0>!24@:011!10/!?2!2@/05/63>19!

!"#$%&#'&(!)*&+#,%&--./&&--./0123

!

!

!!!!!!!!!!!!!!!!!!!"#$%&'()!"#$$%!&"'(!)!&"'(!*+,!-+.!+!/01/!23//045!673809!!!!!!!!!!!!!!!!!!!!!!!"#$%&'*)!!$3:;380<!*+,!-+.!+!6738049!!!!!!!!!!!!!!!!!!!!"#$%&'+)!!*+,!-+.!+!0=3>?3/6@5!/01/!A@34<9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&',)!!*+,!-+.!+!B#C!4012@510!1D@E658!5@!67380!>389!!!!!!!!!!!!!!!!!!!"#$%&'-)!*+,!-+.!+!2D@/@5!/4351F04!:?4=0!G$#BH9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'.)!!$$%!26)0>!24@:011!10/!?2!2@/05/63>19!

!"#$%&#'&(!)*&+#,%&--./&&--./0123

120  bond  pads

First  light  image1536x1536  array8  μm  5TPPD  pixels16  port  readout

No  deferred  charge  down  to  one  electron

Page 34: What's New with CMOS Imaging Arrays

Photon  Transfer  Curve

34

!"#$%&'()''*+,',-./'0.",&'.1'"02$/'3"#-/'23.//&4'.0'5'6.#76.#'8$%9&)'!"#$!%&$!'(!)'*&$!+&!+!,$&,!&,*-%.%&!,'!,#$!/+-$0+!*&!1$02!/')1$)*$),!3$/+%&$!,#$!)+,%0+.!*)4%,!&*5)+.!('0!+)!*-+5$0!*&!.*5#,6!+)7!,#$!)'*&$!/#+0+/,$0*&,*/&!'(!.*5#,!+0$!1$02!8$..!9)'8):!!;)!<*5%0$!=6!,#$!&#',!)'*&$!/#+0+/,$0*&,*/&!'(!.*5#,!+0$!4.',,$7!+&!+!(%)/,*')!'(!*..%-*)+,*')!.$1$.!')!+!>'5?>'5!50+4#:! ! "#$! 0-&! 1+.%$! '(! &#',! )'*&$! *&! $@%+.! ,'! ,#$! &@%+0$! 0'',! '(! ,#$!-$+)!)%-3$0! '(!4#',')&! *)/*7$),!')!+!5*1$)!4*A$.:! !"#%&6! ,#$!&#',!)'*&$!40'(*.$!3$/'-$&!+!&,0+*5#,! .*)$!8*,#!+!&.'4$!'(!B!')!,#$!>'5?>'5!/%01$!C&*)/$!>'5!D=EF!G!=EF>'5!DH:! !I$$4! *)!-*)7!,#+,! ,#*&!)'*&$! *&!*)#$0$),!,#$!)+,%0$!'(!.*5#,!*,&$.(!+)7!#+&!)',#*)5!,'!7'!8*,#!,#$!/+-$0+!7$&*5):!!;)!/'),0+&,!,'!<*5%0$!=!8#*/#!&#'8&!').2!,#$!)'*&$!+&&'/*+,$7!8*,#!,#$!*)4%,!&*5)+.!C.*5#,H6!<*5%0$!F!&#'8&!,#$!J"K!8#*/#!/'),+*)&!,#$!,24*/+.!)'*&$!40'(*.$!&$$)!+,!,#$!'%,4%,!'(!+!7*5*,+.!/+-$0+:!!;)!,#*&!(*5%0$!2'%!/+)!&$$!,#0$$!7*&,*)/,!)'*&$!0$5*')&!'(!,#$!KKL!/+-$0+!&2&,$-M!!0$+7!)'*&$6!&#',!)'*&$6!+)7!(*A$7!4+,,$0)!)'*&$:!!N&!7*&/%&&$7!+3'1$6!,#$!J"K!/'-4+0$&!,#$!7*(($0$)/$&!*)!<*5%0$!=!+)7!<*5%0$!F!,'!7$,$0-*)$!,#$!'4$0+,*')+.!/#+0+/,$0*&,*/&!'(! ,#$!/+-$0+! *,&$.(:! ! ;)! ,#$!4+0+50+4#&!3$.'86!,#$!%)*@%$!/#+0+/,$0*&,*/&!'(!$+/#!'(!,#$!0$5*')&!8*..!3$!7*&/%&&$7M!!

!

'!"#$%&':)'';-./.0'<%50,1&%'=$%9&)'

!O$+7!)'*&$M!!O$+7!)'*&$!*&!0$40$&$),$7!32!,#$!(*0&,!C(.+,H!0$5*')!'(!,#$!50+4#!&#'8)!+&!<*5%0$!F6!+)7! *&! ,#$! 0+)7'-! )'*&$! +&&'/*+,$7! 8*,#! ,#$! KKL! '%,4%,! +-4.*(*$0! +)7! *,P&! C$A,$0)+.H! &*5)+.!

!"#$%&'())$*+&,-".$&/$(%&,-".$

012'345&

012'346&

012'34678&

129&:0";+(<=&

0>-) ,-".$

129&:,-".$=&

!?<<&@$<<&

012'3&4&678&

129&:0";+(<=&

0>-) ,-".$

129&:,-".$=&

!?<<&@$<<&

!"#$%&'()''*+,',-./'0.",&'.1'"02$/'3"#-/'23.//&4'.0'5'6.#76.#'8$%9&)'!"#$!%&$!'(!)'*&$!+&!+!,$&,!&,*-%.%&!,'!,#$!/+-$0+!*&!1$02!/')1$)*$),!3$/+%&$!,#$!)+,%0+.!*)4%,!&*5)+.!('0!+)!*-+5$0!*&!.*5#,6!+)7!,#$!)'*&$!/#+0+/,$0*&,*/&!'(!.*5#,!+0$!1$02!8$..!9)'8):!!;)!<*5%0$!=6!,#$!&#',!)'*&$!/#+0+/,$0*&,*/&!'(!.*5#,!+0$!4.',,$7!+&!+!(%)/,*')!'(!*..%-*)+,*')!.$1$.!')!+!>'5?>'5!50+4#:! ! "#$! 0-&! 1+.%$! '(! &#',! )'*&$! *&! $@%+.! ,'! ,#$! &@%+0$! 0'',! '(! ,#$!-$+)!)%-3$0! '(!4#',')&! *)/*7$),!')!+!5*1$)!4*A$.:! !"#%&6! ,#$!&#',!)'*&$!40'(*.$!3$/'-$&!+!&,0+*5#,! .*)$!8*,#!+!&.'4$!'(!B!')!,#$!>'5?>'5!/%01$!C&*)/$!>'5!D=EF!G!=EF>'5!DH:! !I$$4! *)!-*)7!,#+,! ,#*&!)'*&$! *&!*)#$0$),!,#$!)+,%0$!'(!.*5#,!*,&$.(!+)7!#+&!)',#*)5!,'!7'!8*,#!,#$!/+-$0+!7$&*5):!!;)!/'),0+&,!,'!<*5%0$!=!8#*/#!&#'8&!').2!,#$!)'*&$!+&&'/*+,$7!8*,#!,#$!*)4%,!&*5)+.!C.*5#,H6!<*5%0$!F!&#'8&!,#$!J"K!8#*/#!/'),+*)&!,#$!,24*/+.!)'*&$!40'(*.$!&$$)!+,!,#$!'%,4%,!'(!+!7*5*,+.!/+-$0+:!!;)!,#*&!(*5%0$!2'%!/+)!&$$!,#0$$!7*&,*)/,!)'*&$!0$5*')&!'(!,#$!KKL!/+-$0+!&2&,$-M!!0$+7!)'*&$6!&#',!)'*&$6!+)7!(*A$7!4+,,$0)!)'*&$:!!N&!7*&/%&&$7!+3'1$6!,#$!J"K!/'-4+0$&!,#$!7*(($0$)/$&!*)!<*5%0$!=!+)7!<*5%0$!F!,'!7$,$0-*)$!,#$!'4$0+,*')+.!/#+0+/,$0*&,*/&!'(! ,#$!/+-$0+! *,&$.(:! ! ;)! ,#$!4+0+50+4#&!3$.'86!,#$!%)*@%$!/#+0+/,$0*&,*/&!'(!$+/#!'(!,#$!0$5*')&!8*..!3$!7*&/%&&$7M!!

!

'!"#$%&':)'';-./.0'<%50,1&%'=$%9&)'

!O$+7!)'*&$M!!O$+7!)'*&$!*&!0$40$&$),$7!32!,#$!(*0&,!C(.+,H!0$5*')!'(!,#$!50+4#!&#'8)!+&!<*5%0$!F6!+)7! *&! ,#$! 0+)7'-! )'*&$! +&&'/*+,$7! 8*,#! ,#$! KKL! '%,4%,! +-4.*(*$0! +)7! *,P&! C$A,$0)+.H! &*5)+.!

!"#$%&'())$*+&,-".$&/$(%&,-".$

012'345&

012'346&

012'34678&

129&:0";+(<=&

0>-) ,-".$

129&:,-".$=&

!?<<&@$<<&

012'3&4&678&

129&:0";+(<=&

0>-) ,-".$

129&:,-".$=&

!?<<&@$<<&

!"#$%&&'()*%+%$,"#('$&-**./'&*'&*0+&#*"%1%""%2*,#*0&*,/%*(#'&%*1+##"*#1*,/%*$03%"04*0(2*"%!"%&%(,&*,/%*50&%+'(%*(#'&%*'(*,#,0+*20"6(%&&-****7/#,*(#'&%8**./%*&%$#(2*"%)'#(*#1*,/%*)"0!/*'(*9'):"%*;*&/#<&*&/#,*(#'&%*</'$/*'&*'(/%"%(,*'(*,/%* +')/,* ',&%+1* =* ',* 2#%&* (#,* #"')'(0,%* '(* ,/%* $03%"0-* * >&* ,/%* '(!:,* +')/,* +%?%+* '($"%0&%&* '(*03!+',:2%4* ,/%* (#'&%* 0,* ,/%* $03%"0* #:,!:,* "'&%&* #:,* #1* ,/%* @"%02* (#'&%A* "%)'#(* 0(2* 5%$#3%&*2#3'(0,%2* 5B* &/#,* (#'&%-* * 7/#,* (#'&%* '&* 2'"%$,+B* "%+0,%2* ,#* ,/%* '(!:,* '++:3'(0,'#(4* 0(2* '&*!"#!#",'#(0+*,#*,/%*&C:0"%*"##,*#1*,/0,*&')(0+-**D(*,/'&*"%)'#(*#1*#!%"0,'#(4*,/%*$03%"0*'&*#!%"0,'()*0&*0*&/#,E(#'&%*+'3',%2*&B&,%3*</%"%*7*F*,/%*'(!:,*'++:3'(0,'#(*G&')(0+H**9'I%2*J0,,%"(*(#'&%8**./%*"')/,*3#&,*"%)'#(*#1*,/%*J.K*'(*9'):"%*;*&/#<&*,/%*1'I%2*!0,,%"(*(#'&%4*</'$/* 5%$#3%&* 2#3'(0(,* 0,* "%+0,'?%+B* /')/* +%?%+&* #1* '++:3'(0,'#(-* * ./'&* (#'&%* "%&:+,&* 1"#3*2'11%"%($%&*'(*&%(&','?',B*5%,<%%(*!'I%+&4*#"*J/#,#"%&!#(&%*L#(:('1#"3',B*GJMLN-H**./'&*(#'&%*'&*2'"%$,+B*!"#!#",'#(0+*,#*'(!:,*&')(0+*&,"%(),/4*&#*,/%*&+#!%*'(*,/'&*"%)'#(*'&*O-**./0,*'&8**

! " #$!%&# **

9:++EP%++8**>&*'++:3'(0,'#(*+%?%+&*0"%*'($"%0&%24*,/%*'(2'?'2:0+*KKQ*!'I%+&*0"%*:(05+%*,#*/#+2*0(B*022','#(0+* $/0")%*<',/#:,* @&!'++'()E#?%"A* '(,#* 02R0$%(,*<%++&-* *>,* ,/'&* !#'(,* #(* ,/%* (#'&%* $:"?%4*#:,!:,* (#'&%* 05":!,+B* 2"#!&* 5%$0:&%* $/0")%* &/0"'()* 5%,<%%(* 02R0$%(,* !'I%+&* 0?%"0)%&* ,/%*&')(0+*0(2*&:!!"%&&%&*"0(2#3*(#'&%-* *>,* ,/%*!#'(,*</%"%*,/%*!/#,#(*,"0(&1%"*$:"?%*!%06&4*0&*&/#<(* '(*9'):"%*;4* ,/%*KKQ* '&*&0'2* ,#*/0?%* "%0$/%2* 1:++E<%++-* *L#"30++B4*0*$03%"0*&B&,%3* '&*$0+'5"0,%2*&:$/*,/0,*,/%*30I'3:3*>SQ*#:,!:,*'&*0$/'%?%2*0,*#"*(%0"*1:++E<%++-****./%*1:++*!/#,#(*,"0(&1%"*$:"?%*&/#<(*'(*9'):"%*;*'&*&/#<(*,#*'++:&,"0,%*,/%*?0"'#:&*(#'&%*"%)'#(&-**T#<%?%"4* '(* !"0$,'$%4* ,/%* 1'I%2* !0,,%"(* (#'&%* !#",'#(* #1* ,/%* $:"?%* '&* %+'3'(0,%2* 2:"'()*3%0&:"%3%(,4*0(2*,/%*&/#,*(#'&%*"%)'#(*'&*%I,%(2%2*,#*,/%*!#'(,*#1*1:++*<%++*#!%"0,'#(-**./'&*'&*2#(%*,#*!"#?'2%*+'(%0"',B*0(2*&%(&','?',B*20,0*0,*/')/%"*'++:3'(0,'#(*+%?%+&*0(2*'&*0$$#3!+'&/%2*5B*&:5,"0$,'()*,<#*'++:3'(0,%2*1'%+2&-**7:5,"0$,'()*,/%*,<#*'30)%&*%+'3'(0,%&*1'I%2*!0,,%"(*?0"'0,'#(&*&'($%*,/%B*0"%*!"%&%(,*'(*5#,/*'30)%&-**P',/*1'I%2*!0,,%"(*(#'&%*%+'3'(0,%2*,/%*J.K*'(*9'):"%*U*$#(,'(:%&*0+#()*<',/*0*&+#!%*#1*V*:(,'+*1:++*<%++*#$$:"&-*

*!"#$%&'(')'*+,'-"./'!"0&1'*2..&%3'45"6&'78"9"32.&1'./%5$#/'!%29&':$;.%2<."53'

***

!"#$%&'()"%

*+,%-.(/0#12%

.*+34%5%678%

.9': &'()"

*+,%-&'()"2%

;<11%="11

Ideal  DeviceShot  Noise  ∝  √Signal

Ideal  Real  DeviceFixed  Paiern  Noise  (FPN)

at  large  signals

Remove  FPNE.g.,  IdenScal  frame  subtracSon

• Need  to  take  out  the  ADC  offset  carefully  to  have  the  real  signal

• Shot  noise  intercept  (noise=1)  gives  ADC  conversion  gain  (e-­‐/DN)

J.R.  Janesick  -­‐  Photon  Transfer  DN-­‐>λ

Page 35: What's New with CMOS Imaging Arrays

5  Transistor  Pinned  Photo  Diode  Pixel

35

!

!

!!!!!!!!!!!!!!!!!!!"#$%&'()!"#$$%!&"'(!)!&"'(!*+,!-+.!+!/01/!23//045!673809!!!!!!!!!!!!!!!!!!!!!!!"#$%&'*)!!$3:;380<!*+,!-+.!+!6738049!!!!!!!!!!!!!!!!!!!!"#$%&'+)!!*+,!-+.!+!0=3>?3/6@5!/01/!A@34<9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&',)!!*+,!-+.!+!B#C!4012@510!1D@E658!5@!67380!>389!!!!!!!!!!!!!!!!!!!"#$%&'-)!*+,!-+.!+!2D@/@5!/4351F04!:?4=0!G$#BH9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'.)!!$$%!26)0>!24@:011!10/!?2!2@/05/63>19!

!"#$%&#'&(!)*&+#,%&--./&&--./0123

5TPPD  pixel  has  one  charge  transfer  from  the  PPD  to  the  Sense  NodeVery  low  capacitance  of  Sense  Node  gives  high  conversion  (up  to  ~70  μV/e-­‐)Enables  very  low  read  noise

!

!

!!!!!!!!!!!!!!!!!!!"#$%&'()!"#$$%!&"'(!)!&"'(!*+,!-+.!+!/01/!23//045!673809!!!!!!!!!!!!!!!!!!!!!!!"#$%&'*)!!$3:;380<!*+,!-+.!+!6738049!!!!!!!!!!!!!!!!!!!!"#$%&'+)!!*+,!-+.!+!0=3>?3/6@5!/01/!A@34<9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&',)!!*+,!-+.!+!B#C!4012@510!1D@E658!5@!67380!>389!!!!!!!!!!!!!!!!!!!"#$%&'-)!*+,!-+.!+!2D@/@5!/4351F04!:?4=0!G$#BH9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'.)!!$$%!26)0>!24@:011!10/!?2!2@/05/63>19!

!"#$%&#'&(!)*&+#,%&--./&&--./0123

Photon  Transfer  Curve  (PTC)    for  Big  Min  I30,000  e-­‐  full  well2.5  e-­‐  read  noise  (single  read)  @  ~100  kpsFrame  rate  ~0.68  fps

Page 36: What's New with CMOS Imaging Arrays

X-­‐ray  SensiSvity

36

Fe-­‐55  -­‐>  Mn  55  -­‐>K-­‐α    5895  eV  (1620  e-­‐)K-­‐β    6490  eV  (1783  e-­‐)

Split  events  due  to  charge  spreading  from  conversion  site  to  PPD  site.  (Substrate  bias)

!

!

!!!!!!!!!!!!!!!!!!"#$%&'!()*!!"#!$%!&'(()!*+,-!./012!('34!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!((*!56!$%!&'(()!*+,-!./012!!('34!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(+*!!3'7!819.:;91!<:8!=!"#!$%!&'(()!!*+,-!./012*!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(,*!>1?&&!819.:;91!<:8!=!"#!$%!&'(()!./0124!!!!!!!!!!!!!!!!!!"#$%&'!(-*!>1?&&!0?8=@!A/9B:C8=%!<:8!>/C4!6#4!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(.*!!>1?&&!819.:;91!=9!'-!D2:DE!F:2B=C1!/9!F=8/1G4!

!"#$%&#'&(!)*&+#,%&--./&&--./0123.

!

!

!!!!!!!!!!!!!!!!!!"#$%&'!()*!!"#!$%!&'(()!*+,-!./012!('34!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!((*!56!$%!&'(()!*+,-!./012!!('34!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(+*!!3'7!819.:;91!<:8!=!"#!$%!&'(()!!*+,-!./012*!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(,*!>1?&&!819.:;91!<:8!=!"#!$%!&'(()!./0124!!!!!!!!!!!!!!!!!!"#$%&'!(-*!>1?&&!0?8=@!A/9B:C8=%!<:8!>/C4!6#4!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(.*!!>1?&&!819.:;91!=9!'-!D2:DE!F:2B=C1!/9!F=8/1G4!

!"#$%&#'&(!)*&+#,%&--./&&--./0123.

Page 37: What's New with CMOS Imaging Arrays

Coming  Soon  (through  fab)

37

!"#$%"&$""

'!$(")*+(*+,-)"../0'10(0$%2++*3

!"#$%&'()* 4  quadrants  (512  x  512  x  16  μm  5TPPD  pixel)X-­‐Y  address  decodepixel  clock  driverscolumn  aCDS/dCDS  analog  signal  processorsoutput  source  follower  buffers

Many  operaSng  modes  possibleDesigned  for  high  speed  >40  fps

200  pins!!!

Package

Page 38: What's New with CMOS Imaging Arrays

Big Min II Signal Chain

38

!"#$%"&$""$'(!$)*)+,-.&$'"#&/*$+0/"&

,.,/*$+"-+(",'$12345$

Pre-­‐amp  gain  2,3,4,8  V/V

Direct  video  out  for  dCDS

Clamp  and  dual  sample  and  hold  for  a  CDS

aCDS  output2  V  swing  and50  MHz  scanning  for  fast  off-­‐chip  ADC

Low noise, high speed

Page 39: What's New with CMOS Imaging Arrays

JAZZ  SB  VI:  Feb,  2011

39

• SB  VI:  lot  of  10  n-­‐type  8  inch  wafers• ~50  potenSal  devices  (of  each  type)/wafer• 5  good  wafers  reported  thus  far• Many  experimental  pixels  and  implants

Page 40: What's New with CMOS Imaging Arrays

Metal-­‐  Insulator-­‐Metal  Capacitor

40

!" !"

#$#%&'&(

'&)'&)*+&

#$#,-./

#0

#1

#2

#$##*'3&(

%&'&(#*'3&(

2,435670

!"

8%&3

#$#,/$9&:

1(//+,*%,;(//+,/$9&:,<'&

!

!

!"#$!%&

$'()!*+,")

)-'$.+,")

/0,12,3%44"5'5 4'6.*78,12,.4'9"" :9;.<:3&3,9

=&3>,?@A")

/&0,?@A")

!!!!!!!!!!!!!!!!!!!"#$%&'()*!!"#$%&%'!(#)#'!%*+)#!,-&(&,'#(+)'+,).!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'(+*!!/&(&00#0!121!3+4#0!0&5*6'.!!!!!!!!!!!!!!!!!!!"#$%&'((*!!121!3+4#0!(#)3*%)#!7*(!'8*!9&+%!)'&'#).!!!!!!!!!!!!!!!!!!!!"#$%&'(,*!!121!3+4#0!:#;<<!4;(&5!(#)3*%)#!7*(!'8*!9&+%!)'&'#).!!!!!!!!!!!!!!!!!!!"#$%&'(-*!!1&9%+7+#=!30*'!*7!:+9.!>?!)-*8+%9!%*+)#!70**().!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'(.*!!121!3+4#0!/@A!BCDE!7*(!'8*!9&+%!)'&'#).!!

!"#$%&#'&(!)*&+#,%&--./&&--./01230

Downloaded from SPIE Digital Library on 22 Mar 2011 to 128.103.149.52. Terms of Use: http://spiedl.org/terms

• Vary  conversion  gain  of  a  pixel  by  changing  the  sense  node  capacitance

• Variable  dynamic  range  and  read  out  noise

• Test  pixel  with  LED  illuminaSon• Two  MIM  states  in  a  24  μm  3TPPD  pixel

Page 41: What's New with CMOS Imaging Arrays

MIM  Pixel  Performance

41

!"!#$"%&'

!"!#$"%&'

!"!#()"*+,"-./&0

Fe55  X-­‐rays

• Two  MIM  gain  states  response  to  Fe55  X-­‐rays    

• Photon  Transfer  Curves  in  DN  and  electrons• NoSce  that  the  read  noise  in  DN  is  essenSally  constant  because  the  read  noise  is  generated  by  the  pixel’s  source  follower,  downstream  of  the  MIM  and  sense  node

Page 42: What's New with CMOS Imaging Arrays

Buried vs Surface Channel MOSFET

42

!

!

!!!!!!!!!!!!!!!!!!!"#$%&'()*!!"#!$%&!'#!%()*+!,)*-(./$0*!$-!&)11+/+%-!234!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'+,*!'#!526!&$/7!)0$.+*!$-!&)11+/+%-!234!!!!!!!!!!!!!!!!!!!!!!"#$%&'+(*!!'#!$%&!"#!526!8,$/$8-+/)*-)8*!$-!&)11+/+%-!234!!!!!!!!!!!!!!!!!!!"#$%&'++*''#!526!8,$/$8-+/)*-)8*!$-!&)11+/+%-!234!!!!!!!!!!!!!!!!!!!"#$%&'+-4!!"#!$%&!'#!%()*+!9:(-*!;)-,!&)11+/+%-!<=/)+&!8,$%%+:!)09:$%-*4!!!!!!!!!!!!"#$%&'+.*'!"#!$%&!'#!%()*+!,)*-(./$0*4!

!"#$%&#'&(!)*&+#,%&--./&&--./012-

!

!

!!!!!!!!!!!!!!!!!!!"#$%&'()*!!"#!$%&!'#!%()*+!,)*-(./$0*!$-!&)11+/+%-!234!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'+,*!'#!526!&$/7!)0$.+*!$-!&)11+/+%-!234!!!!!!!!!!!!!!!!!!!!!!"#$%&'+(*!!'#!$%&!"#!526!8,$/$8-+/)*-)8*!$-!&)11+/+%-!234!!!!!!!!!!!!!!!!!!!"#$%&'++*''#!526!8,$/$8-+/)*-)8*!$-!&)11+/+%-!234!!!!!!!!!!!!!!!!!!!"#$%&'+-4!!"#!$%&!'#!%()*+!9:(-*!;)-,!&)11+/+%-!<=/)+&!8,$%%+:!)09:$%-*4!!!!!!!!!!!!"#$%&'+.*'!"#!$%&!'#!%()*+!,)*-(./$0*4!

!"#$%&#'&(!)*&+#,%&--./&&--./012-

Page 43: What's New with CMOS Imaging Arrays

Sub  ElectronRead  Noise

43

!"#$%&%'()*+$+*,!%$'-*!$.,/%&!

!"#$%&%'()*+$+*,!%$'-*!$.,/%&!

Page 44: What's New with CMOS Imaging Arrays

“Self  Triggered”  Pixels

44

• 5TPPD  pixel  with  high  gain• Add  on  pixel  aCDS  (rapid  reset  and  reads)?• Add  low  level  discriminator• Set  polling  flag  in  X  and/or  Y• No  frame  reads,  only  row  and/or  column  for  set  pixels• Long  intervals  between  global  resets• Goal  -­‐  sub  millisec  Sme  resoluSon  while  maintaining  performance• Preliminary  test  pixels  in  SB  VI  minimal  -­‐  Chandra

Page 45: What's New with CMOS Imaging Arrays

Beyond the Reticle Limit

45

!

"

#

$

$!

!

" "

# #

$

$

$

$!

!

" "

# #

$

$

$

$!

!

" "

# #

$

$

$

$!

!

" "

# #

$

$

$

%&'()*+& ,(+()-./012&%

)3-,/,'(')4(.5/

• CMOS  foundry  limitaSons  on  the  size  of  a  single  reScle  (art  work  mask)  is  about  21  x  21  mm

• Limits  the  size  of  a  single  device• e.g.,  BigMin  II:    1k  x  16  μm

•Mask  alignment  is  very  good• Allows  traces  to  line-­‐up  so  that  larger  arrays  can  be  “sStched”  together  as  shown

• Reduces  cost  by  reuse  of  masks

Page 46: What's New with CMOS Imaging Arrays

Next Imager 4k x 4k

46

!"#"$%&'(&)&'(&)&*+&,-&./"!&%/0123

Page 47: What's New with CMOS Imaging Arrays

The Limit???

47

!"#$%&#'#(" $)&#'#*+,-#.!/0123

*+"#'#*+"#'#*+,-#456533/6#!.(.!/6#.!/012#47221(869:1.(0#;13.0(1;<

Page 48: What's New with CMOS Imaging Arrays

RadiaSon  Hard

48

!"#!$%&%'#($')*")+",&$*)-)#%./+%$)&*$&,"0%$1%'2,'-)&/%3

/,45-&0$

67789:;$<=->?$6%67$>@6A$BC$A+11*

D7$BEF>@

8 .6G=7$>@3

8

0"#&)4H$>@

!"#!$%&%'#($')*")+",&$*)-)#%

..*$'%/0,&/%+,$1$234 56$78$-9:%;%.+',&/

RADIATIONISSUES. . . .

<;)+$=)&*$/!"<+.+%'%)*$&,"/%*)'>$.?''%&+

=)/%;"&%

!!!!!!!!!!!!!!!!!!"#$%&'!()*+,-!./!/012//3!456'76#*89!*7:!6&*79;'&!<%&='9-!!!!!!!!!!!!!!!!!!!"#$%&'!(>*+,-!!?&*4!#99%'9!;5&!./!/012//3!*7:!@A!4#B'89-! !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(C-!!!"'D))!BD&*E!&'94579'9!*6!=*&#5%9!&*:#*6#57!:59'!8'='89-!!!!"#$%&'!(F-!@G5657!6&*79;'&!48569!;5&!,*9'8#7'!*7:!H!0&*:!:59'-!!!!!!!!!!!!!! !!!!!!"#$%&'!(I-!J!0&*:!K/5D>LM!JN!B!JN!O@P10!#Q*$'!5;!R#*$*&*!"*889-!!!!!!"#$%&'!)L-!0*$7#;#':!=#'S!5;!"#$-!(I!9G5S#7$!6S5!<*&9-!!

Page 49: What's New with CMOS Imaging Arrays

RadiaSon  Hard

49

!"# $%&'

!"# $%('

!"# $%$'

!"# $%)'

!"# $%*'

+,-./01. 23-! $4456789 *:;' ;

!"#$%&'()*

+"#+(,$,-#.(-%/"%0"1$(/%2%#,340,(5,-61-2%$4,7

!"#!$%&%'#($')*")+",&$*)-)#%.'%)*$&,"/%0

/1'2)3%$3!)&&%4

Page 50: What's New with CMOS Imaging Arrays

Summary

50

• CMOS  imaging  is  real• For  IR  hybrid  devices  are  needed• For  X-­‐rays  thick  Si  is  okay• CMOS  readout  noise  is  geVng  beier– Hybrids  achieve  ~15  e-­‐  single  samples–Monolithics  achieve  ~2  e-­‐  single  samples

• Readout  speed  is  geVng  very  good– 100’s  to  1000’s  frames/sec  – X-­‐ray  “self  triggered”  pixels  possible

• RadiaSon  Hard• Large  formats  possible

!

!

!!!!!!!!!!!!!!!!!!!"#$%&'()!"#$$%!&"'(!)!&"'(!*+,!-+.!+!/01/!23//045!673809!!!!!!!!!!!!!!!!!!!!!!!"#$%&'*)!!$3:;380<!*+,!-+.!+!6738049!!!!!!!!!!!!!!!!!!!!"#$%&'+)!!*+,!-+.!+!0=3>?3/6@5!/01/!A@34<9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&',)!!*+,!-+.!+!B#C!4012@510!1D@E658!5@!67380!>389!!!!!!!!!!!!!!!!!!!"#$%&'-)!*+,!-+.!+!2D@/@5!/4351F04!:?4=0!G$#BH9!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'.)!!$$%!26)0>!24@:011!10/!?2!2@/05/63>19!

!"#$%&#'&(!)*&+#,%&--./&&--./0123

!

!

!!!!!!!!!!!!!!!!!!"#$%&'!()*!!"#!$%!&'(()!*+,-!./012!('34!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!((*!56!$%!&'(()!*+,-!./012!!('34!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! !!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(+*!!3'7!819.:;91!<:8!=!"#!$%!&'(()!!*+,-!./012*!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(,*!>1?&&!819.:;91!<:8!=!"#!$%!&'(()!./0124!!!!!!!!!!!!!!!!!!"#$%&'!(-*!>1?&&!0?8=@!A/9B:C8=%!<:8!>/C4!6#4!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!"#$%&'!(.*!!>1?&&!819.:;91!=9!'-!D2:DE!F:2B=C1!/9!F=8/1G4!

!"#$%&#'&(!)*&+#,%&--./&&--./0123.