97
Vivado Design Suite ユーザー ガイド デザイン フ ローの概要 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。 UG892 (v2016.4) 2016 年 11 月 30 日

Vivado Design Suite - Xilinx...Vivado Design Suite ユーザー ガイド デザイン フローの概要 UG892 (v2016.3) 2016 年 10 月 5 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

  • Vivado Design Suite ユーザー ガイ ド

    デザイン フローの概要

    UG892 (v2016.3) 2016 年 10 月 5 日

    この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

    UG892 (v2016.4) 2016 年 11 月 30 日

  • デザイン フローの概要 2UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

    改訂履歴

    次の表に、 この文書の改訂履歴を示します。

    日付 バージョ ン 改訂内容

    2016/10/05 2016.3 付録 A の 「お読みください: 重要な法的通知」 に 「自動車用のアプリ ケーシ ョ ンの免責条項」 を追加。 第 2 章の 「ロジッ ク合成の実行」 にザイ リ ンクス IP のサードパーティ合成のサポートにおける例外に関する情報を追加。 第 1 章の 「ザイ リ ンクスの System Generator を使用したモデル ベースの DSP デザイン」 をアップデート。 第 3 章の 「ブロ ッ ク デザインでの RTL モジュールの参照」 を追加。 第 3 章の 「シ ミ ュレーシ ョ ン ライブラ リのコンパイル」 にスタティ ッ ク IP ファ イルのコンパイル済みのシ ミ ュレーシ ョ ン ライブラ リの使用に関する情報を追加。 第 3 章に 「エンジニア リ ング チェンジ オーダー (ECO) のインプリ メント 」 および 「デバッグでのエンジニア リ ング チェンジ オーダー (ECO) のインプリ メン ト 」を追加。 第 5 章の 「Vivado Design Suite でのソース変更の認識」 に リ ビジ ョ ン管理システムでのファイル チェッ クアウ トによるタイムスタンプの変更で受ける影響に関する情報を追加。 文章を若干変更。

    2016/06/08 2016.2 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949) の第 2 章の内容を本書に含有。

    2016/04/06 2016.1 「業界標準に基づいたデザイン」 にVHDL-2008 を追加。 「Vivado 統合設計環境 (IDE) の使用」に 「Vivado Design Suite QuickTake ビデオ: Vivado IDE 入門」 を追加。 「 リ ビジ ョ ン管理システムの使用」 および 「リ ビジ ョ ン管理システムの使用」 で QuickTake ビデオのタイ トルを「Vivado Design Suite でのリ ビジ ョ ン管理の使用」 に変更。 「ロジッ ク シ ミ ュレーシ ョ ンの実行」 に Aldec および Enterprise ユーザー向けの情報を追加。 「IP 出力ファイルの生成」 にコア コンテナーの情報を追加。 「DRC、 消費電力、 リ ソース使用率の解析」 に report_design_analysis コマンドを追加。

    UG892 (v2016.4) 2016 年 11 月 30 日

    2016/11/30: Vivado® Design Suite 2016.4 リリース。2016.3 から内容の変更なし。

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=2

  • 目次

    第 1 章: Vivado システムレベル デザイン フロー概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5業界標準に基づいたデザイン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6デザイン フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

    第 2 章: 使用モデルVivado Design Suite の使用モデル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13Vivado 統合設計環境 (IDE) の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14Tcl の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15プロジェク ト モード と非プロジェク ト モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17サードパーティ デザイン ソフ ト ウェア ツールの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21PCB 設計との関係 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

    第 3 章: プロジェク ト モードの使用概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23プロジェク ト モードの利点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25プロジェク トの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25Flow Navigator の理解 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28システム レベルのデザイン入力の実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30IP の操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33IP インテグレーターを使用した IP サブシステムの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39ロジッ ク シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42ロジッ ク合成およびインプリ メンテーシ ョ ンの実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46ログ ファ イル、 メ ッセージ、 レポート、 プロパティの表示 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50デザイン解析および制約定義の実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54デバイスのプログラム、 ハード ウェア検証、 およびデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63プロジェク ト モードでの Tcl コマンドの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

    第 4 章: 非プロジェク ト モードの使用概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67非プロジェク ト モードの利点 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68デザイン ソースの読み込み . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68IP および IP サブシステムの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69ロジッ ク シ ミ ュレーシ ョ ンの実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70ロジッ ク合成およびインプリ メンテーシ ョ ンの実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70レポートの生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71デザイン チェッ クポイン トの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71Vivado IDE を使用したデザイン解析 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72非プロジェク ト モードでの Tcl コマンドの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

    デザイン フローの概要 3UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=3

  • 第 5 章: ソース管理およびリビジ ョ ン管理の推奨事項リ ビジ ョ ン管理システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78デザインおよび IP の最新 Vivado Design Suite リ リースへのアップグレード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

    付録 A: その他のソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96お読みください: 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

    デザイン フローの概要 4UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=4

  • 第 1 章

    Vivado システムレベル デザイン フロー

    概要

    このユーザー ガイ ドでは、 Vivado® Design Suite を使用して新しいデザインを作成し、 ザイ リ ンクス デバイスにプログラムする概要が説明されています。 また、 デザイン ソースや IP コアの準備、 インプリ メンテーシ ョ ン、 管理といった、 使用モデル、 デザイン機能、 ツール オプシ ョ ンを手短に説明します。

    Vivado Design Suite では、 ザイ リ ンクス FPGA デザインのインプリ メンテーシ ョ ンおよび検証に関するタスクをさまざまな方法で達成できます。 従来の RTL からビッ ト ス ト リームまでの FPGA デザイン フローに加え、 Vivado Design Suite では IP 中心のデザインおよび C ベースのデザインに焦点を置いたシステム レベルの統合フローを提供しています。 IP インテグレーター環境を使用する と、 インスタンシエート、 コンフ ィギュレーシ ョ ン、 および IP サブシステム ブロ ッ ク デザインにさまざまな IP をインタラ クティブに接続できます。 カスタム IP および IP ブロ ッ ク デザインをコンフ ィギュレーシ ョ ンしてパッケージにし、 Vivado IP カタログから使用するこ と もできます。 高位合成では、C 言語で複雑なアルゴ リズムをすばやく作成および検証し、 RTL にそれを合成して、 従来の Vivado RTL フローを通して処理できます。 デザインの解析および検証は、 フローの各段階で実行できます。 デザイン解析機能には、 ロ

    ジッ ク シ ミ ュレーシ ョ ン、 I/O およびクロ ッ ク プランニング、 消費電力解析、 制約定義、 タイ ミ ング解析、 デザイン ルール チェッ ク (DRC)、 デザイン ロジッ クの表示、 インプリ メンテーシ ョ ン結果の解析と変更、 プログラムおよびデバッグなどがあ り ます。

    次の資料およびビデオ チュート リ アルには、 Vivado Design Suite フローに関するその他の情報が含まれます。

    • Vivado Design Suite QuickTake ビデオ: Vivado デザイン フローの概要

    • 『Vivado Design Suite チュート リ アル: デザイン フローの概要』 (UG888) [参照 6]

    • Vivado Design Suite QuickTake ビデオ: Vivado IDE 入門

    • Vivado Design Suite QuickTake ビデオ: UltraFast™ Vivado Design 設計手法

    ソ リ ューシ ョ ン全体は、 Vivado 統合設計環境 (IDE) という グラフ ィカル ユーザー インターフェイス (GUI) に統合されています。 Vivado IDE では、 デザインおよび IP を作成、 インプリ メン ト 、 および検証するインターフェイスが提供されています。また、すべてのフローは Tcl コマンドを使用しても実行できます。Tcl コマンドは Vivado IDE の Tcl コンソールまたは Vivado Design Suite Tcl シェルから使用できます。 Tcl スク リプ ト を使用して、 デザイン解析を含むデザイン フロー全体を実行したり、 フローの一部のみを実行できます。

    デザイン フローの概要 5UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/getting-started-with-the-vivado-ide.htmlhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=training;d=hardware/vivado-design-flows-overview.htmlhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/getting-started-with-the-vivado-ide.htmlhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=training;d=vivado/vivado-design-methodology.htmhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=5

  • 第 1 章: Vivado システムレベル デザイン フロー

    業界標準に基づいたデザイン

    Vivado Design Suite では、 次の業界標準がサポート されます。

    • Tcl

    • AXI4、 IP-XACT

    • Synopsys デザイン制約 (SDC)

    • Verilog、 VHDL、 VHDL-2008、 SystemVerilog

    • SystemC、 C、 C++

    Vivado Design Suite ソ リ ューシ ョ ンは Tcl ベースで、SDC および XDC (ザイ リ ンクス デザイン制約) フォーマッ トがサポート されます。 合成で Verilog、 VHDL、 および SystemVerilog が幅広くサポート されるので、 FPGA が採用しやすくなっています。 Vivado 高位合成 (HLS) が可能なので、 C、 C++、 または System C 言語を使用してロジッ クを定義できます。 AXI4 および IP-XACT などの標準 IP インターコネク ト プロ ト コルを使用する と、 システム レベルのデザインがよ り短時間で簡単に統合できます。 これらの業界標準がサポート されるこ とによ り、 EDA (電子システム設計自動化) エコシステムでの Vivado Design Suite のサポートが向上します。 また、 Vivado Design Suite には多くのサードパーティ ツールが統合されています。

    デザイン フローの概要 6UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=6

  • 第 1 章: Vivado システムレベル デザイン フロー

    デザイン フロー図 1-1 に、 Vivado Design Suite での全体的なデザイン フローを示します。ザイ リ ンクス デザイン ハブには、設計タスクやト ピッ ク別に資料が整理され、 そのリ ンクがあ り ます。 ザイ リ ンクス ウェブサイ トで デザイン ハブ ページを参照します。

    X-Ref Target - Figure 1-1

    図 1-1: Vivado Design Suite のデザイン フロー

    デザイン フローの概要 7UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=design+hubshttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=7

  • 第 1 章: Vivado システムレベル デザイン フロー

    RTL からビッ トス ト リームへのデザイン フロー

    RTL デザイン

    RTL ソース ファ イルを指定してプロジェク ト を作成したら、 これらのソースを RTL コード開発、 解析、 合成、 およびインプ リ メンテーシ ョ ンに使用できます。 RTL および XDC が Vivado Design Suite で使用できるよ うに最適に作成されているよ うにするため、 推奨される RTL および制約テンプレートのライブラ リがザイ リ ンクスから提供されています。 Vivado 合成およびインプリ メンテーシ ョ ンでは、 Verilog、 VHDL、 SystemVerilog、 および XDC などの複数のソース ファ イルの種類がサポート されます。 RTL プロジェク トの作成方法および操作方法は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 9] の 「RTL プロジェク トの作成」 を参照してください。

    『UltraFast™ 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949) [参照 27]では、階層 RTL ソースおよびザイ リ ンクス デザイン制約 (XDC) を定義するために最適なコーディング手法および設計テクニッ ク、 Vivado Design Suite 特有の機能の使用方法に関する情報、 およびプログラムされたデザインのパフォーマンスを向上するためのテクニッ クを示し

    ます。

    IP デザインとシステム レベル デザインの統合

    Vivado Design Suite は、 IP をスタンドアロンのモジュールと して、 またはシステム レベル デザインの一部と して設定、 インプ リ メン ト 、 検証、 および統合するための環境を提供します。 IP には、 エンベデッ ド プロセッサ、 DSP デジタル信号処理モジュール、 C ベースのアルゴ リズム デザインなども含まれます。 カスタム IP は IP-XACT プロ ト コルに従ってパッケージされ、 Vivado IP カタログから使用できます。 IP は IP カタログからすばやくアクセスでき、 コンフ ィギュレーシ ョ ン、 インスタンシエーシ ョ ン、 検証できます。 ザイ リ ンクス IP では AXI4 インターコネク ト標準が使用され、 よ り高速なシステム レベルの統合ができるよ うになっています。 既存の IP は、 RTL またはネッ ト リス トのどちらかの形式のデザインで使用できます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 10] を参照してください。

    IP サブシステム デザイン

    Vivado IP インテグレーター環境では、AMBA AXI4 インターコネク ト プロ ト コルを使用してさまざまな IP を IP サブシステムに統合できます。 ブロ ッ ク デザイン形式のインターフェイスを使用して IP をインタラ クティブに設定および接続しでき、 回路図のよ うなインターフェイスで DRC に準拠した正しい接続を描画するこ とによ り、 インターフェイス全体を簡単に接続できます。 標準インターフェイスを使用する と、 従来の RTL ベースの接続に比べ、 IP を接続するのにかかる時間を短縮できます。 コネクシ ョ ン オート メーシ ョ ン機能および DRC セッ ト を使用するこ とによ り、 適切な IP コンフ ィギュレーシ ョ ンおよび接続が可能です。 これらの IP ブロ ッ ク デザインは、 この後検証され、 パッケージされて、 1 つのデザイン ソース と して処理されます。 ブロ ッ ク デザインは、 デザイン プロジェク トで使用したり、 ほかのプロジェク ト と共有できます。 IP インテグレーター環境は、 エンベデッ ド デザインおよびザイ リ ンクス評価ボード インターフェイスのメ イン インターフェイスです。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 29] を参照してください。

    I/O およびクロック プランニング

    Vivado IDE には I/O ピン プランニング環境が含まれており、 デバイス パッケージ ピンまたは内部ダイ パッ ドに I/O ポート を正し く割り当てるこ とができ、 パッケージおよび I/O 関連データを解析するための表が提供されています。メモ リ インターフェイスは、 最適なデータ フローを得るため、 特定の I/O バンクにインタラ クティブに割り当てるこ とができます。 Vivado ピン プランナー ツールのビューおよび表を使用する と、 デバイスおよびデザインに関する I/O データを解析できます。 また、 I/O DRC および同時スイ ッチ ノ イズ (SSN) の解析コマンド もあ り、 I/O 割り当てを検証できるよ うになっています。詳細は、『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ ク プランニング』 (UG899) [参照 13] を参照してください。

    デザイン フローの概要 8UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.4;d=ug895-vivado-system-level-design-entry.pdf;a=xCreatingAnRTLProjecthttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=8

  • 第 1 章: Vivado システムレベル デザイン フロー

    ザイリンクス プラッ ト フォーム ボード サポート

    Vivado Design Suite では、 既存のザイ リ ンクス評価プラ ッ ト フォーム ボードをデザインのターゲッ ト と して選択できます。 プラ ッ ト フォーム ボード フローでは、 ターゲッ ト ボードにインプリ メン ト されたすべての IP インターフェイスにアクセス可能で、 デザインで使用される IP をすばやく選択およびコンフ ィギュレーシ ョ ンできます。 IP コンフ ィギュレーシ ョ ン パラ メーターと、 I/O 規格およびパッケージ ピン制約などの物理ボード制約は、 このフローを通して自動的に割り当てられます。 コネクシ ョ ン オート メーシ ョ ンを使用する と、 選択した IP への接続をすばやく実行できます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 9] の「Vivado Design Suite ボード フローの使用」 を参照してください。

    合成

    Vivado 合成では、 RTL デザイン全体のグローバルまたはト ップダウンの合成が行われます。 Vivado Design Suite では、デフォルトでアウ ト オブ コンテキス ト (OOC) またはボ ト ムアップのデザイン フローが使用され、ザイ リ ンクス IP カタログからの IP コアおよび Vivado IP インテグレーターからのブロ ッ ク デザインが合成されます。 また、 階層 RTL デザインの特定のモジュールを OOC モジュールと して合成するこ と も可能です。 OOC フローでは、 階層デザインのデザイン モジュール、 IP コア、 ブロ ッ ク デザインを、 最上位デザインから独立させて合成、 インプリ メント 、 および解析できます。 OOC 合成されたネッ ト リ ス トは保存され、 結果を保存し、 ランタイムを短縮するため、最上位インプ リ メンテーシ ョ ン中に使用されます。 OOC フローは、 階層チーム デザイン、 IP および IP サブシステムの合成およびインプ リ メン ト 、 大型の複雑なデザインのモジュール管理をサポートする効率的な手法です。 詳細

    は、 36 ページの 「アウ ト オブ コンテキス ト デザイン フロー」 の 「プラ ッ ト フォーム ボード フロー」 を参照して ください。

    Vivado Design Suite では、 EDIF または構造型 Verilog などのサードパーティ合成ソースもサポート されます。 ただし、Vivado IP カタログからの IP コアは Vivado 合成を使用して合成する必要があ り、サードパーティ合成ツールで合成するこ とはサポート されていません。 7 シ リーズ デバイスのメモ リ IP など、 この要件の例外もいくつかあ り ます。 詳細は、 IP のデータシート を参照して ください。

    重要: ISE フォーマッ ト のネッ ト リ ス ト (NGC) は UltraScale™ デバイス デザインではサポート されな くな り ました。

    デザイン解析およびシミ ュレーシ ョ ン

    Vivado Design Suite では、 デザイン プロセスの各段階でデザインを解析、 検証、 変更できます。 回路のパフォーマンスを改善するため、 デザイン ルールおよび設計手法のチェッ クを実行し、 ロジッ ク シ ミ ュレーシ ョ ン、 タイ ミ ングおよび消費電力解析を実行できます。 この解析は、 RTL エラボレーシ ョ ン、 合成、 およびインプリ メンテーシ ョ ンの後に実行できます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 20] を参照してください。

    Vivado シ ミ ュレータを使用する と、 デザイン フローのさまざまな段階でビヘイビアーおよび構造ロジッ ク シ ミ ュレーシ ョ ンを実行できます。 このシ ミ ュレータでは、 Verilog および VHDL 混合モードのシ ミ ュレーシ ョ ンがサポート され、 結果が Vivado IDE の波形ビューアーに表示できます。 サードパーティ シ ミ ュレータを統合して Vivado IDE から起動して使用するこ と もできます。 詳細は、 21 ページの 「ロジッ ク シ ミ ュレーシ ョ ンの実行」 を参照してください。

    配置および配線

    合成済みネッ ト リ ス トが生成されたら、 Vivado インプリ メンテーシ ョ ンを使用してネッ ト リ ス ト をターゲッ ト パーツで使用可能なデバイス リ ソースに最適化および配置配線できます。 Vivado インプリ メンテーシ ョ ンは、 デザインの論理、 物理、 およびタイ ミ ング制約を満たすよ うに実行されます。

    デザイン フローの概要 9UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.4;d=ug895-vivado-system-level-design-entry.pdf;a=xUsingTheVivadoDesignSuiteBoardFlowhttps://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.4;d=ug895-vivado-system-level-design-entry.pdf;a=xUsingTheVivadoDesignSuiteBoardFlowhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=9

  • 第 1 章: Vivado システムレベル デザイン フロー

    難しいデザインに対しては、 インプリ メンテーシ ョ ン結果の改善に役立つアドバンス フロアプラン機能があ り ます。具体的には、 特定エリ アに特定のロジッ クを制約したり、 特定のデザイン エレ メン ト を手動で配置し、 後で行われるインプ リ メンテーシ ョ ン run のためにそれを固定しておく機能などがあ り ます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 20] を参照してください。

    ハードウェア デバッグおよび検証

    インプ リ メンテーシ ョ ン後には、 Vivado ロジッ ク解析を使用するか、 またはスタンドアロンの Vivado Lab Edition 環境でデバイスをプログラムおよび解析できます。 デバッグ信号は RTL デザインで特定できるか、 または合成後に挿入でき、 フローを通して処理されます。 デバッグ コアはコンフ ィギュレート され、 インク リ メンタル インプリ メンテーシ ョ ン テクニッ クを使用して、 RTL、 合成済みネッ ト リ ス ト 、 インプリ メン ト済みデザインのいずれかに挿入できます。 既存のデバッ ク プローブ、 外部プローブ向けにパッケージ ピンに配線されている内部信号も ECO フローを使用する と変更できます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 21] を参照してください。

    代替デザイン フローVivado Design Suite では、 次のセクシ ョ ンで説明するよ うに、 ほかにもいくつかのデザイン フローがサポート されています。 これらのフローはそれぞれ RTL からビッ ト ス ト リームまでのフローから派生したものなので、 こ こに説明するインプ リ メンテーシ ョ ンおよび解析手法はほかのデザイン フローにも適用されます。

    エンベデッ ド プロセッサ デザイン フロー

    エンベデッ ド プロセッサ デザインを作成する際は、 ツール フローは少し異なり ます。 エンベデッ ド プロセッサには効果的に起動および実行するためソフ ト ウェアが必要なので、 ソフ ト ウェア デザイン フローはハードウェア デザイン フローと合わせて実行する必要があ り ます。 ハード ウェア フローと ソフ ト ウェア フロー間のデータ ハンドオフおよび 2 つのド メ イン間での検証が重要となり ます。

    エンベデッ ド プロセッサ ハードウェア デザインを作成するには、 Vivado Design Suite の IP インテグレーターを使用します。 Vivado IP インテグレーター ブロ ッ ク デザインでは、ユーザーがプロセッサ コアとそのインターフェイスをインスタンシエート、 コンフ ィギュレーシ ョ ン、 アセンブルします。 IP インテグレーターでは、 規則に基づいて接続が実行され、 設計アシスタンスが提供されます。 インプリ メンテーシ ョ ンによ りハード ウェア デザインがコンパイルされたら、 ソフ ト ウェア開発および検証フローに使用するためザイ リ ンクス ソフ ト ウェア開発キッ ト (SDK) にエクスポート します。 シ ミ ュレーシ ョ ンおよびデバッグ機能を使用する と、 2 つのド メ イン間でデザインをシ ミ ュレーシ ョ ンおよび検証できます。

    ビデオ: Vivado IP インテグレーターとエンベデッ ド プロセッサ デザイン フローの ト レーニング ビデオは、 Vivado Design Suite QuickTake ビデオ: Vivado IP インテグレーターを使用したデザインおよび Vivado Design Suite QuickTake ビデオ: Vivado IP インテグレーターを使用した Zynq デバイスの設計を参照してください。

    エンベデッ ド プロセッサ デザイン フローの詳細は、 次の資料を参照してください。

    • 『Vivado Design Suite ユーザー ガイ ド : エンベデッ ド プロセッサ ハードウェア デザイン』 (UG898) [参照 12]

    • 『Vivado Design Suite チュート リ アル: エンベデッ ド プロセッサ ハードウェア デザイン』 (UG940) [参照 25]

    • 『UltraFast エンベデッ ド デザイン設計手法ガイ ド』 (UG1046) [参照 30]

    デザイン フローの概要 10UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/designing-with-vivado-ip-integrator.htmlhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/designing-with-vivado-ip-integrator.htmlhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/targeting-zynq-using-vivado-ip-integrator.htmlhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/targeting-zynq-using-vivado-ip-integrator.htmlhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=10

  • 第 1 章: Vivado システムレベル デザイン フロー

    ザイリンクスの System Generator を使用したモデル ベースの DSP デザイン

    Vivado Design Suite に含まれている System Generator ツールを使用する と、 DSP ファンクシ ョ ンをインプリ メン トできます。 スタンドアロン ツールと して System Generator を使用して DSP ファンクシ ョ ンを作成し、 System Generator デザインを IP モジュールにパッケージして IP カタログに含めるこ とができます。 生成された IP は別の Vivado デザインにサブモジュールと して追加できます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : System Generator を使用したモデル ベースの DSP デザイン』 (UG897) [参照 11] を参照してください。

    高位合成の C ベース デザイン

    Vivado Design Suite の C ベースの高位合成ツール (Vivado HLS) を使用する と、 C、 C++、 System C、 および OpenCL™ API 言語を使用してデザインのさまざまな DSP ファンクシ ョ ンを記述できます。 C コードは Vivado HLS (高位合成) ツールで作成および検証します。 高水準言語を使用する と、 抽象的なアルゴ リズム、 データ型、 仕様などを記述で

    き、 さまざまなパラ メーターを試してデザイン パフォーマンスおよびエリ アを最適化できます。

    Vivado HLS では、 C ベースのテス トベンチおよびシ ミ ュレーシ ョ ンを使用して、 デザイン環境から直接生成済みの RTL をシ ミ ュレーシ ョ ンできます。 C から RTL への合成によ り C ベース デザインを RTL モジュールに変換したら、それをパッケージして、 デザインの残りの部分と共にインプリ メンテーシ ョ ンするか、 IP インテグレーターのブロ ッ ク デザインにインスタンシエートできます。

    ビデオ: Vivado HLS に関するさまざまな ト レーニング ビデオは、 ザイ リ ンクス ウェブサイ トの Vivado Design Suite QuickTake ビデオ チュート リ アル ページから視聴できます。

    Vivado HLS ツール フローおよび機能については、 次を参照してください。

    • 『Vivado Design Suite ユーザー ガイ ド : 高位合成』 (UG902) [参照 16]

    • 『Vivado Design Suite チュート リ アル: 高位合成』 (UG871)[参照 5]

    パーシャル リコンフ ィギュレーシ ョ ン デザイン

    パーシャル リ コンフ ィギュレーシ ョ ンでは、 パーシャル ビッ ト ス ト リームを使用して動作中のザイ リ ンクス デバイスの一部を リアルタイムで リ コンフ ィギュレーシ ョ ンし、 動作中のデザインの機能を変更できます。 最大パフォー

    マンスを達成するためにそれらが要件どおりに機能するこ とを確実にするため、 リ コンフ ィギャラブル モジュールは適切にプランニングする必要があ り ます。

    パーシャル リ コンフ ィギュレーシ ョ ン フローでは、 リ コンフ ィギャラブル モジュールが適切に設計され、 パーシャル ビッ ト ス ト リーム アップデート中にグ リ ッチのない動作を可能にするため、 厳しい設計プロセスが必要となり ます。 これには、 リ コンフ ィギャラブル モジュールへのインターフェイス信号数の削減、 デバイス リ ソースのフロアプラン、 ピン配置、 パーシャル リ コンフ ィギュレーシ ョ ン DRC への準拠などが含まれます。 デバイスのプラグラム方法も、 コンフ ィギュレーシ ョ ン I/O ピンが正し く割り当てられるよ うにするため、 適切にプランニングしておく必要があ り ます。

    ビデオ: パーシャル リ コンフ ィギュレーシ ョ ン フローについては、 Vivado Design Suite QuickTake ビデオ: パーシャル リ コンフ ィギュレーシ ョ ンを参照してください。

    パーシャル リ コンフ ィギュレーシ ョ ン フローおよび機能については、 次を参照してください。

    • 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909) [参照 22]

    • 『Vivado Design Suite チュート リ アル: パーシャル リ コンフ ィギュレーシ ョ ン』 (UG947) [参照 26]

    デザイン フローの概要 11UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/training/vivado/index.htmhttps://japan.xilinx.com/training/vivado/index.htmhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=training;d=hardware/partial-reconfiguration-in-vivado.htmhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=training;d=hardware/partial-reconfiguration-in-vivado.htmhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=11

  • 第 1 章: Vivado システムレベル デザイン フロー

    階層デザイン

    階層デザイン (HD) フローを使用する と、 デザインを管理しやすい小型のブロッ クに分割して個別に処理できます。階層デザインに対してモジュラー アプローチを取るこ とで、 モジュールをデザインのほかの箇所から独立させて解析でき、 最上位デザインでモジュールを再利用できます。 デザインの特定セクシ ョ ンで複数のチーム メ ンバーが反復作業を行う場合には、 タイ ミ ング ク ロージャをはじめデザイン目標を達成しやすく し、 結果を再利用するこ とができます。

    Vivado には、 最上位デザインのアウ ト オブ コンテキス ト (OOC) で論理モジュールを合成する機能など、 階層デザイン アプローチを可能にする機能がいくつかあ り ます。 特定モジュールまたはデザイン階層レベルを選択し、 それらを OOC で合成できます。 モジュール レベルの制約を適用する と、 モジュール パフォーマンスを最適化して検証できます。 その後、 モジュール デザイン チェッ クポイン ト (DCP) が、 最上位ネッ ト リ ス ト を生成するため、 インプリメンテーシ ョ ン中に適用されます。 この方法を利用する と、 最上位合成のランタイムを短縮し、 完成しているモ

    ジュールを再合成する手間を省く こ とができます。

    階層デザイン フローでは、 適切なモジュール インターフェイス デザイン、 制約定義、 フロアプラン、 およびいくつかの特別コマンド とデザイン手法が必要になり ます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG901) [参照 19] を参照してください。

    デザイン フローの概要 12UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=12

  • 第 2 章

    使用モデル

    Vivado Design Suite の使用モデル推奨: Vivado ツールで最初のデザインを開始する前に、『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 23] を参照してください。

    Vivado Design Suite では、 異なるデザイン フローがサポート されているのと同様に、 デザインの管理方法および Vivado ツールをどのよ うに使用するかによってさまざまな使用モデルもサポート されています。 このセクシ ョ ンでは、 Vivado ツールで使用モデルを利用するにあたり、 決定しておくべきこ とをいくつか説明します。

    次のよ うな事項を決定しておく必要があ り ます。

    • スク リプ ト またはコマンドを使用するか、 グラフ ィカル ユーザー インターフェイス (GUI) を使用するか。 詳細は、 14 ページの 「Vivado 統合設計環境 (IDE) の使用」 および15 ページの 「Tcl の使用」 を参照してください。

    • プロジェク ト構造を使用して Vivado Design Suite でデザイン ソース、 ステータス、 結果が管理されるよ うにするか、 ユーザー自身がデザインを作成して管理するか。 詳細は、 17 ページの 「プロジェク ト モード と非プロジェク ト モード」 を参照してください。

    • ポータビ リティを持たせるために IP コアをコンフ ィギュレーシ ョ ンして 1 つのデザイン プロジェク ト内にそれらを含めるか、 複数プロジェク トで管理しやすくなるよ うにコンフ ィギュレーシ ョ ン済み IP コアのリモート リポジ ト リ をプロジェク ト外に構築するか。

    • ソース ファイルを リ ビジ ョ ン管理システムを使用して管理するか。 詳細は、 78 ページの 「リ ビジ ョ ン管理システム」 を参照してください。

    • 合成またはシ ミ ュレーシ ョ ンにサードパーティ ツールを使用するか。 詳細は、 21 ページの 「サードパーティ デザイン ソフ ト ウェア ツールの使用」 を参照してください。

    デザイン フローの概要 13UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=13

  • 第 2 章: 使用モデル

    Vivado 統合設計環境 (IDE) の使用Vivado 統合設計環境 (IDE) は 「プロジェク ト モード」 および 「非プロジェク ト モード」 の両方で使用できます。Vivado IDE では、 デザインおよび IP を作成、 インプリ メン ト 、 および検証するインターフェイスが提供されています。 デザインを開く と、 現在のデザイン ネッ ト リ ス トが読み込まれ、 デザイン制約が適用され、 ターゲッ ト デバイスにデザインが合わせられます。 Vivado IDE では、 次の図に示すよ うに、 デザインを視覚的に確認し、 作業することができます。

    プロジェク ト モードを使用する場合、 プッシュボタン デザイン フローをサポートする Flow Navigator と呼ばれるインターフェイスが表示されます。 デザインは RTL エラボレーシ ョ ン後、 合成後、 またはインプリ メンテーシ ョ ン後に開いて解析するこ とができ、 制約、 ロジッ クまたはデバイス コンフ ィギュレーシ ョ ン、 およびインプリ メンテーシ ョ ン結果に変更を加えるこ とができます。 また、 デザイン チェッ クポイン ト を使用して、 デザインの現在の状態を保存できます。Vivado IDE の詳細は、『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 7] を参照してください。

    ビデオ: 詳細は、 Vivado Design Suite QuickTake ビデオ: Vivado IDE 入門を参照してください。

    X-Ref Target - Figure 2-1

    図 2-1: Vivado IDE でインプリ メン ト されたデザインを開く

    デザイン フローの概要 14UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/getting-started-with-the-vivado-ide.htmlhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=14

  • 第 2 章: 使用モデル

    Windows での Vivado IDE の起動[スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2016.x] → [Vivado 2016.x] をク リ ッ ク します。

    注記: または、 デスク ト ップの Vivado IDE のシ ョート カッ ト をダブルク リ ッ ク します。

    ヒン ト : 作業フォルダーは、 Vivado IDE のシ ョート カッ ト アイコンを右ク リ ッ ク して [プロパティ ] をク リ ッ クするとアップデートできます。 これによ り、 起動ディ レク ト リに書き込まれるプロジェク ト ファ イル、 ログ ファ イル、ジャーナル ファイルが見つけやすくな り ます。

    Windows または Linux のコマンド ラインからの Vivado IDE の起動コマンド プロンプ トに次のコマンドを入力します。

    vivado

    このコマンドを入力する と、自動的に vivado -mode gui が実行され、 Vivado IDE が起動します。ヘルプが必要な場合は、 「vivado -help」 と入力します。

    ヒン ト : Vivado ツールのパスを現在のシェル/コマンド プロンプ トに追加するには、 /Vivado/ ディ レク ト リから settings64.bat または settings64.sh を実行します。

    コマンド ラインから Vivado Design Suite を起動する場合、 ディ レク ト リ をプロジェク ト ディ レク ト リに変更して、Vivado ツールでそのログ ファ イルとジャーナル ファ イルがプロジェク ト ディ レク ト リに書き込まれるよ うにします。 これで、 これらのファイルを必要に応じて見つけたり、 確認しやすくな り ます。

    推奨: プロジェク ト ディ レク ト リから Vivado Design Suiteを起動する と、 起動ディ レク ト リに書き込まれるプロジェク ト ファ イル、 ログ ファ イル、 ジャーナル ファ イルを見つけやすくな り ます。

    Vivado Design Suite の Tcl シェルからの Vivado IDE の起動Vivado Design Suite を Tcl モードで実行している場合は、次のコマンドを Tcl コマンド プロンプ トに入力して、Vivado IDE を起動します。

    start_gui

    Tcl の使用サポート されるすべてのデザイン フローおよび使用モデルは、 Tcl コマンドを使用して実行できます。 Tcl スク リプトでは、 デザイン解析およびレポート を含むデザイン フロー全体を実行したり、 デザインの作成および合成などフ

    X-Ref Target - Figure 2-2

    図 2-2: Vivado IDE デスク ト ップ アイコン

    デザイン フローの概要 15UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=15

  • 第 2 章: 使用モデル

    ローの一部のみを実行できます。 個々の Tcl コマンドを使用するか、 Tcl コマンドのスク リプ ト を作成して使用できます。

    Tcl コマンドを直接使用する場合は、 Vivado Design Suite Tcl シェルを使用するか、 Vivado IDE の [Tcl Console] ウ ィンド ウを使用します。 Tcl および Tcl スク リプ トに関する情報は、 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 8] および 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。 Vivado ツールでの Tcl の使用方法の詳細は、 『Vivado Design Suite チュート リ アル: デザイン フローの概要』 (UG888) [参照 6] を参照してください。

    プロジェク ト モードまたは非プロジェク ト モードを使用した Tcl ベースのアプローチに関する詳細は、 第 3 章 「プロジェク ト モードの使用」 または第 4 章 「非プロジェク ト モードの使用」 を参照してください。

    Vivado Design Suite Tcl シェルの起動Vivado Design Suite Tcl シェルを起動するには、 Linux コマンド プロンプ ト または Windows コマンド プロンプ トに次のよ うに入力します。

    vivado -mode tcl

    注記: Windows の場合、[スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2016.x] → [Vivado 2016.x Tcl Shell] をク リ ッ ク しても起動できます。

    バッチ Tcl スクリプ ト を使用した Vivado ツールの起動ツールを起動する と きに Tcl スク リプ ト を指定する と、 Vivado ツールをバッチ モードで使用できます。 Linux コマンド プロンプ ト または Windows コマンド プロンプ トに次のよ うに入力します。

    vivado -mode batch -source

    注記: バッチ モードの場合、 指定したスク リプ トが実行された後 Vivado ツールが終了します。

    Tcl フローでの Vivado IDE の使用Tcl を使用する場合でも、 Vivado IDE でのインタラ クティブな GUI ベースの解析および制約定義機能を利用できます。 72 ページの 「Vivado IDE を使用したデザイン解析」 に示すよ うに、 デザイン サイ クルのどの段階でも Vivado IDE でデザインを開く こ とができます。 71 ページの 「デザイン チェッ クポイン トの使用」 に示すよ うに、 デザイン データベースはどの時点でもチェッ クポイン ト ファ イルと して保存でき、 そのチェッ クポイン ト を後から開く こ とができます。

    ザイリンクス Tcl Store の使用ザイ リ ンクス Tcl Store は、 Tcl コードのオープン ソース リ ポジ ト リで、 主に Vivado Design Suite を使用した FPGA デザイン用に設計されています。 Tcl Store を使用する と、 ユーザーから提供されたさまざまな問題を解決して生産性を改善するスク リプ トおよびユーティ リ ティにアクセスできます。 Tcl スク リプ トは、 提供されているものをインストールできるほか、 ほかのユーザーと共有するためにリ リースするこ と もできます。 Tcl スク リプ トおよびザイ リ ンクス Tcl Store の詳細は、 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 8] を参照してください。

    デザイン フローの概要 16UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.4;d=ug894-vivado-tcl-scripting.pdf;a=xXilinxTclStorehttps://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.4;d=ug894-vivado-tcl-scripting.pdf;a=xXilinxTclStorehttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=16

  • 第 2 章: 使用モデル

    プロジェク ト モード と非プロジェク ト モードVivado Design Suite には、 主にプロジェク ト モード と非プロジェク ト モードの 2 つの使用モデルがあ り ます。 プロジェク ト モード と非プロジェク ト モードは両方と も、 Vivado IDE または Tcl コマンド とバッチ スク リプ ト を介して開発および使用できますが、 Vivado IDE の方が Flow Navigator やグラフ ィカルなワークフロー インターフェイスなど、 プロジェク ト モードの利点の多く を生かす機能があ り ます。 Tcl コマンドは、 非プロジェク ト モードを実行する最も単純な方法です。

    プロジェク ト モードVivado Design Suite では、 プロジェク ト ベースのアーキテクチャの利点を生かして、 デザインがアセンブル、 インプリ メン ト 、 およびステート管理されます。 これは、 「プロジェク ト モード」 と呼ばれます。 プロジェク ト モードでは、 デザイン フローおよびデザイン データが Vivado ツールによ り自動的に管理されます。

    ヒン ト : プロジェク ト モードの主な利点は、 Vivado Design Suite で依存性管理、 レポート生成、 データ保存など、 デザイン プロセス全体が管理されるこ とです。

    プロジェク ト モードを使用する と、 ディ スク上にディレク ト リ構造が作成され、 ローカルまたはリモートでデザイン ソース ファ イルが管理され、 ソース ファ イルへの変更およびアップデートが管理されます。

    重要: Windows などオペレーティング システムによっては、 ファ イル パスおよびファイル名に字数制限 (256 文字など) があ り ます。 使用しているオペレーティング システムにそのよ うな制限がある場合は、 プロジェク ト をド ライブのルートの近くに保存して、 ファ イル パスおよびファイル名がなるべく短くなるよ うにして ください。

    プロジェク ト構造は、 合成およびインプリ メンテーシ ョ ン run およびそのステータスを管理し、 合成およびインプ リメン トの結果とレポート を保存するためにも使用されます。 次は、 その例です。

    • 合成後に HDL ソースを変更した場合、 現在の結果が最新でないと認識され、 再合成する必要があるこ とを示すメ ッセージが表示されます。

    • デザイン制約を変更した場合、 再合成するか、 再インプリ メン トするか、 両方を実行するかを尋ねる メ ッセージが表示されます。

    • 配線が完了する と、 タイ ミ ング、 DRC、 設計手法、 消費電力などのレポートが自動的に生成されます。

    • Vivado IDE で 1 回ク リ ッ クするだけでデザイン フロー全体を実行できます。

    プロジェク トの使用方法は、 第 3 章 「プロジェク ト モードの使用」 を参照して ください。

    非プロジェク ト モードまたは、 イン メモ リ コンパイル方法を使用する と、 ソースやデザイン プロセスをユーザーが管理できます。 この方法は、 「非プロジェク ト モード」 と呼ばれます。 非プロジェク ト モードでは、 Tcl コマンドまたはスク リプ ト を使用してデザイン ソースおよびデザイン プロセスをユーザーが管理します。 主な利点は、 フローの各段階をユーザーが完全に制御できる点にあ り ます。

    非プロジェク ト モードを使用する場合、 ソース ファ イルがリ ビジ ョ ン管理システムなどの現在のディ レク ト リから読み出され、 デザインがメモ リ内でコンパイルされます。 各デザイン段階は、 Tcl コマンドを使用して個別に実行できます。 Tcl コマンドを使用しても、 デザイン パラ メーターと インプリ メンテーシ ョ ン オプシ ョ ンを設定できます。

    デザイン フローの概要 17UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=17

  • 第 2 章: 使用モデル

    デザイン プロセスのどの段階でも、 デザイン チェッ クポイン ト を保存し、 レポート を生成できます。 各インプリ メンテーシ ョ ン段階は特定の設計課題を満たすよ うに調整でき、 各段階後に結果を解析できます。 また、 どの段階で

    も Vivado IDE を開いて、 デザインを解析したり制約を設定したりできます。

    非プロジェク ト モードでは、 各デザイン手順は Tcl コマンドを使用して制御されます。 次は、 その例です。

    • 合成後に HDL ファ イルを変更した場合、 合成を再実行してメモリ内のネッ ト リ ス ト をアップデートするのはユーザーの責任です。

    • 配線後のタイ ミ ング レポートが必要な場合は、 配線が完了した後にユーザーがタイ ミ ング レポート を生成する必要があ り ます。

    • デザイン パラ メーターおよびインプリ メンテーシ ョ ン オプシ ョ ンは、 Tcl コマンドおよびパラ メーターを使用して設定します。

    • デザイン プロセスのどの段階でも、 Tcl を使用してデザイン チェッ クポイン ト を保存し、 レポート を生成できます。

    デザイン フローを進めて行く と、 デザインは Vivado Design Suite でメモ リ内に保持されます。 非プロジェク ト モードでは、 各セッシ ョ ン後にイン メモ リ デザインが削除され、 指定したデータのみがディ スクに書き込まれます。 非プロジェク ト モードの詳細は、 第 4 章 「非プロジェク ト モードの使用」 を参照してください。

    機能の違い

    プロジェク ト モードでは、 Vivado IDE でデザインの履歴が管理され、 デザインに関する情報が保存されますが、 機能の多くは自動化されているので、 デフォルト フローではユーザーの制御できるこ とは少なくな り ます。 たとえば、各 run に対してレポート ファ イルの標準セッ トのみが生成されます。 ただし、 Tcl コマンドまたはスク リプ ト を使用する と、 プロジェク ト モードでツールのフローおよび機能をカスタマイズできます。

    次の自動化機能は、 プロジェク ト モードを使用した場合にのみ使用できます。

    • そのままで使えるデザイン フロー

    • 使いやすいプッシュボタン インターフェイス

    • カスタマイズのための優れた Tcl スク リプ ト言語

    • ソース ファイルの管理およびステータス

    • 標準レポートの自動生成

    • ツール設定およびデザイン設定の保存および再利用

    • 複数の合成およびインプ リ メンテーシ ョ ン run の試行

    • run 結果の管理およびステータス

    非プロジェク ト モードは、 どちらかと言えばコンパイル手法であ り、 Tcl コマンドで実行されるすべてのアクシ ョ ンをユーザーが制御できます。 これは、 制御およびバッチ プロセスの必要な特定の設計者向けの完全にカスタマイズ可能なデザイン フローです。 すべての処理はメモ リ内で実行され、 ファ イルやレポートは自動的には生成されません。 このため、 デザインをコンパイルするたびに、 ソースの定義、 ツールおよびデザイン設定パラ メーターの設定、

    すべての Tcl コマンドの実行、 必要なレポート ファ イルの生成を実行する必要があ り ます。 これには、 Tcl スク リプト を使用できます。 ディ スク上にプロジェク トは作成されないので、 ソース ファ イルは元の場所に配置されたままになり、 デザイン出力は指定したと きにのみ指定した場所に作成されます。 この方法では、 Tcl コマンドの優れた機能をすべて活用でき、 デザイン プロセス全体を完全に制御できます。 ユーザーの多くが、 ツールとデザイン データの操作にこのバッチ コンパイル スタイル手法を使用しています。

    デザイン フローの概要 18UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=18

  • 第 2 章: 使用モデル

    表 2-1 に、 プロジェク ト モード と非プロジェク ト モードの機能の違いを示します。

    コマンドの違い

    Tcl コマンドはモードによって異なり、 作成される Tcl スク リプ ト もモードによって異なり ます。 非プロジェク ト モードの場合、 ツール オプシ ョ ンの設定、 インプリ メンテーシ ョ ン コマンドの実行、 レポートの生成、 デザイン チェッ クポイン トの書き込みなどの Tcl コマンドをそれぞれ実行する必要があ り ます。 プロジェク ト モードの場合は、 合成、 インプ リ メンテーシ ョ ン、 およびレポートに対してラ ッパー コマンドが使用されます。

    たとえば、 プロジェク ト モードの場合、 プロジェク トにソースを追加するには、 add_files という Tcl コマンドを使用します。 ソースは、 プロジェク ト ディレク ト リ構造内で別のバージ ョ ンを保持するためにプロジェク トにコピーしたり、 リモートから参照したりできます。 非プロジェク ト モードの場合、 read_verilog、 read_vhdl、read_xdc などの read_* Tcl コマンドを使用して、 現在のディレク ト リから さまざまなタイプのソースを読み出すこ とができます。

    プロジェク ト モードの場合は、 launch_runs コマンドを使用する と、 設定済みのス ト ラテジを使用してツールを起動し、 標準レポート を生成できます。 これによ り、 インプリ メンテーシ ョ ン コマンド、 標準レポート、 run ス ト ラテジの使用、 run ステータスの管理が一括で実行されます。 デザイン プロセスの前後にカスタムの Tcl コマンドを実行するこ と もできます。 run 結果は、 自動的にプロジェク ト内に保存されて管理されます。 非プロジェク ト モードの場合、 opt_design、 place_design、 および route_design などの各コマンドを実行する必要があ り ます。

    多くの Tcl コマンドは、 レポート コマンドのよ うにどちらのモードでも使用できますが、 プロジェク ト モードまたは非プロジェク ト モードのいずれかでしか使用できないものもあ り ます。 スク リプ ト を作成する際は、 どちらかのモードでしか使用できないコマンドを混合しないよ うに注意してください。 たとえば、 プロジェク ト モードを使用する場合は、 非プロジェク ト モードでしか使用できない synth_design のよ うなコマンドを使用しないよ うにします。 プロジェク ト モードで非プロジェク ト モード用のコマンドを使用する と、 データベースでステータス情報がアップデート されず、 レポートが自動的に生成されなくな り ます。

    ヒン ト : プロジェク ト モードには GUI 操作が含まれ、 ほとんどの場合に Tcl コマンドが実行されます。 Tcl コマンドは Vivado IDE の Tcl コンソールに表示され、 vivado.jou ファ イルにも保存されます。 このファイルを使用して、どちらのモードでも使用できるよ う なスク リプ ト を開発するこ と も可能です。

    表 2-1: プロジェク ト モード と非プロジェク ト モードの機能の違い

    フローの要素 プロジェク ト モード 非プロジェク ト モード

    デザイン ソース ファ イルの管理 自動 手動

    フロー ナビゲーシ ョ ン ガイ ド 手動

    フローのカスタマイズ Tcl コマンドで制限なし Tcl コマンドで制限なし

    レポート 自動 手動

    解析段階 デザインおよびデザイン チェッ クポイン ト

    デザインおよびデザイン チェックポイン ト

    デザイン フローの概要 19UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=19

  • 第 2 章: 使用モデル

    図 2-3 に、 プロジェク ト モード と非プロジェク ト モードの Tcl コマンドの違いを示します。

    X-Ref Target - Figure 2-3

    図 2-3: プロジェク ト モード と非プロジェク トモードのコマンド

    create_project …add_files …import_files ……

    launch_run synth_1wait_on_run synth_1open_run synth_1report_timing_summary

    launch_run impl_1wait_on_run impl_1open_run impl_1report_timing_summary

    launch_run impl_1 –to_step_write_bitstreamwait_on_run impl_1

    read_verilog …read_vhdl …read_ip …read_xdc …read_edif ……

    synth_design …report_timing_summarywrite_checkpoint

    opt_designwrite_checkpointplace_designwrite_checkpointroute_designreport_timing_summarywrite_checkpoint

    write_bitstream

    GUI Tcl Script Tcl Script

    Project Mode Non-Project Mode

    X12974

    デザイン フローの概要 20UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=20

  • 第 2 章: 使用モデル

    サードパーティ デザイン ソフ トウェア ツールの使用ザイ リ ンクスでは、 複数のサードパーティ デザイン ツールのサプライヤーと提携しています。 次のソフ ト ウェア ソリ ューシ ョ ンには、 合成およびシ ミ ュレーシ ョ ン ツールのみが含まれます。

    ロジック合成の実行

    Vivado Design Suite では、 Synopsys 社および Mentor Graphics 社から提供されるザイ リ ンクス FPGA ロジッ ク合成ツールの使用がサポート されています。 Vivado Design Suite では、 構造 Verilog または EDIF 形式の合成済みのネッ ト リ スト をインポート して、 インプ リ メンテーシ ョ ンに使用できます。 また、 Vivado Design Suite では、 ロジッ ク合成ツールから出力される制約 (SDC または XDC) を使用するこ と もできます。

    ザイ リ ンクス IP およびブロッ ク デザインすべてで Vivado 合成が使用されます。 メモ リ IP、7 シ リーズ デバイスなどを除き、 ザイ リ ンクス IP または IP インテグレーター ブロ ッ ク デザインにサードパーティ合成を使用するこ とはサポート されていません。 詳細は、 IP のデータシート を参照して ください。

    ロジック シミ ュレーシ ョ ンの実行Mentor Graphics 社、 Cadence 社、 Aldec 社、 およびSynopsys 社から提供されるロジッ ク シ ミ ュレーシ ョ ン ツールは、Vivado IDE に統合されており、 直接起動できます。 また、 これらのサポート されているすべてのサードパーティ ロジッ ク シ ミ ュレータ用にネッ ト リ ス ト も出力できます。 Vivado Design Suite では、デザイン フローのどの段階でも完全な Verilog または VHDL ネッ ト リ ス ト をエクスポート して、 サードパーティ シ ミ ュレータで使用できます。 また、標準遅延フォーマッ ト (SDF) でインプリ メンテーシ ョ ン後の遅延を含む構造型ネッ ト リ ス ト をエクスポート して、サードパーティのタイ ミ ング シ ミ ュレーシ ョ ンで使用するこ と もできます。 Vivado Design Suite では企業ユーザー向けにもスク リプ トが生成されます。 スク リプ トおよびコンパイルされたライブラ リ を使用して、 企業ユーザーは Vivado Design Suite 環境なしでシ ミ ュレーシ ョ ンを実行できます。

    ビデオ: 詳細は、 Vivado Design Suite QuickTake ビデオ: Vivado で Cadence IES を使用したシ ミ ュレーシ ョ ンおよびVivado Design Suite QuickTake ビデオ: Vivado で Synopsys VCS を使用したシ ミ ュレーシ ョ ンを参照してください。

    注記: ザイ リ ンクス IP には、 RTL ソースを Verilog または VHDL 形式のいずれかのみで提供されるものがあ り ます。合成後、 いずれかの言語で構造型ネッ ト リ ス ト を作成できます。

    PCB 設計との関係I/O プランニング プロセスは、 高システム パフォーマンスを達成するために重要です。 プリ ン ト回路基板 (PCB) 設計者は通常、 PCB 上での FPGA デバイスの関係と向きを考慮する必要があ り、 高集積のボール グ リ ッ ド アレイ (BGA) デバイスの配線は、 PCB 設計者には最も困難な課題です。 重要なインターフェイス配線、 電源とレールの位置、 シグナル インテグ リティ も課題事項です。 FPGA 設計者と PCB 設計者が密に協力し合う こ とが、 こ ういった課題事項の解決につながり ます。 Vivado IDE を使用する と、 システム レベルのインターコネク ト を最適化するために、物理パッケージ ピンと内部ダイ パッ ド間の関係を視覚化できます。

    Vivado Design Suite には、 FPGA、 PCB、 システム デザインのそれぞれの領域間でデザイン情報を渡す方法が複数含まれます。 I/O ピン コンフ ィギュレーシ ョ ンは、 CSV スプレッ ドシート、 RTL ヘッダー、 または XDC ファ イルを使用して渡すこ とができます。 CSV スプレッ ドシートには、 長さを一致させた接続および電源接続などのさまざまな

    デザイン フローの概要 21UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/simulating-with-cadence-ies-in-vivado.htmlhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/simulating-with-synopsys-vcs-in-vivado.htmlhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/simulating-with-synopsys-vcs-in-vivado.htmlhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=21

  • 第 2 章: 使用モデル

    PCB デザイン タスクに使用可能な、 追加のパッケージおよび I/O 情報が含まれます。 IBIS (I/O Buffer Information Specification) モデルも Vivado IDE からエクスポート して、 PCB でのシグナル インテグ リ ティ解析に使用できます。

    詳細は、 次を参照してください。

    • 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ ク プランニング』 (UG899) [参照 13]

    • Vivado Design Suite QuickTake ビデオ: I/O プランニングの概要

    • Vivado デザイン ハブ: I/O およびクロ ッ ク プランニング

    デザイン フローの概要 22UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/i-and-o-planning-overview.htmlhttps://japan.xilinx.com/support/documentation-navigation/design-hubs/dh0007-vivado-pin-planning-hub.htmlhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=22

  • 第 3 章

    プロジェク ト モードの使用

    概要

    プロジェク ト モードの場合、 Vivado® Design Suite でプロジェク ト ディレク ト リ構造が作成され、 ソース ファ イル、制約、 IP データ、 合成およびインプリ メンテーシ ョ ン run の結果、 レポート などが自動的に管理されます。 Vivado Design Suite では、 ソース ファ イルのステータス、 コンフ ィギュレーシ ョ ン、 デザインの状態なども管理およびレポート されます。

    作成できるのは、 RTL ベースのプロジェク ト 、 または合成済みネッ ト リ ス ト ベースのプロジェク トです。 ネッ ト リス ト プロジェク トは、 サードパーティ合成ツールで主に使用され、 デザイン プロセスは合成後から管理されます。ネッ ト リ ス ト デザインを解析し、 制約を割り当てて管理し、 デザインをインプリ メン ト して解析し、 デバイスをプログラムしてデバッグしたら、 フロー全体でソース と出力を管理できます。

    Vivado IDE では、 Flow Navigator (24 ページの図 3-1) を使用する と、 合成およびインプリ メンテーシ ョ ンなどの定義済みデザイン フロー手順を起動できます。 [Generate Bitstream] をク リ ッ クする と、 最新のデザイン ソースを使用してデザインが合成およびインプ リ メン ト され、 その後ビッ ト ス ト リーム ファ イルが生成されます。 この環境では、プッシュボタン デザイン フローが提供されるほか、アドバンスなデザイン管理および解析機能も提供されます。 run はさまざまなインプ リ メンテーシ ョ ン コマンドを統合したラ ッパー Tcl スク リプ トによ り実行され、 自動的に標準レポートが生成されます。 さまざまな run ス ト ラテジを使用するこ とで、 配線密度およびタイ ミ ング ク ロージャなどのさまざまなデザインの問題点を見つけるこ とができます。 同時に複数のインプリ メンテーシ ョ ン run を実行して、 最適な結果になるかど うかを確認するこ と もできます。

    注記: run ス ト ラテジは、 プロジェク ト モードにのみで使用できます。 非プロジェク ト モードの場合、 すべての指示子およびコマンド オプシ ョ ンを手動で設定する必要があ り ます。

    プロジェク ト モードは Vivado IDE または Tcl コマンド /スク リプ ト を使用して実行できます。 プロジェク ト内で Vivado IDE と Tcl を切り替えて使用するこ と もできます。 Vivado IDE でプロジェク ト を開くか作成する と、 デザインの現在の状態、 run の結果、 生成されたレポートおよびメ ッセージが表示されます。 ソースの作成および変更、 制約の適用、 デバッグ情報の適用、 ツール設定の指定、 デザイン タスクの実行などが可能です。

    推奨: プロジェク ト モードを使用する と、 最も簡単に Vivado ツールとザイ リ ンクスの推奨事項を理解できます。

    Vivado には、 デザイン フローのさまざまな段階でデザインを開く こ とができるユニークな機能があ り ます。 RTL エラボレーシ ョ ン、 合成、 およびインプリ メンテーシ ョ ンの後にデザインを開き、 解析および制約の定義を実行でき

    ます。 デザインを開く と、 Vivado ツールでターゲッ ト デバイスに対してネッ ト リ ス ト と制約がコンパイルされ、Vivado IDE でデザインが表示されます。 デザインを開いたら、 さまざまな解析およびレポート機能を使用して、 異なる条件や観点からデザインを解析できます。 制約およびデザイン変更を適用して保存するこ と もできます。 詳細

    は、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 20] を参照してください。

    デザイン フローの概要 23UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=23

  • 第 3 章: プロジェク ト モードの使用

    X-Ref Target - Figure 3-1

    図 3-1: Vivado IDE の Flow Navigator

    X13346

    デザイン フローの概要 24UG892 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.comUG892 (v2016.4) 2016 年 11 月 30 日

    https://japan.xilinx.comhttps://japan.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG892&Title=Vivado%20Design%20Suite%20%26%2312518%3B%26%2312540%3B%26%2312470%3B%26%2312540%3B%20%26%2312460%3B%26%2312452%3B%26%2312489%3B%3A%20%26%2312487%3B%26%2312470%3B%26%2312452%3B%26%2312531%3B%20%26%2312501%3B%26%2312525%3B%26%2312540%3B%26%2312398%3B%26%2327010%3B%26%2335201%3B&releaseVersion=2016.4&docPage=24

  • 第 3 章: プロジェク ト モードの使用

    プロジェク ト モードの利点プロジェク ト モードには、 次のよ うな利点があ り ます。

    • プロジェク ト ステータス、 HDL ソース、 制約ファイル、 IP コア、 およびブロ ッ ク デザインが自動的に管理されます。

    • 合成およびインプ リ メンテーシ ョ ンの結果が生成されます。

    • インプ リ メンテーシ ョ ン結果から RTL ソース ファ イルへのクロス プローブなど、 高度なデザイン解析機能があり ます。

    • run ス ト ラテジによ り コマンド オプシ ョ ンの設定が自動化され、 標準レポート も自動的に生成されます。

    • 複数の run を作成してさまざまな制約およびコマンド オプシ ョ ンを設定および確認できます。

    プロジェク トの作成

    Vivado Design Suite では、 デザインの異なる目的に応じてさまざまなプロジェク ト タイプがサポート されています。たとえば、 RTL ソースやサードパーティ合成ツールからの合成済みネッ ト リ ス ト を使用してプロジェク ト を作成できるほか、 空の I/O プランニング プ