116
Vivado Design Suite ユーザー ガイド デザイン解析およびクロージャ テクニック UG906 (v2013.2) 2013 6 19

Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

  • Upload
    others

  • View
    11

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

Vivado Design Suite ユーザー ガイド

デザイン解析およびクロージャ テクニック

UG906 (v2013.2) 2013 年 6 月 19 日

Page 2: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 2UG906 (v2013.2) 2013 年 6 月 19 日

Notice of DisclaimerThe information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical Applications:http://www.xilinx.com/warranty.htm#critapps.© Copyright 2012-2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

本資料は英語版 (v2013.2) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあ り ます。日本語版は参考用と してご使用の上、 新情報につきましては、 必ず 新英語版をご参照ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、[email protected] までお知らせください。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

改訂履歴

日付 バージョ ン 改訂内容

2013 年 6 月 19 日 2013.2 • 図 1-28 をアップデート

• 39 ページの 「マ ト リ ッ クス セルの色分け」セクシ ョ ンに 「グレー : Max Delay DatapathOnly」 を追加

• 図 1-29 をアップデート

• 41 ページの 「[Common Primary Clock]」 をアップデート

• 78 ページの 「配線ステータス レポート 」 セクシ ョ ンをアップデート

Page 3: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 3UG906 (v2013.2) 2013 年 6 月 19 日

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章 : IDE を使用したデザイン解析概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

ロジッ ク解析機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

タイ ミ ング解析機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

インプリ メンテーシ ョ ン結果の解析機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

第 2 章 : レポートおよびメ ッセージ概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

IDE でのメ ッセージの表示および管理. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

Vivado で生成されるレポートおよびメ ッセージ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

デザインに関するレポートの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

第 3 章 : タイ ミ ング解析の実行概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

タイ ミ ングの 終確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

タイ ミ ング パス レポートの解釈 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

第 4 章 : デザイン クロージャ テクニッ ク概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

制約およびソースのチェッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

ツールのエフォート レベルの増加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

フロアプラン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

配線の変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114

付録 A : その他のリソースザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

リ ファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

Page 4: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 4UG906 (v2013.2) 2013 年 6 月 19 日

第 1 章

IDE を使用したデザイン解析

概要この章では、 Vivado® 統合設計環境 (IDE) でのデザイン解析の概要を説明します。 次の内容が含まれます。

• ロジッ ク解析機能

• タイ ミ ング解析機能

• インプリ メンテーシ ョ ン結果の解析機能

Vivado IDE の使用法およびビューの管理については、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』(UG893) [参照 1] を参照して ください。

ロジック解析機能このセクシ ョ ンでは、 次のロジッ ク解析機能について説明します。

• [Netlist] ビュー

• [Hierarchy] ビュー

• [Schematic] ビュー

• [Find] コマンドを使用したオブジェク トの検索

• デバイス使用率統計の解析

• DRC レポート

Page 5: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 5UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

[Netlist] ビュー

[Netlist] ビューには、 合成ツールで処理されたネッ ト リ ス トでのデザイン階層が表示されます。

.

合成設定によって、 ネッ ト リ ス ト の階層が元の RTL と 100% 同じであった り、 階層がなかった り します。 一般的には、合成ツールはデフォルトではほとんどのユーザー階層を保持しながらロジッ クを 適化し、小型で高速のネッ トリ ス ト を作成します。

合成ツールのデフォルト を使用する と、 ネッ ト リ ス トの階層は認識できますが、階層へのインターフェイスが変更されている場合があ り ます。 一部のピンや階層レベルがない場合もあ り ます。

階層の各レベルは、 その階層ツ リーを示します。 各レベルに、 次のものが表示されます。

• そのレベルのすべてのネッ ト を含む [Nets] フォルダー

• そのレベルにハードウェア プリ ミ ティブ インスタンスがある場合は [Leaf Cells] フォルダー

• そのレベルにインスタンシエート されている階層

ツ リーをたどる と、 すべてのブランチを表示できます。 セルの横に表示されているアイコンは、 デザインの状態を示します。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 1] の 「[Netlist] ビュー」 を参照してください。

階層の各レベルの [Instance Properties] ビューの [Statistics] タブには、次のよ うな リ ソース使用率統計が表示されます。

• その階層ブランチ全体のプリ ミ ティブの使用状況

• 階層の境界を横切るネッ トの数

• 階層で使用されるク ロッ ク

X-Ref Target - Figure 1-1

図 1-1 : [Netlist] ビュー

Page 6: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 6UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

デザインをフロアプランする場合、 Pblock に対して同様のプロパティが表示されます。

X-Ref Target - Figure 1-2

図 1-2 : [Instance Properties] ビュー

Page 7: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 7UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

[Hierarchy] ビュー

このビューでは、階層のリ ソースの使用量を理解できます。 [Hierarchy] ビューを開くには、 [Tools] → [Show Hierarchy]をク リ ッ ク します。

[Hierarchy] ビューには、 ネッ ト リ ス トの階層ツ リーが表示されます。 各行は、 ネッ ト リ ス ト内の階層レベルを示します。 下に行くほど、 ネッ ト リ ス トの下位階層になり ます。 各階層レベルは、 そのレベルのほかの階層に相対したサイズで表示されます。

図 1-3 の cpuEngine、 usbEngine0、 および usbEngine1 は、 次のよ うになっています。

• デザインのほとんどのロジッ クを占有します。

• どれもおよそ同じ数のリ ソースを使用します。

リ ソース使用率レポートには、 次のよ うな特徴があ り ます。

• デザインを リ ソース タイプごとに表示します。

• 各リ ソース タイプ別に階層レベルごとの使用率を表示します。

X-Ref Target - Figure 1-3

図 1-3 : [Hierarchy] ビュー

Page 8: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 8UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

リ ソース使用率レポート を表示するには、 [Tools] → [Report] → [Report Utilization] をク リ ッ ク します。

このデザインでは、2 つの usbEngine ブロ ッ クによ り RAMB36 および FIFO36 ブロ ッ クの大半が消費されています。プラス記号 (+) をク リ ッ クする と、 下位階層でのリ ソース使用率を表示できます。

X-Ref Target - Figure 1-4

図 1-4 : リソース使用率レポート

Page 9: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 9UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

[Schematic] ビュー

回路図は、 ネッ ト リ ス トのグラフ ィカル表示です。 回路図を表示する と、 次を実行できます。

• ネッ ト リ ス ト をグラフ ィカルに表示します。

• ゲート、 階層、 および接続を確認します。

• ロジッ ク コーンをたどったり展開したり します。

• デザインを解析します。

• デザインの内部をよ り理解しやすくな り ます。

エラボレート済みデザインの RTL レベルでは、 ツールでコードがどのよ うに解釈されたかを確認できます。 合成済みデザインおよびインプリ メン ト済みデザインでは、 合成ツールで生成されたゲート を確認できます。

回路図を表示するには、 [Tools] → [Schematic] をク リ ッ ク します。 オブジェク ト を選択していない場合は、 デザインの 上位のゲート、 階層、 および接続が表示されます。

Page 10: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 10UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

回路図の拡大/縮小および移動方法の詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 1] を参照して ください。

ヒン ト : 1 つの階層レベルを選択する と、 回路図がシンプルになり ます。 選択したエレ メン トが青色でハイライ ト され、 1 つの階層のポートが表示されます。

X-Ref Target - Figure 1-5

図 1-5 : 上位回路図

Page 11: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 11UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

回路図では、 次の操作を実行できます。

• 階層の左上にある [+] をク リ ッ ク してゲート を表示します。

• ポート またはエレ メン ト をダブルク リ ッ ク して展開します。

• ポップアップメニューを使用します。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 1] の 「[Schematic] ビュー」 を参照して ください。

• 前の表示に戻ったり次の表示に進んだりするには、 ツールバーの [Previous] (←) および [Next] (→) ボタンをクリ ッ ク します。

• ツールバーの [Expand All] ボタンをク リ ッ ク して、 ロジッ クおよび接続をよ り詳細に表示します。

• ツールバーの [Collapse All] をク リ ッ ク して、 回路図を簡略化します。

インプリ メンテーシ ョ ン後にタイ ミ ング パスのゲート を視覚的に確認するには、回路図が も簡単な方法です。パスを選択して回路図を開く と、 そのパスのゲート とネッ トが表示されます。

X-Ref Target - Figure 1-6

図 1-6 : 1 つの階層を選択した場合の回路図

Page 12: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 12UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

回路図で関連のある階層レベルを特定するには、 ポップアップ メニューから [Select Leaf Cell Parents] をク リ ッ ク します。

[Highlight] および [Mark] コマンドを使用する と、関連のゲートが見やすくな り ます。 [Highlight] および [Mark] コマンドを使用してプリ ミ ティブを色分け表示する と、 どのロジッ クが元のパスにあるか、 どのロジッ クが追加されたかなどがわかりやすくな り ます。

X-Ref Target - Figure 1-7

図 1-7 : タイ ミング パスを含む回路図

X-Ref Target - Figure 1-8

図 1-8 : タイ ミング パスの回路図でプリ ミテ ィブの親を選択

Page 13: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 13UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

[Find] コマンドを使用したオブジェク トの検索

Vivado IDE には、 優れた検索機能が含まれています。 検索機能を使用するには、 [Edit] → [Find] をク リ ッ ク します。

X-Ref Target - Figure 1-9

図 1-9 : 回路図でタイ ミング パスをマーク

X-Ref Target - Figure 1-10

図 1-10 : [Find] ダイアログ ボックス

Page 14: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 14UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

検索オブジェク ト

[Edit] → [Find] をク リ ッ ク して開いた [Find] ダイアログ ボッ クスから、 ネッ ト リ ス トで次のオブジェク ト を検索できます。

• インスタンス

• ネッ ト

• I/O ポート

• インスタンス ピン

• Pblock

• RPM

検索条件

各オブジェク トの検索では、 複数の検索条件を指定できます。 たとえば、 インスタンスでは次の検索条件を指定できます。

• タイプ

• セル タイプ

• ブラ ッ ク ボッ クス

• 次のよ うなプリ ミ ティブ

° I/O バッファー

° 演算ブロッ ク

° ブロッ ク メモ リ

° LUT

• 名前

• ステータス

• 親 Pblock

• モジュール

• プリ ミ ティブ数

• 属性

ほかにどんな検索条件があるか確認してみてください。

デバイス特定の検索条件

デバイス特定の検索条件は、 次のとおりです。

• アーク

• ノード

• BEL ピン

• BEL

• サイ ト ピン

• サイ ト

• タイル

• I/O バンク

• ク ロ ッ ク領域

Page 15: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 15UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

検索例

[Edit] → [Find] を使用する と、 たとえば次のものを検索できます。

• 未配置の I/O すべて

• ツールで配置されたグローバル クロ ッ ク

• ファンアウ トが 10,000 以上のネッ ト

• PREG エンベデッ ド レジスタを使用する DSP

複数の条件を使用した検索

複数の条件を指定して検索を実行するには、 次の手順に従います。

1. 初の検索条件を指定します。

2. [+] をク リ ッ ク します。

3. 検索条件を追加します。

4. 検索条件の演算子 (AND または OR) を選択します。

Tcl での検索

[Tcl Console] ビューまたはスク リプ トで実行している場合、 検索には get_* コマンドを使用します。

ヒン ト : Vivado IDE の下部に [Tcl Console] ビューがあ り、 GUI で実行された操作の Tcl コマンドが示されます。 [TclConsole] ビューに Tcl コマンドを入力して実行できます。

Tcl スク リプ トの詳細は、 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 2] を参照して ください。

Tcl コマン ドの詳細は、 『Vivado Design Suite Tcl コマン ド リ フ ァ レンス ガイ ド』 (UG835) [参照 7] を参照するか、「<command> -help」 と入力して ください。

デバイス使用率統計の解析

インプリ メンテーシ ョ ン問題のよ くある原因は、ピン配置によるロジッ クおよびデバイスのレイアウ トが考慮されていないこ とです。ほとんどのデバイスではスライス ロジッ クは均一ですが、次のよ う な特殊リ ソースがロジッ クの配置に影響します。

• I/O

• HP (High Performance) バンク

• HR (High Range) バンク

• MGT

• DSP48

• ブロッ ク RAM

• MMCM

• BUFG

• BUFR

Page 16: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 16UG906 (v2013.2) 2013 年 6 月 19 日

ロジック解析機能

特定の特殊リ ソースを多数消費するブロ ッ クは、デバイス全体に分散する必要がある場合があ り ます。デザインのほかの部分とのインターフェイスを設計する際、このこ とを考慮してください。次を組み合わせてブロ ッ ク リ ソースを確認します。

• report_utilization

• ネッ ト リ ス ト プロパティ

• Pblock プロパティ

DRC レポート

デザイン ルール チェッ ク (DRC) はデザインをチェッ ク し、 一般的な問題をレポート します。 DRC を実行するには、report_drc コマンドを使用します。 インプ リ メンテーシ ョ ンでは、 ツールで DRC が実行されます。 配置配線後には、 よ り完全で包括的な DRC が実行されます。

推奨 : DRC のク リ ティカル警告およびエラーをフローの初期段階で確認し、フローの後の方で問題が発生しないよ うにして ください。

合成済みデザインで [Report DRC] を実行する と、制約の適用されていない I/O に対してク リ ティカル警告が表示されます。 配線済みデザインでも、 ク リ テ ィ カル警告がレポート されます。 レポート を確認して ください。 ビッ ト ス トリーム生成の段階では、 同じ DRC でエラーがレポート されます。 DRC レポート を早めに確認し、 デザインで変更が必要な部分を特定するよ うにして ください。

X-Ref Target - Figure 1-11

図 1-11 : DRC によるクリテ ィ カル警告およびエラー

Page 17: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 17UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

タイ ミ ング解析機能このセクシ ョ ンでは、 次のタイ ミ ング解析機能について説明します。

• タイ ミ ング サマリ レポート

• [Report Timing Summary] ダイアログ ボッ クス

• タイ ミ ング サマリ レポートの詳細

• ク ロ ッ ク ネッ ト ワーク レポート

• ク ロ ッ ク関連性レポート

タイ ミ ング サマリ レポート

タイ ミ ング解析は、 合成後であればどの時点でも実行できます。 合成およびインプリ メンテーシ ョ ン run で自動的に生成されるタイ ミ ング サマリ レポート を確認できます。

合成済みデザインまたはインプリ メン ト済みデザインを メモリに読み込むと、次の方法でインタラ クティブなタイ ミング サマリ レポート を生成できます。

• Flow Navigator の [Synthesis] → [Synthesized Design] → [Report Timing Summary] をク リ ッ ク

• Flow Navigator の [Implementation] → [Implemented Design] → [Report Timing Summary] をク リ ッ ク

• メ イン メニューから [Tools] → [Timing] → [Report Timing Summary] をク リ ッ ク

同等 Tcl コマンド : report_timing_summary

report_timing_summary の詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 7] を参照して ください。

合成済みデザインでは、接続およびファンアウ トに基づいてネッ ト遅延が予測されます。 セルがユーザーによ り配置されている と、 その間のネッ ト遅延はよ り正確なものとな り ます。

インプリ メン ト済みデザインでは、ネッ ト遅延は実際の配線情報に基づいています。 終的なタイ ミ ングの確認には、完全に配線されたデザインを使用して ください。 デザインが完全に配線されているかど うかは、 配線ステータス レポートで確認できます。

[Report Timing Summary] ダイアログ ボックス

[Report Timing Summary] ダイアログ ボッ クスには、 次のタブがあ り ます。

• [Options] タブ

• [Advanced] タブ

• [Timer Settings] タブ

[Results name] は、 結果が [Timing] ビューに表示されるグラフ ィカル レポートの名前を指定します。 グラフ ィカル レポートには、 レポートのネッ トおよびセルから [Device] および [Schematic] ビュー、 デザイン ソース ファ イルにクロスプローブできる リ ンクがあ り ます。

[Results name] に名前を入力しない場合、 レポートは Tcl コンソールに表示され、 グラフ ィカル レポートは表示されません。

同等 Tcl オプシ ョ ン : -name

Page 18: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 18UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Options] タブ

[Report Timing Summary] ダイアログ ボッ クスの [Options] タブには、 次のセクシ ョ ンがあ り ます。

• [Report] セクシ ョ ン

• [Path Limits] セクシ ョ ン

• [Path Display] セクシ ョ ン

• [Report Timing Summary] ダイアログ ボッ クスのすべてのタブに共通のセクシ ョ ン

X-Ref Target - Figure 1-12

図 1-12 : [Report Timing Summary] ダイアログ ボックス : [Options] タブ

Page 19: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 19UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Report] セクシ ョ ン

[Report] セクシ ョ ンには、 次のオプシ ョ ンがあ り ます。

• [Path delay type]

実行する解析のタイプを設定します。 合成済みデザインの場合、 デフォルトでは 大遅延解析 (セッ ト アップ/ リカバ リ ) のみが実行されます。 インプ リ メ ン ト済みデザインの場合、 デフォル トでは 小/ 大遅延解析 (セッ トアップ/ホールド、 リ カバリ / リ ムーバル) の両方が実行されます。 小遅延解析 (ホールドおよびリ ムーバル) のみを実行する場合は、 [min] を選択します。

同等 Tcl オプシ ョ ン : -delay_type

• [Report unconstrained paths]

タイ ミ ング要件のないパスに関する情報を生成します。 このオプシ ョ ンは、 Vivado IDE ではデフォルトでオンになっていますが、 同等の Tcl コマンド report_timing_summary ではデフォルトでは使用されません。

同等 Tcl オプシ ョ ン : -report_unconstrained

• [Report datasheet]

49 ページの 「データシート レポート 」 で説明されているデザイン データシート を生成します。

同等 Tcl オプシ ョ ン : -datasheet

[Path Limits] セクシ ョ ン

[Path Limits] セクシ ョ ンには、 次のオプシ ョ ンがあ り ます。

• [Maximum number of paths per clock or path group]

ク ロ ッ ク ペアまたはパス グループごとにレポートするパスの 大数を指定します。

同等 Tcl オプシ ョ ン : -max_paths

• [Maximum number of worst paths per endpoint]

パスのエンドポイン ト ご とにレポートするワース ト パスの 大数を指定します。 これは、 ク ロ ッ ク ペアまたはパス グループごとのパスの 大数によって制限されます。

同等 Tcl オプシ ョ ン : -nworst

[Path Display] セクシ ョ ン

[Path Display] セクシ ョ ンには、 次のオプシ ョ ンがあ り ます。

• [Display paths with slack less than]

スラ ッ クが指定した値未満のパスをレポート します。 このオプシ ョ ンは、 サマ リ表には影響しません。

同等 Tcl オプシ ョ ン : -slack_lesser_than

• [Significant digits]

レポートに表示される値の精度を指定します。

同等 Tcl オプシ ョ ン : -significant_digits

Page 20: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 20UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Report Timing Summary] ダイアログ ボックスのすべてのタブに共通のセクシ ョ ン

次のオプシ ョ ンは、 [Report Timing Summary] ダイアログ ボッ クスのすべてのタブに共通です。

• [Command]

[Report Timing Summary] ダイアログ ボッ クスで指定されているオプシ ョ ン と同等の Tcl コマンド ラ インを表示します。

• [Open in a new tab]

結果を新しいタブに表示するか、 後に開いたタブを上書きするかを指定します。

• [Open in Timing Analysis layout]

[Timing Analysis] レイアウ ト を開きます。

レイアウ トの詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 1] を参照して ください。

[Advanced] タブ

X-Ref Target - Figure 1-13

図 1-13 : [Report Timing Summary] ダイアログ ボックス : [Advanced] タブ

Page 21: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 21UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Report Timing Summary] ダイアログ ボッ クスの [Advanced] タブには、 次のセクシ ョ ンがあ り ます。

[Pins]

• [Show input pins in path]

推奨 : このオプシ ョ ンはオンにしてください。

同等 Tcl オプシ ョ ン : -input_pins

[File Output]

• [Write results to file]

結果を指定したファイルに書き込みます。 デフォルトでは、 レポートは Vivado IDE の [Timing] ビューに表示されます。

同等 Tcl オプシ ョ ン : -file

• [Overwrite]/[Append]

レポート をファ イルに記述する場合に、指定のファイルを上書きするか、新しい情報を既存のレポートの 後に追加するかを指定します。

同等 Tcl オプシ ョ ン : -append

[Miscellaneous]

• [Ignore command errors]

コマンドを メ ッセージを表示せずに実行します。 コマンド ライン エラーは無視され、 エラー メ ッセージは表示されません。 実行中にエラーが発生してもしな くても、 TCL_OK が返されます。

同等 Tcl オプシ ョ ン : -quiet

• [Suspend message limits during command execution]

メ ッセージの制限を一時的に解除し、 コマンドからのすべての出力を返します。

同等 Tcl オプシ ョ ン : -verbose

Page 22: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 22UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Timer Settings] タブ

タイマー設定を指定するには、 Vivado IDE のタイ ミ ング解析ダイアログ ボッ クス、 またはこのセクシ ョ ンに リ ス トされている Tcl コマンドのいずれかを使用します。

これらの設定は、 同じ Vivado IDE セッシ ョ ンで実行する合成およびインプ リ メンテーシ ョ ン以外のタイ ミ ング関連のコマンドに影響します。

タイマー設定はツール プリ ファレンス と しては保存されないので、新しいセッシ ョ ンごとにデフォルト値に戻り ます。

推奨 : デフォルト値を変更しないでください。 デフォルト値では、 も正確な遅延値が使用され、 タイ ミ ング解析の適用範囲が 大限になり ます。

X-Ref Target - Figure 1-14

図 1-14 : [Report Timing Summary] ダイアログ ボックス : [Timer Settings] タブ

Page 23: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 23UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

• [Interconnect]

ネッ ト遅延を 下位セル ピン間の予測配線距離に基づいて算出するか、実際に配線されたネッ ト を使用して算出するか、 またはタイ ミ ング解析から除外するかを指定します。

デフォルト では、 合成済みデザインの解析では [estimated] に、 インプ リ メン ト済みデザインの解析では [actual]に設定されます。

° [estimated]

未配置のセルの場合、 ネッ ト遅延値はド ライバーおよびロード、 ファンアウ トの特性に基づく 適な配置での遅延値になり ます。未配線の 下位セル ピン間のネッ トは、 タイ ミ ング パス レポートでは 「unplaced」 と示されます。

セルが配置済みでネッ トが未配線の場合、ネッ ト遅延はド ライバーと ロード間の距離およびファンアウ トによ り決ま り ます。 このネッ トは、 タイ ミ ング パス レポートでは 「estimated」 と示されます。

° [actual]

配線済みネッ トの場合、 ネッ ト遅延は配線されたインターコネク トの実際のハード ウェア遅延になり ます。このネッ トは、 タイ ミ ング パス レポートでは 「routed」 と示されます。

° [none]

タイ ミ ング レポートでインターコネク ト遅延は考慮されず、 ネッ ト遅延は 0 になり ます。

同等 Tcl コマンド : set_delay_model

• [Speed grade]

デバイスのスピード グレードを設定します。 デフォルトでは、 プロジェク ト を作成する際やデザイン チェ ッ クポイン ト を開く際に選択したデバイスに基づいて設定されます。

このオプシ ョ ンを使用する と、インプリ メンテーシ ョ ン フローをすべて再実行しな くても、別のスピード グレードで同じデザイン データベースのタイ ミ ングをレポートできます。

同等 Tcl コマンド : set_speed_grade

• [Multi-Corner Configuration]

指定したタイ ミ ング コーナーを解析するパス遅延のタイプを指定します。 有効な値は [none]、 [max]、 [min]、 および [min_max] です。 [none] に設定する と、 そのコーナーのタイ ミ ング解析は実行されません。

推奨 : 両方のコーナーでセッ ト アップ ( 大) およびホールド ( 小) 解析の両方を実行してください。

同等 Tcl コマンド : config_timing_corners

• [Disable flight delays]

I/O 遅延の算出にパッケージ遅延を追加しません。

同等 Tcl コマンド : config_timing_analysis

Page 24: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 24UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

タイ ミ ング サマリ レポートの詳細

タイ ミ ング サマリ レポートには、 次のセクシ ョ ンが含まれます。

• [General Information] セクシ ョ ン

• [Timer Settings] セクシ ョ ン

• [Design Timing Summary] セクシ ョ ン

• [Clock Summary] セクシ ョ ン

• [Check Timing] セクシ ョ ン

• [Intra-Clock Paths] セクシ ョ ン

• [Inter-Clock Paths] セクシ ョ ン

• [Path Groups] セクシ ョ ン

• [User-Ignored Paths] セクシ ョ ン

• [Unconstrained Paths] セクシ ョ ン

タイ ミ ング サマ リ レポートには、 Vivado IDE で生成可能な複数のレポート ([Report Clock Interaction]、 [Report PulseWidth]、 [Report Timing]、 [Check Timing]) の情報、 および report_clocks などの Tcl のみで生成可能なレポート と同様の情報が含まれますが、 制約の適用されていないパス (Unconstrained Paths) など、 このレポートにしか含まれない情報もあ り ます。

[General Information] セクシ ョ ン

タイ ミ ング サマリ レポートの [General Information] セクシ ョ ンには、 次の情報が含まれます。

• デザイン名

• 選択されたデバイス、 パッケージ、 スピード グレード (スピード ファ イル バージ ョ ン)

• Vivado Design Suite のバージ ョ ン

• 日付

• レポート を生成するために実行された同等の Tcl コマンド

[Timer Settings] セクシ ョ ン

レポートのタイ ミ ング情報を生成するのに使用された Vivado IDE タイ ミ ング解析エンジン設定の詳細を示します。

図 1-15 に、 [Timer Settings] セクシ ョ ンの例を示します。

• [Enable Multi-Corner Analysis]

マルチコーナー解析がイネーブルかど う かを示し ます。 各コーナーの解析でどの解析が実行されたかは、[Multi-Corner Configuration] に示されます。

• [Enable Pessimism Removal] および [Pessimism Removal Resolution]

各パスのソース ク ロ ッ クおよびデスティネーシ ョ ン ク ロ ッ クで共通ノードでのスキューが削除されているかどうかを示します。

注記 : これらの設定は、 常にイネーブルにする必要があ り ます。

• [Enable Input Delay Default Clock]

ユーザー制約のない入力ポートにデフォルトのヌル入力遅延制約を作成しているかど うかを示します。このオプシ ョ ンは、 デフォルトではオフになっています。

Page 25: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 25UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

• [Enable Preset / Clear Arcs]

非同期ピンを介したタイ ミ ング パスの伝搬がイネーブルかど うかを示します。 リ カバリ / リ ムーバル チェッ クには影響しません。 デフォルトではオフになっています。

• [Disable Flight Delays]

I/O 遅延の算出でパッケージ遅延がディ スエーブルかど うかを示します。

[Design Timing Summary] セクシ ョ ン

デザインのタイ ミ ングのサマ リ を示します。 ほかのセクシ ョ ンの結果が統合されています。

推奨 : [Design Timing Summary] セクシ ョ ンで、 配線後にすべてのタイ ミ ング制約が満たされたか、 デザインの現在のステータスを確認します。

[Design Timing Summary] セクシ ョ ンには、 次のセクシ ョ ンがあ り ます。

• [Setup] ( 大遅延解析)

• [Hold] ( 小遅延解析)

• [Pulse Width] (ピン スイ ッチ制限)

X-Ref Target - Figure 1-15

図 1-15 : タイ ミング サマリ レポート : [Timer Settings] セクシ ョ ン

X-Ref Target - Figure 1-16

図 1-16 : タイ ミング サマリ レポート : [Design Timing Summary] セクシ ョ ン

Page 26: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 26UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Setup] ( 大遅延解析)

大遅延解析 (セッ ト アップ、 リ カバリ、 およびデータ チェッ ク ) の結果を示します。

• [Worst Negative Slack (WNS)]

大遅延解析におけるすべてのタイ ミ ング パスの 悪のスラ ッ クを示します。この値は、正の場合と負の場合があ り ます。

• [Total Negative Slack (TNS)]

各タイ ミ ング パス エンドポイン トにおける 悪の違反を考慮した場合の、 WNS 違反の合計を示します。 この値は、 次のよ うにな り ます。

° 大遅延解析ですべてのタイ ミ ング制約が満たされている場合は 0ns になり ます。

° 違反がある場合は負の値になり ます。

• [Number of Failing Endpoints]

違反が発生している (WNS < 0ns) エンドポイン トの総数を示します。

• [Total Number of Endpoints]

解析されたエンドポイン トの総数を示します。

[Hold] ( 小遅延解析)

小遅延解析 (ホールド、 リ ムーバル、 およびデータ チェッ ク ) の結果を示します。

• [Worst Hold Slack (WHS)]

小遅延解析におけるすべてのタイ ミ ング パスの 悪のスラ ッ クを示します。この値は、正の場合と負の場合があ り ます。

• [Total Hold Slack (THS)]

各タイ ミ ング パス エンドポイン トにおける 悪の違反を考慮した場合の、 WHS 違反の合計を示します。 この値は、 次のよ うにな り ます。

° 小遅延解析ですべてのタイ ミ ング制約が満たされている場合は 0ns になり ます。

° 違反がある場合は負の値になり ます。

• [Number of Failing Endpoints]

違反が発生している (WHS < 0ns) エンドポイン トの総数を示します。

• [Total Number of Endpoints]

解析されたエンドポイン トの総数を示します。

[Pulse Width] (ピン スイッチ制限)

ピンのスイ ッチ制限に関する次のチェッ クの結果を示します。

• 小 Low パルス幅

• 小 High パルス幅

• 小周期

• 大周期

• 大スキュー (同じ下位セルの 2 つのクロ ッ ク ピン間)

Page 27: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 27UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

次の値がレポート されます。

• [Worst Pulse Width Slack (WPWS)]

小遅延および 大遅延を使用した上記のすべてのチェッ クにおける 悪のパルス幅スラ ッ クを示します。

• [Total Pulse Width Slack (TPWS)]

各タイ ミ ング パス エンドポイン トにおける 悪の違反を考慮した場合の、 WPWS 違反の合計を示します。 この値は、 次のよ うにな り ます。

° すべてのタイ ミ ング制約が満たされている場合は 0ns になり ます。

° 違反がある場合は負の値になり ます。

• [Number of Failing Endpoints]

違反が発生している (WPWS < 0ns) エンドポイン トの総数を示します。

• [Total Number of Endpoints]

解析されたエンドポイン トの総数を示します。

[Clock Summary] セクシ ョ ン

report_clocks コマンドを実行した場合と同様の情報が含まれます。

• create_clock コマンド、 create_generated_clock コマンド、 またはツールで自動的に生成されたクロ ックすべてが表示されます。

• 名前、 周期、 波形、 タイプ、 周波数要件などの各クロ ッ クのプロパティ も示されます。

ヒン ト : 名前のインデン トは、 マスター ク ロ ッ ク と生成クロ ッ クの関係を表します。

X-Ref Target - Figure 1-17

図 1-17 : タイ ミング サマリ レポート : [Clock Summary] セクシ ョ ン

Page 28: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 28UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Check Timing] セクシ ョ ン

不足しているタイ ミ ング制約や、制約に問題のあるパスに関する情報を示します。すべてのパス エンドポイン トに制約が設定されている必要があ り ます。

X-Ref Target - Figure 1-18

[Check Timing] セクシ ョ ンをスタンドアロンのレポート と して生成するには、 次のいずれかを実行します。

• [Tools] → [Timing] → [Check Timing] をク リ ッ ク します。

• Tcl の check_timing コマンドを実行します。

デフォルトで実行されるチェッ クは、 次のとおりです (図 1-18)。

• no_input_delay

入力遅延制約が設定されていない入力ポート をレポート します。

• no_output_delay

出力遅延制約が設定されていない出力ポート をレポート します。

• unconstrained_internal_endpoints

出力ポート を除く、 タイ ミ ング要件がないパスのエンドポイン ト をレポート します。 これは、 no_clock チェックでもレポート される不足しているク ロ ッ ク定義にも直接関係しています。

• no_clock

定義されたタイ ミ ング ク ロ ッ クが供給されていないク ロ ッ ク ピンをレポート します。 定数ク ロ ッ ク ピンもレポート されます。

図 1-18 : タイ ミング サマリ レポート : [Check Timing] セクシ ョ ン

Page 29: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 29UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

• multiple_clock

複数のタイ ミ ング ク ロ ッ クが到達するクロ ッ ク ピンをレポート します。 この状況は、 ク ロ ッ ク ツ リーにクロ ック マルチプレクサーがある場合に発生します。

• generated_clocks

同じク ロッ ク ツ リーにないマスター クロ ッ ク ソースを基準とする生成クロ ッ クをレポート します。

• loops

デザインで検出された組み合わせループをレポート します。 ループは、 タイ ミ ングをレポートするため VivadoIDE タイ ミ ング エンジンによ り自動的に分離されます。

• partial_input_delay

小入力遅延制約または 大入力遅延制約のどちらかしか設定されていない入力ポート をレポート します。これらのポートは、 セッ ト アップ解析とホールド解析の両方にはレポート されません。

• partial_output_delay

小出力遅延制約または 大出力遅延制約のどちらかしか設定されていない出力ポート をレポート します。これらのポートは、 セッ ト アップ解析とホールド解析の両方にはレポート されません。

• unexpandable_clocks

Vivado IDE タイ ミ ング エンジンで 1000 ク ロ ッ ク サイクル以上、共通乗数を見つけるこ とができなかったクロ ック ペアをレポート します。 これらのクロ ッ ク ペア間のパスのタイ ミ ングは確実に調整できず、 ク ロ ッ ク ペアを非同期と して処理する必要があ り ます。

• latch_loops

デザインにシーケンシャル フ ィードバッ ク ループがあるかど うかをチェッ クします。

制約定義の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] を参照して ください。

[Intra-Clock Paths] セクシ ョ ン

ソース ク ロ ッ ク とデステ ィネーシ ョ ン ク ロ ッ クが同じタイ ミ ング パスのワース ト スラ ッ クおよび合計違反を示します。

詳細情報を表示するには、左側のペインで [Intra-Clock Paths] の下の名前をク リ ッ ク します。各ク ロ ッ クのスラ ッ クおよび違反のサマリ、 および [Setup]、 [Hold]、 [Pulse Width] をク リ ッ ク してセッ ト アップ、 ホールド、 パルス幅チェックの N 個のワース ト パスに関する詳細を表示できます。

X-Ref Target - Figure 1-19

図 1-19 : タイ ミング サマリ レポート : [Intra-Clock Paths] セクシ ョ ン

Page 30: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 30UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

ワース ト スラ ッ ク値およびレポート されたパスの数は、 各解析タイプの右に表示されます (図 1-20)。

[Inter-Clock Paths] セクシ ョ ン

ソース ク ロ ッ ク とデステ ィネーシ ョ ン ク ロ ッ クが異なるタイ ミ ング パスのワース ト スラ ッ クおよび合計違反を示します (図 1-21)。

詳細情報を表示するには、左側のペインで [Inter-Clock Paths] の下の名前をク リ ッ ク します。各ク ロ ッ クのスラ ッ クおよび違反のサマリ、 および [Setup]、 [Hold]、 [Pulse Width] をク リ ッ ク してセッ ト アップ、 ホールド、 パルス幅チェックの N 個のワース ト パスに関する詳細を表示できます。

X-Ref Target - Figure 1-20

図 1-20 : タイ ミング サマリ レポート : [Intra-Clock Paths] セクシ ョ ンの詳細

X-Ref Target - Figure 1-21

図 1-21 : タイ ミング サマリ レポート : [Inter-Clock Paths] セクシ ョ ンの詳細

Page 31: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 31UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Path Groups] セクシ ョ ン

デフォルトのパス グループおよびユーザー定義のパス グループを表示します。

図 1-22 に、[Path Groups] セクシ ョ ンのサマ リ表の例を示します。この表を表示するには、左側のペインで [Path Groups]をク リ ッ ク します。

ヒン ト : **async_default** は、 Vivado IDE タイ ミ ング エンジンで自動的に作成されたパス グループで、 リ カバリ / リ ムーバルのよ うな非同期タイ ミ ング チェッ クで終わるすべてのパスが含まれます。これらのチェッ クは、[Setup]( 大遅延解析) および [Hold] ( 小遅延解析) に個別にレポート されます。 group_paths コマンドで作成したグループもすべてこのセクシ ョ ンに表示されます。 ソース ク ロ ッ クおよびデスティネーシ ョ ン クロ ッ クはどの組み合わせでも、 パス グループを構成できます。

[User-Ignored Paths] セクシ ョ ン

set_clock_groups および set_false_path 制約によ り、タイ ミ ング解析で無視されたパスを表示します。レポート されるスラ ッ クは無限です。

X-Ref Target - Figure 1-22

図 1-22 : タイ ミング サマリ レポート : [Path Groups] セクシ ョ ン

X-Ref Target - Figure 1-23

図 1-23 : タイ ミング サマリ レポート : [User-Ignored Paths] セクシ ョ ン

Page 32: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 32UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Unconstrained Paths] セクシ ョ ン

制約がないために、 タイ ミ ングが適用されていない論理パスを示します。 これらのパスは、 ソースおよびデスティネーシ ョ ン クロ ッ ク ペアごとに分類されます。パスの開始点または終点に関連付けられているク ロッ クがない場合、ク ロ ッ ク名情報は空 (NONE) になり ます。

タイ ミ ング パスの詳細の確認

ほとんどのセクシ ョ ンは、 展開してク ロ ッ ク ペアごとのパスの情報を表示できます。 [Setup]、 [Hold]、 [Pulse Width]サブセクシ ョ ンには、N 個のワース ト パスが表示されます。これらのパスのいずれかを選択する と、その詳細が [PathProperties] ビューの [Report] タブに表示されます。

パスをダブルク リ ッ クする と、 同じ詳細情報が新しいビューに表示されます。

タイ ミ ング パスの詳細は、 第 3 章 「タイ ミ ング解析の実行」 を参照して ください。

各パスをさらに解析するには、 次の手順に従います。

1. 右側のペインでパスを右ク リ ッ ク します。

2. ポップアップ メニューから次のいずれかをク リ ッ ク します。

° [Schematic] : パスの回路図を表示します。

° [Report Timing on Source to Destination] : パスのタイ ミ ング解析を再実行します。

° [Highlight] : [Device] および [Schematic] ビューでパスをハイライ ト します。

X-Ref Target - Figure 1-24

図 1-24 : タイ ミング サマリ レポート : [Unconstrained Paths] セクシ ョ ン

Page 33: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 33UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

違反のあるパスのみを表示

タイ ミ ングが満たされていないパスのスラ ッ ク値は、 レポート で赤で表示されます。 ツールバーの [Show onlyfailing paths] をク リ ッ ク してオンにする と、 これらの違反のみを表示できます。

図 1-25 に、 タイ ミ ング違反のあるパスのみを表示したタイ ミ ング サマリ レポートの例を示します。

X-Ref Target - Figure 1-25

図 1-25 : タイ ミング サマリ レポートで違反のあるパスのみを表示

Page 34: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 34UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

クロック ネッ トワーク レポート

[Report Clock Network] コマンドは、 次の方法で実行できます。

• Vivado IDE の Flow Navigator から

• 次の Tcl コマンドを実行

report_clock_networks -name {network_1}

[Report Clock Networks] を実行する と、 デザインのクロ ッ クがツ リー表示で示されます (図 1-26)。 各クロ ッ ク ツ リーにはソースからエンドポイン ト までのクロ ッ ク ネッ ト ワークが示され、エンドポイン トのタイプごと並べられます。

ク ロ ッ ク ツ リーは、 次のものを表示します。

• ユーザー定義またはツールで自動的に生成されたクロ ッ ク

• I/O ポートからロードまでのクロ ッ ク

注記 : 完全なツ リーの詳細は GUI のレポート でのみ表示されます。 このレポート のテキス ト版には、 ク ロ ッ クルートの名前のみが表示されます。

• BUFG を駆動する BUFG の検索に使用

• ク ロ ッ クでないロードを駆動するク ロ ッ ク

デザインで定義されている各プライマ リ クロ ッ クおよび生成クロ ッ クを含むフォルダーが表示されます。制約が適用されていないクロッ ク ルートは、 別のフォルダーに表示されます。

ツールバーの [Filter Ports]、 [Filter Net]、 [Filter Cell]、 [Filter Input Pins]、 [Filter Output Pins] ボタンを使用して、 ク ロ ック ツ リーに表示される情報を削減できます。

X-Ref Target - Figure 1-26

図 1-26 : クロック ネッ トワーク レポート

Page 35: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 35UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

ク ロ ッ ク パスの回路図を表示するには、 次の手順に従います。

1. ツ リーでオブジェク ト を右ク リ ッ ク します。

2. [Trace to Source] をク リ ッ ク します。

クロック関連性レポート

ク ロ ッ ク関連性レポート を表示するには、 次のいずれかのを実行します。

• メ イン メニューから [Tools] → [Timing] → [Report Clock Interaction] をク リ ッ ク

• Flow Navigator の [Synthesis] → [Synthesized Design] → [Report Clock Interaction] をク リ ッ ク

• Flow Navigator の [Implementation] → [Implemented Design] → [Report Clock Interaction] をク リ ッ ク

同等 Tcl コマンド : report_clock_interaction -name clocks_1

[Report Clock Interaction] ダイアログ ボックス

[Report Clock Interaction] ダイアログ ボッ クスには、 次のフ ィールド、 チェ ッ ク ボッ クス、 およびタブがあ り ます。

• [Results name] フ ィールド

• [Command] フ ィールド

• [Open in a new tab] チェッ ク ボッ クス

• [Options] タブ

• [Timer Settings] タブ

[Results name] フ ィールド

グラフ ィカル レポートの名前を指定します。

同等 Tcl オプシ ョ ン : -name

[Command] フ ィールド

[Report Clock Interaction] ダイアログ ボッ クスで指定されているオプシ ョ ンと同等の Tcl コマンド ラインを表示します。

[Open in a new tab] チェ ッ ク ボックス

結果を新しいタブに表示するか、 後に開いたタブを上書きするかを指定します。

Page 36: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 36UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Options] タブ

[Report Clock Interaction] ダイアログ ボッ クスの [Options] タブには、 次のフ ィールドがあ り ます。

• [Delay type]

• [Significant digits]

• [File Output] セクシ ョ ン

• [Command] フ ィールド

• [Open in a new tab] チェッ ク ボッ クス

[Delay type]

実行する解析のタイプを設定します。

• 合成済みデザインの場合、 デフォルトでは 大遅延解析 (セッ ト アップ/ リ カバリ ) のみが実行されます。

• インプリ メン ト済みデザインの場合、 デフォルトでは 小/ 大遅延解析 (セッ ト アップ/ホールド、 リ カバリ / リムーバル) の両方が実行されます。

小遅延解析 (ホールドおよびリ ムーバル) のみを実行する場合は、 [min] を選択します。

同等 Tcl オプシ ョ ン : -delay_type

[Significant digits]

レポート される値の有効桁数を指定します。 デフォルト値は 3 です。

同等 Tcl オプシ ョ ン : -significant_digits

X-Ref Target - Figure 1-27

図 1-27 : [Report Clock Interaction] ダイアログ ボックス : [Options] タブ

Page 37: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 37UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[File Output] セクシ ョ ン

[File Output] セクシ ョ ンには、 次のオプシ ョ ンがあ り ます。

• [Write results to file]

結果を指定したファイルに書き込みます。Vivado IDE では、レポートは [Clock Interaction] ビューに表示されます。

同等 Tcl オプシ ョ ン : -file

• [Overwrite]/[Append]

レポート をファ イルに記述する場合に、指定のファイルを上書きするか、新しい情報を既存のレポートの 後に追加するかを指定します。

同等 Tcl オプシ ョ ン : -append

[Timer Settings] タブ

このタブの詳細は、 22 ページの 「[Timer Settings] タブ」 を参照して ください。

Page 38: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 38UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

クロック関連性レポートの詳細

ク ロ ッ ク関連性レポートは、ある クロ ッ ク ド メ イン (ソース クロ ッ ク ) から別のクロ ッ ク ド メ イン (デスティネーシ ョン クロ ッ ク ) へのタイ ミ ング パスを解析します。データ損失やメ タステーブル状態などの問題を特定するのに役立ちます。

[Report Clock Interaction] コマンドを実行する と、結果が [Clock Interaction] ビューに表示されます。 ク ロ ッ ク関連性レポートには、 信号のソース ク ロ ッ ク ド メ インを縦軸に、 デスティネーシ ョ ン ク ロ ッ ク ド メ インを横軸に、 ク ロ ッ クド メ インのマ ト リ ッ クスが表示されます。 図 1-28 を参照して ください。

X-Ref Target - Figure 1-28

図 1-28 : クロッ ク関連性レポート

Page 39: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 39UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

マ ト リ ックス セルの色分け

マ ト リ ッ ク スのセルは、 次のよ う に色分けされます。 セルの色は、 [Tools] → [Options] をク リ ッ ク して表示される[Vivado Options] ダイアログ ボッ クスの [Colors] ページの [Graphical Editors] の下で指定されている背景色によって異なり ます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 1] を参照して ください。色の凡例を非表示にするには、 [Clock Interaction] ビューのツールバーで [Show/Hide Legend] (?) をク リ ッ ク します。

• 黒 : No Path

ソース ク ロ ッ クからデスティネーシ ョ ン ク ロ ッ クにド メ インをまたがるタイ ミ ング パスが存在しないこ とを示します。 ク ロ ッ クに関連性はなく、 何もレポート されません。

• 緑 : Timed

ソース ク ロ ッ ク とデスティネーシ ョ ン ク ロ ッ クに同期関係があ り、 タイ ミ ング制約が正し く設定されていることを示します。 この状態は、 2 つのクロ ッ クのプライマ リ クロ ッ クが共通しており、 ク ロ ッ ク周期が単純な比である場合に、 タイ ミ ング エンジンによ り判断されます。

• 紺色 : User Ignored Paths

ソース ク ロ ッ ク からデステ ィ ネーシ ョ ン ク ロ ッ クに ド メ イ ンを またがるパスすべてにユーザー定義のfalse_path またはクロ ッ ク グループ制約が適用されているこ とを示します。

• 水色 : Partial False Path

ソース ク ロ ッ ク からデステ ィ ネーシ ョ ン ク ロ ッ クに ド メ イ ンを またがるパスの一部にユーザー定義のfalse_path が適用されており、 ソース クロ ッ ク とデスティネーシ ョ ン ク ロ ッ クに同期関係があるこ とを示します。

• 赤 : Timed (Unsafe)

ソース ク ロ ッ ク とデスティネーシ ョ ン ク ロ ッ クが非同期であるこ とを示します。 プライマ リ ク ロ ッ クが共通でないか、周期の公倍数を見つけるこ とができません。非同期クロ ッ クおよび周期の公倍数がないクロ ッ クの詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] を参照して ください。

• オレンジ色 : Partial False Path (Unsafe)

[Timed (Unsafe)] と同じですが、 ソース クロ ッ クからデスティネーシ ョ ン ク ロ ッ クへの少なく と も 1 つのパスがフォルス パス例外のため無視されているこ とを示します。

• グレー : Max Delay Datapath Only

ソース ク ロ ッ クからデステ ィ ネーシ ョ ン ク ロ ッ クに ド メ イ ンを またがるパスすべてに set_max_delay-datapath_only が適用されているこ とを示します。

重要 : マ ト リ ッ クスのセルの色は、ク ロ ッ ク ド メ イン間の制約の状態を表しており、クロ ッ ク ド メ イン間のタイ ミ ング パスのワース ト スラ ッ クの状態を表すものではあ り ません。 緑色のセルはタイ ミ ングが満たされているこ とを示しているのではなく、 ク ロ ッ ク ド メ イン間のタイ ミ ング パスに正し く制約が適用されており、 ク ロ ッ ク間に既知の位相関係があるこ とを示します。

Page 40: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 40UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

表示されるクロッ クの選択

[Clock Interaction] ビューに表示されるクロ ッ クを選択するには、 次の手順に従います。

1. ツールバーの [Clock Interaction View Layers] をク リ ッ ク します。

2. 表示するクロッ クをオンにします。

これによ り、表示されるクロ ッ ク数は減り ますが、 マ ト リ ッ クスの下の表にレポート されるク ロ ッ ク関連性の数は減り ません。 図 1-29 を参照して ください。 また、 ツールバーの [Hide unused clocks] ボタンをク リ ッ クする と、デザインの論理パスに直接供給されないク ロ ッ クの表示/非表示を切 り 替える こ と ができ ます。 これらの ク ロ ッ クはWNS/TNS/WHS/THS の算出には使用されないので、 デフォルトでは非表示になっています。

クロック ペアのスラッ クを示す表

マ ト リ ッ クスの下の表には、ソース /デスティネーシ ョ ン クロ ッ ク ペアに対するセッ ト アップ/ リ カバリ またはホールド / リ ムーバルのタイ ミ ング スラ ッ クの概要が示されます。 ワース ト パスのパス要件、共通プライマ リ クロ ッ ク、 および制約の状態に関する有益な情報も表示されます (図 1-28)。 この表には、マ ト リ ッ クスには示されない詳細な情報が表示されます。

データの並べ替え

表のデータは、 列ヘッダーを 1 回ク リ ッ クする と昇順に、 も う 1 回ク リ ッ クする と降順に並べ替えられます。

セルおよび行の選択

マ ト リ ッ クスでセルを選択する と、 下の表で対応する行が選択されます。

表で行を選択する と、 上のマ ト リ ッ クスで対応するセルがハイライ ト されます。

X-Ref Target - Figure 1-29

図 1-29 : [Clock Interaction] ビューのレイヤー

Page 41: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 41UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

表の列

表には、 次の列があ り ます。

• [Id]

表示されているソース /デスティネーシ ョ ン クロ ッ ク ペアの識別番号を示します。

• [Source Clock]

パスが開始するク ロッ ク ド メ インを示します。

• [Destination Clock]

パスが終了するク ロッ ク ド メ インを示します。

• [Edges (WNS)]

大遅延解析 (セッ ト アップ/ リ カバ リ ) のワース ト ネガティブ スラ ッ クの算出に使用されたクロ ッ ク エッジを示します。

• [WNS]

ク ロ ッ ク ド メ イン間のさまざまなパスのワース ト ケースのスラ ッ クを表示します。 負のスラ ッ ク値は、 パスでセッ ト アップまたはリ カバリ タイム違反が発生しているこ とを示します。

• [TNS]

クロ ッ ク ド メ イン間のパスに属するすべてのエンドポイン トにおけるワース ト スラ ッ ク違反の合計を示します。

• [Failing Endpoints (TNS)]

ク ロ ッ ク ド メ イン間のパスのタイ ミ ングが満たされていないエン ドポイン ト の数を示します。 違反の合計は、TNS に対応します。

• [Total Endpoints (TNS)]

ク ロ ッ ク ド メ イン間のパスのエンドポイン トの総数を示します。

• [Path Req (WNS)]

[WNS] 列にレポート されているパスのタイ ミ ング パス要件を示します。2 つのクロ ッ クの少なく と もどちらかで立ち上がりエッジおよび立下りエッジの両方がアクテ ィブである場合はク ロ ッ ク ペア間に複数のパス要件がある可能性があ り、 また 2 つのクロ ッ ク間のパスにタイ ミ ング例外が適用されている場合もあ り ます。 この列にレポート される値が、 も厳しい要件である とは限り ません。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] の 「タイ ミ ング チェ ッ クの基礎」を参照して ください。

• [Common Primary Clock]

タイ ミ ング パスのソース ク ロ ッ ク とデステ ィネーシ ョ ン ク ロ ッ クが共通のプラ イマ リ ク ロ ッ クで定義されているかど うかを示します。 タイ ミ ング パスのソース ク ロ ッ クまたはデスティネーシ ョ ン ク ロ ッ クのいずれかが仮想クロッ クを基準にしている場合、 [Common Primary Clock] フ ィールドに 「Virtual」 と表示されます。

• [Inter-Clock Constraints]

ソース クロ ッ ク とデスティネーシ ョ ン クロ ッ ク間のすべてのパスの制約サマリ を示します。可能な値は、 「マ トリ ッ クス セルの色分け」 に リ ス ト されています。 次は、 これらの制約の定義例です。

set_clock_groups -async -group wbClk -group usbClkset_false_path -from [get_clocks wbClk] -to [get_clocks cpuClk]

Page 42: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 42UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

小遅延解析も選択されている場合 (ホールド / リ ムーバル)、 次の列も表示されます。

• [Edges (WHS)]

ワース ト ホールド スラ ッ クの算出に使用されたクロ ッ ク エッジを示します。

• [WHS]

ク ロ ッ ク ド メ イン間のさまざまなパスのワース ト ケースのスラ ッ クを表示します。 負のスラ ッ ク値は、 パスでホールドまたはリ ムーバル タイム違反が発生しているこ とを示します。

• [THS]

小遅延解析 (ホールド / リ ムーバル) 用に、 ク ロ ッ ク ド メ イン間のパスに属するすべてのエンドポイン トにおけるワース ト スラ ッ ク違反の合計を示します。

• [Failing Endpoints (THS)]

ク ロ ッ ク ド メ イン間のパスのタイ ミ ングが満たされていないエン ドポイン ト の数を示します。 違反の合計は、THS に対応します。

• [Total Endpoints (THS)]

小遅延解析 (ホールド / リ ムーバル) 用に、 ク ロ ッ ク ド メ イン間のパスのエンドポイン トの総数を示します。

• [Path Req (WHS)]

[WHS] 列にレポート されているパスのタイ ミ ング パス要件を示します。 WNS と同様、 2 つのクロ ッ ク間の 小遅延解析用に複数のパス要件がある場合があ り、 この列にレポート される値が も厳しい要件である とは限り ません。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] の 「タイ ミ ング チェ ッ クの基礎」を参照して ください。

表で 1 つまたは複数のクロ ッ ク ペアを選択できます。 選択したソース /デスティネーシ ョ ン ク ロ ッ ク ペアのポップアップ メニューから、 [Report Timing] を実行できます。

表のエクスポート

[Export to Spreadsheet] を実行する と、 表を XLS ファ イルに出力し、 スプレッ ドシートで使用できます。

Page 43: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 43UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

パルス幅レポート

[Report Pulse Width] コマンドは、 各インスタンス ク ロ ッ ク ピンで 小周期、 大周期、 High パルス時間、 Low パルス時間の要件が満たされているかど うかをチェッ ク します。 また、 PCIe ク ロ ッ クなど、 インプ リ メン ト済みデザインの同じインスタンスの 2 つのクロ ッ ク ピン間で 大スキュー要件が満たされているかもチェッ ク します。

同等 Tcl コマンド : report_pulse_width

注記 : ISE® では、 このチェッ クはコンポーネン ト スイ ッチ制限と呼ばれています。 .

タイ ミ ング レポート

[Report Timing] を使用する と、 合成後の任意の段階で、 特定のタイ ミ ング パスを解析できます。 タイ ミ ング サマ リレポートでレポート されたタイ ミ ング問題を調査する場合や、特定のタイ ミ ング制約の有効性および適用範囲を確認する場合に使用できます。 [Report Timing] にはパルス幅レポートは含まれません。

[Report Timing] の実行

デザインがメモリに読み込まれていれば、 [Report Timing] は次から実行できます。

• メニュー

• ク ロ ッ ク関連性レポート

• タイ ミ ング レポートおよびタイ ミ ング サマリ レポートのパス リ ス ト

メニューからの [Report Timing] の実行

メニューから [Report Timing] を実行するには、 次の手順に従います。

1. [Tools] → [Timing] → [Report Timing] をク リ ッ ク します。

クロック関連性レポートからの [Report Timing] の実行

ク ロ ッ ク関連性レポートから [Report Timing] を実行するには、 次の手順に従います。

1. ソース クロ ッ ク とデスティネーシ ョ ン クロ ッ クのペアを選択します。

2. 右ク リ ッ ク します。

3. [Report Timing] をク リ ッ ク して選択したク ロ ッ ク間のレポート を生成します。

X-Ref Target - Figure 1-30

図 1-30 : パルス幅レポート

Page 44: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 44UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

パス リス トからの [Report Timing] の実行

パス リ ス トから [Report Timing] を実行するには、 次の手順に従います。

1. パスを選択します。

2. 右ク リ ッ ク します。

3. [Report Timing] をク リ ッ ク して選択したパスのレポート を生成します。

同等 Tcl コマンド : report_timing

[Report Timing] ダイアログボッ クスでオプシ ョ ンを設定する と、次の場所に同等の report_timing コマンド構文が表示されます。

• [Report Timing] ダイアログボッ クスの [Command] フ ィールド

• 実行後に [Tcl Console] ビュー

次のセクシ ョ ンで、 ダイアログ ボッ クスの各オプシ ョ ンについて説明し、 同等の report_timing コマンドのオプシ ョ ンを示します。

[Report Timing] ダイアログ ボッ クスのオプシ ョ ンは、[Report Timing Summary] ダイアログ ボッ クスのオプシ ョ ンとほぼ同じですが、 追加のフ ィルター オプシ ョ ンがいくつかあ り ます。

Page 45: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 45UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Targets] タブ

特定のパスまたはパスのグループのレポート を生成するため、いくつかのフ ィルター オプシ ョ ンがあ り ます。これらのフ ィルターは、 タイ ミ ング パスの構造に基づきます。

• [Start Points] ([From])

シーケンシャル セル ク ロ ッ ク ピン、 シーケンシャル セル、 入力ポート、 双方向ポート、 ソース ク ロ ッ クなど、開始点を リ ス ト します。

複数の開始点を リ ス ト した場合、それらのネッ ト リ ス ト オブジェク トのいずれかから開始するすべてのパスがレポート されます。

[Transition] では、 特定のソース クロ ッ ク エッジ (立ち上がり /立ち下がり ) を選択します。

同等 Tcl オプシ ョ ン : -from、 -rise_from、 -fall_from

X-Ref Target - Figure 1-31

図 1-31 : [Report Timing] ダイアログ ボックス : [Targets] タブ

Page 46: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 46UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

• [Through Point Groups] ([Through])

パスが通過するピン、 ポート、 組み合わせセル、 またはネッ トのリ ス ト を指定します。

複数のネッ ト リ ス ト オブジェク ト を リ ス トする と、 そのいずれかを通過するパスがレポート されます。

[More] ボタンをク リ ッ ク して複数の [Through] オプシ ョ ンを指定する と、 それらすべての通過点を指定した順に通過するパスをレポートできます。

[Transition] では、 特定のデータ エッジ (立ち上がり /立ち下がり ) を選択します。

推奨 : [Transition] はデフォルトのままにします。

同等 Tcl オプシ ョ ン : -through、 -rise_through、 -fall_through

• [End Points] ([To])

シーケンシャル セルの入力データ ピン、 シーケンシャル セル、 出力ポート、 双方向ポート、 デスティネーシ ョン クロ ッ クなど、 終点を リ ス ト します。

複数の終点を リ ス ト した場合、それらのネッ ト リ ス ト オブジェク トのいずれかで終了するすべてのパスがレポート されます。

[Transition] では、 通常特定のデータ エッジ (立ち上がり /立ち下がり ) を選択しますが、 デスティネーシ ョ ン クロ ッ クを指定した場合は、 特定のクロ ッ ク エッジを選択します。

同等 Tcl オプシ ョ ン : -to、 -rise_to、 -fall_to

図 1-31 では、 usbClk の立ち上がり ク ロ ッ ク エッジから、 u4cpuEngine/or1200_cpu/sprs_dataout[*] ネットのいずれかを通過し、 cpuClk または sysClk のいずれかのエッジで終了するパスを指定しています。

[Options] タブ

[Options] タブには、 次のオプシ ョ ンがあ り ます。

• [Report]

• [Path Limits]

• [Path Display]

[Report]

• [Path delay type]

17 ページの 「タイ ミ ング サマリ レポート 」 を参照してください。

• [Do not report unconstrained paths]

デフォル ト では、 [Targets] タブで指定されたパスに制約されている ものがない場合、 制約されていないパスがレポート されます。 制約されていないパスをレポート に含めないよ うにする場合は、 このオプシ ョ ンをオンにします。

同等 Tcl オプシ ョ ン : -no_report_unconstrained

Page 47: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 47UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Path Limits]

• [Number of paths per group]

17 ページの 「タイ ミ ング サマリ レポート 」 を参照してください。

• [Number of paths per endpoint]

17 ページの 「タイ ミ ング サマリ レポート 」 を参照してください。

• [Limit paths to group]

[Targets] タブで指定した条件に一致するパスで、 指定したタイ ミ ング パス グループに属するもののみをレポート します。 各ク ロ ッ クは、 グループに関連付けられています。 Vivado IDE タイ ミ ング エンジンでは、 デフォルト でグループが作成されます。 た と えば、 **async_default** は リ カバ リ または リ ムーバル タ イ ミ ングチェッ クで終了するすべてのパスのグループです。

同等 Tcl オプシ ョ ン : -group

[Path Display]

• [Display paths with slack greater than]

スラ ッ クが指定した値よ り大きいパスをレポート します。

同等 Tcl オプシ ョ ン : -slack_greater_than

• [Display paths with slack less than]

17 ページの 「タイ ミ ング サマリ レポート 」 を参照してください。

• [Significant digits]

17 ページの 「タイ ミ ング サマリ レポート 」 を参照してください。

• [Sort paths by]

レポート されたパスをグループごとに表示するか (デフォルト )、 スラ ッ ク順に表示するかを選択します。 [group]を選択する と、 各グループおよび各解析タイプ (-delay_type min|max|min_max) に対して N 個のワース トパスがレポート されます。

グループは、 ワース ト パス順に並べられ、 違反が 悪のグループがリ ス トの一番上に表示されます。

[slack] を選択する と、各解析タイプに対して N 個のワース ト パスがレポート され (すべてのグループを統合)、スラ ッ ク順に並べられます。

同等 Tcl オプシ ョ ン : -sort_by

[Advanced] タブ

17 ページの 「タイ ミ ング サマリ レポート 」 で説明されているオプシ ョ ンと同じです。

[Timer Settings] タブ

17 ページの 「タイ ミ ング サマリ レポート 」 で説明されているオプシ ョ ンと同じです。

Page 48: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 48UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

タイ ミ ング パスの詳細の確認

[OK] をク リ ッ クする と コマンドが実行され、 [Timing] ビューの新しいタブに結果が表示されます。 選択した各解析(min/max/min_max) に対して N 個のワース ト パスがレポート されます。

図 1-32 に、 大遅延解析および 小遅延解析の両方 (SETUP および HOLD) を選択し、 N = 4 に設定した場合のタイミ ング レポート を示します。

これらのパスのいずれかを選択する と、 その詳細が [Path Properties] ビューの [Report] タブに表示されます。

X-Ref Target - Figure 1-32

図 1-32 : タイ ミング レポートのパスのリス ト

X-Ref Target - Figure 1-33

図 1-33 : [Timing Path Properties] ビュー

Page 49: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 49UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

パスをダブルク リ ッ クする と、 同じ詳細情報が新しいビューに表示されます。

タイ ミ ング パスの詳細は、 第 3 章 「タイ ミ ング解析の実行」 を参照して ください。

各パスをさらに解析するには、 次の手順に従います。

1. 右側のペインでパスを右ク リ ッ ク します。

2. ポップアップ メニューから次のいずれかをク リ ッ ク します。

° [Schematic] : タイ ミ ング パスを回路図で表示します。

° [Report Timing on Source to Destination] : パスのタイ ミ ング解析を再実行します。

° [Highlight] : [Device] および [Schematic] ビューでパスをハイライ ト します。

違反のあるパスのみを表示

タイ ミ ングが満たされていないパスのスラ ッ ク値は、 レポートで赤で表示されます。 ツールバーの [Show only failingpaths] をク リ ッ ク してオンにする と、 これらの違反のみを表示できます。

データシート レポート

[Report Datasheet] コマンドを使用する と、システム レベルの統合で使用する FPGA デバイスの動作パラ メーターがレポート されます。

[Report Datasheet] ダイアログ ボックス

Vivado IDE で、[Tools] → [Timing] → [Report Datasheet] をク リ ッ ク し、[Report Datasheet] ダイアログ ボッ クス (図 1-34)を開きます。

Page 50: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 50UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Report Datasheet] ダイアログ ボックス : [Options] タブ

[Report Datasheet] ダイアログ ボッ クスの [Options] タブには、 次のオプシ ョ ンがあ り ます。

• [Results name]

表示される結果の名前を指定します。 レポートは、 [Timing] ビューに指定の名前で表示されます。

同等 Tcl オプシ ョ ン : -name

• [Sort by]

結果をポート名またはクロ ッ ク名順に並べ替えます。

同等 Tcl オプシ ョ ン : -sort_by

• [Report all process corners separately]

現在のデザインで定義されているすべてのプロセス コーナーのデータをレポート します。

同等 Tcl オプシ ョ ン : -show_all_corners

• [Significant digits]

レポート される値の有効桁数を指定します。 デフォルト値は 3 です。

同等 Tcl オプシ ョ ン : -significant_digits

X-Ref Target - Figure 1-34

図 1-34 : [Report Datasheet] ダイアログ ボックス : [Options] タブ

Page 51: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 51UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

• [Write results to file]

結果を指定したファイルに書き込みます。 デフォルトでは、 レポートは Vivado IDE の [Timing] ビューに表示されます。

同等 Tcl オプシ ョ ン : -file

• [Overwrite]/[Append]

レポート をファ イルに記述する場合に、指定のファイルを上書きするか、新しい情報を既存のレポートの 後に追加するかを指定します。

同等 Tcl オプシ ョ ン : -append

• [Ignore command errors]

コマンドを メ ッセージを表示せずに実行します。 コマンド ライン エラーは無視され、 エラー メ ッセージは表示されません。

実行中にエラーが発生してもしな くても、 TCL_OK が返されます。

同等 Tcl オプシ ョ ン : -quiet

• [Suspend message limits during command execution]

メ ッセージの制限を一時的に解除し、 コマンドからのすべての出力を返します。

同等 Tcl オプシ ョ ン : -verbose

• [Command]

[Report Datasheet] ダイアログ ボッ クスで指定されているオプシ ョ ンと同等の Tcl コマンド ラ インを表示します。

• [Open in a new tab]

結果を新しいタブに表示するか、 後に開いたタブを上書きするかを指定します。

• [Open in Timing Analysis layout]

[Timing Analysis] レイアウ ト を開きます。

Page 52: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 52UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

[Report Datasheet] ダイアログ ボックス : [Groups] タブ

[Report Datasheet] ダイアログ ボッ クスの [Groups] タブでは、基準ポートおよびレポートする追加のポート を指定するこ とによ り、解析用にポート をグループ化できます。グループを指定しない場合は、 ソース ク ロ ッ クに基づいて出力ポートのグループが自動的に検出され、 そのクロ ッ クに基づく スキューがレポート されます。

[Report Datasheet] ダイアログ ボッ クスの [Groups] タブには、 次のオプシ ョ ンがあ り ます。

• [Reference]

スキュー算出用の基準ポート を指定します。ほとんどの場合、 これはソース同期出力インターフェイスのク ロ ック ポートです。

同等 Tcl オプシ ョ ン : -group

• [Ports]

レポートする追加のポート を指定します。

• [More]

それぞれ基準ク ロ ッ ク ポート を持つ複数のグループを指定します。 [More] ボタンをク リ ッ クする と、 新しい基準ポート を含む新しいグループを定義できます。

• [Fewer]

ポートのグループを削除します。

X-Ref Target - Figure 1-35

図 1-35 : [Report Datasheet] ダイアログ ボックス : [Groups] タブ

Page 53: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 53UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

データシート レポートの詳細

General Information

デザインおよびザイ リ ンクス デバイスの詳細、 レポート生成時のツール環境を示します。

• Design

デザイン名

• Part

ターゲッ ト ザイ リ ンクス デバイス

• Speedfile

解析に使用されたスピードファ イルへのパス

• Version

レポートの生成に使用された Vivado ツールのバージ ョ ン

• Date

レポートが生成された日時

• Command

レポートの生成に使用されたコマンド ラ イン オプシ ョ ン

Setup/Hold for Input Ports

各入力ポートの基準クロッ クに対するワース ト ケースのセッ ト アップおよびホールド要件を示します。入力データのキャプチャに使用された内部クロ ッ ク もレポート されます。

Max/Min Delays for Output Ports

各出力ポートの基準クロッ クに対するワース ト ケースの 大および 小遅延を示します。出力データの送信に使用された内部クロッ ク もレポート されます。

Setup between Clocks

各クロッ ク ペアに対し、 クロ ッ ク エッジのすべての組み合わせのワース ト ケースのセッ ト アップ要件を示します。

Setup/Hold for Input Buses

自動的に推論された入力バスのワース ト ケースのセッ ト アップおよびホールド要件を示します。バス全体のワース トケース データ ウ ィンド ウは、 大のセッ ト アップおよびホールド値の合計です。 入力ポートが制約されている場合は、 スラ ッ ク もレポート されます。

IDELAY が定義されている入力クロ ッ クに対しては、 適なタ ップ ポイン トがレポート されます。 適なタ ップ ポイン トは、 バランスのとれたセッ ト アップおよびホールド スラ ッ クを得るために IDELAY を設定するのに使用できます。

ソース オフセッ トは、 2 つのウ ィンド ウの差です。 初のウ ィンド ウは、 入力ポートのク ロ ッ クに対すセッ ト アップおよびホールド タイムで定義されます。 2 つ目のウ ィンド ウは、 入力遅延およびクロッ ク周期から算出されます。 入力クロッ クがこの値でオフセッ ト される と、 ウ ィ ンド ウの中央になり ます。

Page 54: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 54UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング解析機能

図 1-36 に、DDR 入力バス dq[0-7] を含み、ワース ト ケースのデータ ウ ィンド ウが 8.150ns であるデザインのレポート を示します。 理想的なクロ ッ ク オフセッ トは 0.179 ns です。 IDELAY の 適なタ ップ ポイン トは 13 です。 適なタップ ポイン トは、 次の Tcl コマンドを使用して適用できます。

set_property IDELAY_VALUE 13 [get_cells idelay_clk]

Max/Min Delays for Output Buses

自動的に推論された出力バスのワース ト ケースの 大および 小遅延を示します。 バス スキューもレポート されます。バス スキューの算出では、1 ビッ ト を基準と し、そのビッ トに対してほかのビッ トのオフセッ トが算出されます。ワース ト オフセッ トがバス全体のスキューとな り ます。

Max/Min Delays for Groups

DDR では、 出力スキューはフォワード ク ロ ッ クに対して必要です。 カスタム グループ レポートは、 基準ポート をフォワード ク ロ ッ ク ポート と して指定する と生成できます。 この表は [Max/Min Delays for Output Buses] セクシ ョ ンと似ていますが、 ソース オフセッ トおよびバス キューの算出に基準ポートが基準ビッ ト と して使用されます。

たとえば、 DDR 出力スキューの算出では、複数のビッ ト (rldiii_a[0-19]、rldiii_ba[0-3]、rldiii_ref_n、rldiii_we_n) をフォワード クロ ッ ク ポート (rldiii_ck_n[0]) に対してグループ化する場合、次のコマンドを使用します。

report_datasheet -group [get_ports {rldiii_ck_n[0] rldiii_a[*] rldiii_ba[*] rldiii_ref_n rldiii_we_n}]

グループ リ ス トの 初のポートが基準ピンです。

これらすべてのセク シ ョ ンで、 ワース ト ケースのデータはマルチコーナー解析から算出されます。-show_all_corners を使用する と、 ワース ト ケースのデータは各コーナーに対して個別にレポート されます。

X-Ref Target - Figure 1-36

図 1-36 : データシート レポートの [Setup/Hold for Input Buses] セクシ ョ ン

Page 55: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 55UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

インプリ メンテーシ ョ ン結果の解析機能このセクシ ョ ンでは、インプ リ メンテーシ ョ ン後のデザインを解析してデバイス内での動作を理解するための次の手法を説明します。

• 階層ブロッ クの配置の確認

• I/O

• 接続の表示

• ビュー間のクロスプローブ

• 詳細な配線の確認

[Design Runs] ビューの使用

[Design Runs] ビューには、 run の状態が表示されます。

詳細は、 『Vivado Design Suite ユーザー : インプ リ メンテーシ ョ ン』 (UG904) [参照 5] の 「[Design Runs] ビュー」 を参照して ください。

run が終了する と、 [Design Runs] ビューがに run が問題なく完了したか、 エラーが発生したかが示されます。

ヒン ト : run が 新でない場合は、 ポップアップ メニューから [Force Up-to-Date] をク リ ッ ク して、 ステータスを 新の状態にするこ とができます。

[Design Runs] ビューには、 次の情報が表示されます。

• [Name] : run の名前

• [Part] : ターゲッ ト デバイス

• [Constraints] : run に関連付けられている制約セッ ト

• [Strategy] : run のス ト ラテジ

• [Status] : run で 後に完了した段階のステータス

• [Progress] : run の進捗状況

• [Start] : run の開始時間

• [Elapsed] : 実行中の run に対しては経過時間、 完了している run に対しては 終的な実行時間

• [WNS]、 [TNS]、 [WHS]、 [THS]、 [TPWS] : run のタイ ミ ング値 (17 ページの 「タイ ミ ング サマリ レポート 」 を参照)。 これらの値から、 run がタイ ミ ングを満たしているかど うかをすばやく判断できます。 タイ ミ ングが満たされていない場合は、 タイ ミ ング サマリ レポート を使用して解析する必要があ り ます。

• [Failed Nets] : 配線できなかったネッ トの数

• [Description] : run ス ト ラテジの簡単な説明

Vivado IDE プロジェク ト フローを使用している場合は、[Messages] ビューでアクティブなインプ リ メンテーシ ョ ンの結果を確認します。 メ ッセージは、 フローの段階別にグループ化されています。 run のログ ファ イルに保存される情報およびメ インの Vivado セッシ ョ ンのログ ファ イルの情報は、 すべてこのビューに表示されます。

X-Ref Target - Figure 1-37

図 1-37 : [Design Runs] ビュー

Page 56: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 56UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

メ ッセージによっては、ファ イル名をク リ ッ クするこ とによ り、ソース ファ イルまたはメ ッセージに関係するデザイン オブジェク トにクロスプローブできるものもあ り ます。 メ ッセージからオブジェク トにクロスプローブするには、解析しているフローの段階によって、合成済みデザインまたはインプ リ メン ト済みデザインを開いておく必要があ ります。

配置解析

このセクシ ョ ンでは、 配置解析について説明します。 次の内容が含まれます。

• 配置のハイライ ト

• 接続の表示

• メ ト リ ッ クの表示

配置のハイライ ト

デザインの配置を確認する別の方法と して、セル配置の解析があ り ます。 この解析には、 [Highlight Leaf Cells] コマンドを使用します。

• [Netlist] ビューで解析する階層レベルを選択します。

• 右ク リ ッ ク して [Highlight Leaf Cells] をク リ ッ ク し、 色を選択します。

• 複数の階層レベルを選択している場合は、 [Cycle Colors] をク リ ッ ク します。

[Device] ビューで階層セルを構成する 下位セルが色表示されます。

X-Ref Target - Figure 1-38

図 1-38 : 段階ごとにグループ化されたメ ッセージ

Page 57: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 57UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

色分け表示によ り、 UsbEngine0 (黄色) が次のよ うであるこ とがわかり ます。

• ブロッ ク RAM および DSP48 セルを使用しています。

• DSP 以外は、 チップの上部のクロ ッ ク領域内にあ り ます。

• デザインのその他のロジッ ク (セル) とそれほど混じ り合っていません。

fftEngine (赤色) と cpuEngine (茶色) が混じ り合っているのがわかり ます。 この 2 つのブロ ッ クは、 主に異なるリ ソースを使用します (一方は DSP48、 も う一方はスライス)。 これらが混じ り合っているこ とで、 デバイスが 適に使用されます。

接続の表示

接続に基づいてデザインを解析する と有益な場合があ り ます。 ある入力、 ブロ ッ ク RAM、 または DSP のバンクで駆動されるすべてのロジッ クの配置を確認するには、 Show Connectivity コマンドを実行します。

このコマンドは、 複数のセルまたはネッ ト をシード と して、 別のタイプのオブジェク ト を選択します。

ヒン ト : この機能を使用して、 デザイン内のロジッ クのコーンを表示できます。

X-Ref Target - Figure 1-39

図 1-39 : 階層のハイライ ト

Page 58: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 58UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

図 1-40 では、デバイス内の OBUF を含むロジッ クを駆動するブロ ッ ク RAM が表示されています。合成プラグマにより、 メモ リの推論でブロッ ク RAM 内に出力フ リ ップフロ ップが配置されないよ うになっています。

X-Ref Target - Figure 1-40

図 1-40 : 接続の表示

Page 59: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 59UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

固定および未固定のロジック

次の 2 種類の配置があ り ます。

• ユーザーが配置したエレ メン ト (オレンジ色) は固定されます。

° 固定ロジッ クは、 XDC に保存されます。

° 固定ロジッ クには、 通常 LOC 制約が設定されています。 BEL 制約が設定されている場合もあ り ます。

• ツールで配置されたエレ メン ト (青色) は固定されません。

図 1-41 では、 I/O およびブロ ッ ク RAM の配置が固定されています。 スライス ロジッ クは固定されていません。

X-Ref Target - Figure 1-41

図 1-41 : 固定および未固定のロジック

Page 60: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 60UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

クロスプローブ

Vivado 合成を使用して合成されたデザインでは、 ネッ ト リ ス ト デザインがメモリに読み込まれていればソース ファイルにクロスプローブできます。

ク ロスプローブするには、 次の手順に従います。

1. ゲート を選択します。

2. 右ク リ ッ ク して [Go To Instantiation] をク リ ッ ク します。

ク ロスプローブ機能を使用して、ネッ ト リ ス ト ゲートに関連するソースを特定します。合成での変換によ り、デザインのすべてのゲート をソースにクロスプローブできる とは限り ません。

メ ト リ ックの表示

インプリ メンテーシ ョ ンが終了したら、デザインがデバイスでどのよ うに動作するかを確認できます。 Vivado IDE では、デバイス内のロジッ クおよび配線リ ソースの使用状況を調べるためのメ ト リ ッ クが複数あ り ます。メ ト リ ッ クは、[Device] ビューに指定した規則に従って色分けして表示されます。 メ ト リ ッ クを表示するには、 [Device] ビューを右ク リ ッ ク して [Metric] をク リ ッ ク し、 表示する メ ト リ ッ クを選択します。

X-Ref Target - Figure 1-42

図 1-42 : ソースへのクロスプローブ

Page 61: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 61UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

X-Ref Target - Figure 1-43

図 1-43 : メ ト リ ック

Page 62: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 62UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

配置済みデザインが必要なメ ト リ ック

次の 4 つのメ ト リ ッ クには、 配置済みデザインが必要です。 完全に配線されたデザインは必要あ り ません。

• [LUT Utilization per CLB] (CLB ごとの LUT の使用率)

配置された LUT の使用率に基づいて、 スライスを色分け表示します。

• [FF Utilization per CLB] (CLB ごとのフ リ ップフロ ップの使用率)

配置されたフ リ ップフロ ップの使用率に基づいて、 スライスを色分け表示します。

• [Vertical routing congestion per CLB] (CLB ごとの垂直方向の配線の密集度)

垂直方向の配線の使用状況をベス ト ケースで予測した結果に基づいて、 ファブ リ ッ クを色分け表示します。

• [Horizontal routing congestion per CLB] (CLB ごとの水平方向の配線の密集度)

水平方向の配線の使用状況をベス ト ケースで予測した結果に基づいて、 ファブ リ ッ クを色分け表示します。

配置のないネッ ト リス ト デザインでのメ ト リ ッ ク

Pblock が存在する場合、 2 つのメ ト リ ッ クがあ り ます。 これらは配置に依存しません。

• [LUT Utilization per Pblock] (Pblock ごとの LUT の使用率)

Pblock に含まれる LUT のスライスへの配置予測に基づいて、 Pblock を色分け表示します。

• [FF Utilization per Pblock] (Pblock ごとのフ リ ップフロップの使用率)

Pblock に含まれるフ リ ップフロ ップのスライスへの配置予測に基づいて、 Pblock を色分け表示します。

61 ページの図 1-43 に示すよ うに、同時に複数のルールを使用できます。CLB ごとの LUT 使用率と CLB ごとのフ リ ップフロップの使用率がオンになっています。

ヒン ト : デザインに使用率の高い部分や配線の密集度が高くなるこ とが予測される部分がある場合は、 RTL または配置制約を変更して、 その部分でのロジッ クおよび配線の使用率を削減して ください。

Page 63: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 63UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

配線解析

[Device] ビューのツールバーの [Routing Resources] ボタンをオンにする と、 配線リ ソースが表示されます。

配線配置の表示

配置配線は、 ズーム レベルによって表示が異なり ます。

• 縮小表示した場合

• 拡大表示した場合

ヒン ト : 2 種類の表示を使用するこ とによ り、 ランタイムと メモ リの使用量を 小限に抑えながら、 すべてのサイズでデザインの詳細が表示されるよ うになっています。

X-Ref Target - Figure 1-44

図 1-44 : 配線の表示

Page 64: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 64UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

縮小した場合の配線配置の表示

縮小する と、 表示は簡略化されたものになり ます。 この表示には、 次のよ うな特徴があ り ます。

• 配線が凝縮されたものになり ます。

• 特定の領域を通過する配線の数によって線の太さが変わり ます。

配置も同様に、 各タイルを表すブロ ッ クに、 配置されているロジッ クが表示されます。 タイルに含まれるロジッ クが多いほど、 タイルを表すブロ ッ クが大き くな り ます。

拡大した場合の配線配置の表示

拡大する と、 実際のロジッ ク セルおよび配線が表示されます。

X-Ref Target - Figure 1-45

図 1-45 : 簡略表示

X-Ref Target - Figure 1-46

図 1-46 : 詳細表示

Page 65: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 65UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

表示オプシ ョ ン

[Device] ビューをカスタマイズして、 デバイスおよびデザインをさまざまな方法で表示できます。 これらは、 ツールバーの [Device Options] をク リ ッ ク して設定します。

デザインおよびデバイスの異なる リ ソースの表示/非表示を切り替えたり、 表示色を変更したりできます。

[Device] ビューのナビゲーシ ョ ン

X-Ref Target - Figure 1-47

図 1-47 : [Device Options] の [Layers] タブ

X-Ref Target - Figure 1-48

図 1-48 : [Device] ビューのナビゲーシ ョ ン

Page 66: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 66UG906 (v2013.2) 2013 年 6 月 19 日

インプリ メンテーシ ョ ン結果の解析機能

[Device] ビューは、 次の機能を使用してナビゲートできます。

• ズーム操作

標準的な拡大 ([Zoom In])、 縮小 ([Zoom Out])、 および全体表示 ([Zoom Fit])

• [Auto Fit Selection]

[Device] 以外のビューで選択されたオブジェク ト を自動的に拡大表示します。 クロスプローブに便利です。

• [World] ビュー

[Device] ビューに現在表示されている部分がデバイス全体のどこかを表示します。 [World] ビューに表示される黄色のボッ クスを ド ラ ッグまたはサイズ変更する と、 [Device] ビューの表示を移動した りサイズ変更した りできます。

• Ctrl キー

Ctrl キーを押しながら ド ラ ッグする と、 表示箇所を移動できます。

Page 67: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 67UG906 (v2013.2) 2013 年 6 月 19 日

第 2 章

レポートおよびメ ッセージ

概要Vivado® 統合設計環境 (IDE) では、 さまざまな処理の実行中のデザインまたはデザイン プロセスのステートに関する情報がレポートおよびメ ッセージと して表示されます。レポートは、デザイン フローの主要な段階でユーザーまたはツールによ り生成され、 デザインに関する特定の情報を示します。

メ ッセージは、デザイン プロセスの各段階または多くのユーザー操作に対して、ツールによ り自動的に生成されます。

メ ッセージおよびレポートは、 Vivado IDE の下部の [Messages] ビューおよび [Reports] ビューに表示されます。

次のいずれかのコマンドを実行する と、 新しいプロセスが開始します。

• [Run Synthesis]

• [Run Implementation]

• launch_runs (Tcl)

注記 : Tcl コマンドの詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 7] を参照するか、「<command> -help」 と入力してください。

プロセスによ り メ ッセージおよびレポートが生成され、 run を リセッ トするまでディ スクに保持されます。 プロジェク トが開いている場合、 run に関連する メ ッセージが表示されます。 [Messages] ビューには、 アクティブ run に関するメ ッセージのみが表示されます。

レポートは、 Vivado IDE でのさまざまな操作によ り生成されます。

• デザインを読み込むと、 [Tools] メニューのコマンドを使用して異なるレポート を生成できるよ うにな り ます。

• 合成またはインプリ メンテーシ ョ ンを実行する と、合成レポート またはインプ リ メンテーシ ョ ン レポートが生成されます。

Page 68: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 68UG906 (v2013.2) 2013 年 6 月 19 日

IDE でのメ ッセージの表示および管理

IDE でのメ ッセージの表示および管理メ ッセージは、デザインの特定のエレメン トに関するステータス情報、 またはツール処理中に発生したエラーを示します。

ヒン ト : メ ッセージを確認し、 デザインに困難な部分や問題が発生している箇所がないかど うかを判断します。

[Reports] ビューの使用

[Reports] ビューには、 アクテ ィブな合成またはインプ リ メ ンテーシ ョ ン run に関するレポート が表示されます。 レポー ト をダブルク リ ッ クする と、 テキス ト エディ ターで開きます。 [Run Properties] ビューの [Reports] タブから、[Design Runs] ビューで選択している run のレポート を表示できます。

[Messages] ビューの使用

メ ッセージには、 次の 2 種類があ り ます。

• ディ スクに保存される メ ッセージ

• メモ リに保存される メ ッセージ

[Messages] ビューでは、 メ ッセージが生成された操作ごとにメ ッセージがグループ化されます。

このビューのツールバーのコマンド ボタンを使用して、 メ ッセージ ID またはファイルでメ ッセージをグループ化できます。

X-Ref Target - Figure 2-1

図 2-1 : [Reports] ビュー

Page 69: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 69UG906 (v2013.2) 2013 年 6 月 19 日

IDE でのメ ッセージの表示および管理

一部のメ ッセージにはファイルまたはデザイン エレ メン トへのハイパーリ ンクが含まれており、デバッグに役立ちます。 リ ンクをク リ ッ ク してソースを表示します。

ヒン ト : ポップアップ メニューから [Copy Message] をク リ ッ クする と、メ ッセージ全体をコピーして別のビューまたは文書に貼り付けるこ とができます。

各メ ッセージには、 メ ッセージ ID と メ ッセージの重要度が付けられています。

• メ ッセージ ID

メ ッセージ ID は異なる メ ッセージを識別するもので、 メ ッセージをグループ化または並べ替えできるよ う になっています。

• メ ッセージの重要度

メ ッセージの重要度は、 示されている情報の特性を示します。

デザインをエラボレート、 合成、 またはインプ リ メン トする前に解決しておく必要がある メ ッセージもあ り ますが、情報のみのメ ッセージもあ り ます。 情報メ ッセージは、 デザインまたはプロセスの詳細を示しますが、 それに対する操作は必要あ り ません。

推奨 : アクテ ィブ合成およびインプ リ メ ンテーシ ョ ン run からデザインを メモ リに読み込んだと きに、 すべてのエラーおよびク リテ ィカル警告を確認して ください。 メ ッセージには、 注意が必要な問題に関する情報が示されます。メ ッセージには解決策を示す長い説明が含まれているものもあ り、 メ ッセージ ID をク リ ッ クする と表示できます。

X-Ref Target - Figure 2-2

図 2-2 : [Messages] ビュー

表 2-1 : Vivado IDE のメ ッセージ

アイコン 重要度 メ ッセージ

ステータス デザイン プロセスに関する一般的なステータスを示します。

情報 デザイン プロセスに関する一般的なステータスおよびフ ィードバッ クを示します。

警告 制約または仕様が意図どおりに適用されていないために、 適なデザイン結果にならない可能性を示します。

ク リ テ ィ カル警告

ユーザー入力または制約に適用されなかったり、 適切な方法に従っていないものがあ り、 フローの後の方でエラーが発生する可能性が高いこ とを示します。 ソースおよび制約を確認して、 変更を加えるこ とを強くお勧めします。

エラー デザイン結果が使用できない状態にな り、 ユーザーが対処しないと回避されない問題を示します。 デザイン フローは停止します。

Page 70: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 70UG906 (v2013.2) 2013 年 6 月 19 日

IDE でのメ ッセージの表示および管理

たとえば図 2-3 に示すメ ッセージは、 プライマ リ ク ロ ッ ク制約がデザインにないポート を参照しているため ( 初の警告) 作成されず ( 初のク リティカル警告)、 このク ロ ッ クを参照する制約も作成されないこ とを示しています。

メ ッセージのフ ィルター処理

メ ッセージを重要度でフ ィルターできます。

特定のメ ッセージ タイプを表示または非表示にするには、 次の手順に従います。

1. [Messages] ビューに移動します。

2. ビューの上部にある メ ッセージの重要度のチェッ ク ボッ クスをオン (表示) またはオフ (非表示) にします。

特定のメ ッセージ ID の重要度を変更できます。 ク リ ティカルでないと思われる メ ッセージの重要度を下げたり、 より注意が必要と思われる メ ッセージの重要度を上げたりするこ とができます。

メ ッセージの重要度を変更するには、 set_msg_severity Tcl コマンドを使用します。 次に例を示します。

set_msg_severity "Common 17-81" "CRITICAL WARNING"

Tcl コマンドの詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 7] を参照して ください。

X-Ref Target - Figure 2-3

図 2-3 : エラーおよびクリティ カル警告の確認

Page 71: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 71UG906 (v2013.2) 2013 年 6 月 19 日

Vivado で生成されるレポートおよびメ ッセージ

Vivado で生成されるレポートおよびメ ッセージこのセクシ ョ ンでは、 Vivado で生成されるレポートおよびメ ッセージについて説明します。 次の内容が含まれます。

• 合成のレポートおよびメ ッセージ

• インプリ メンテーシ ョ ン ログ

• WebTalk レポート

合成のレポートおよびメ ッセージ

Vivado 合成レポートは Vivado 合成の主な出力で、 次の情報を含みます。

• 処理されたファイル

° VHDL

° Verilog

° SystemVerilog

° XDC

• セルごとのパラ メーター設定

• ド ラ イバーが複数あるネッ ト

• 駆動されていない階層ピン

• 適化情報

• ブラ ッ ク ボッ クス

• 終的なプリ ミ ティブ数

• 階層ごとのセルの使用量

• ランタイムおよびメモリ使用量

重要 : このレポート または [Messages] ビューでエラー、 ク リ ティカル警告、 および警告を確認して ください。 合成では、 フローの後の方で深刻となる可能性のある問題に対して、 ク リ ティカル警告または警告が生成されるこ とがあ ります。

インプリ メンテーシ ョ ン ログ

Vivado インプ リ メンテーシ ョ ン ログには、 次の情報が含まれます。

• 場所、 ネッ ト リ ス ト、 および使用された制約に関する情報

• ロジッ ク 適化タスク。小型で高速なネッ ト リ ス トが生成するため、デフォルトでロジッ ク 適化ルーチンが実行されます。

• 配置フェーズおよび配置後のタイ ミ ング予測 (WNS および TNS のみ)

• 配線フェーズおよび配線後のタイ ミ ング予測と タイ ミ ング サマリ (WNS、 TNS、 WHS、 および THS のみ)

• 各インプリ メンテーシ ョ ン コマンドおよびフェーズの経過時間と メモリ

このレポート または [Messages] ビューでエラー、 ク リ ティカル警告、 および警告を確認して ください。配置で生成された警告が、 フローの後の方でエラーとなる場合があ り ます。 個々の段階をそれぞれ実行した場合は、 後に実行した段階の結果のみが含まれます。

Page 72: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 72UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

重要 : タイ ミ ング サマリ レポートで、 パルス幅タイ ミ ング サマリおよびタイ ミ ング違反や不足している制約に関する情報を確認して ください。

WebTalk レポート

WebTalk レポートは、 ビッ ト ス ト リーム生成中に生成されます。 このレポートは、 ユーザーのザイ リ ンクス デバイスの使用に関する情報を収集します。 この情報は、ザイ リ ンクスでよ り よいツールを提供するために使用させていただきます。 機密情報は収集されません。 詳細は、 次のウェブサイ ト を参照して ください。

http://japan.xilinx.com/ise/webtalk/

デザインに関するレポートの作成このセクシ ョ ンでは、 デザインに関するレポートの作成について説明します。 次の内容が含まれます。

• リ ソース使用率レポート

• I/O レポート

• ク ロ ッ ク使用量レポート

• 制御セッ ト レポート

• DRC レポート

• 配線ステータス レポート

• SSN レポート

• 消費電力レポート

リソース使用率レポート

リ ソース使用率レポートは、 フローのさまざまな段階で report_utilization コマンドを使用して生成できます。このレポートには、 run に使用されたデバイス と、 次のエレ メン トの使用率が表示されます。

• スライス ロジッ ク

° LUT

° MuxFx

° レジスタ

• メモ リ

° ブロッ ク RAM

° FIFO

• DSP48E1

• I/O リ ソース

Page 73: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 73UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

• ク ロ ッ ク リ ソース

° BUFGCTRL

° BUFR

° BUFHCE

° MMCME2_ADV

° PLLE2_ADV

• 特定のデバイス リ ソース

° STARTUPE2

° XADC

• 使用数順に並べられたプリ ミ ティブ タイプの数

• ブラ ッ ク ボッ クス

• インスタンシエート されたネッ ト リ ス ト

Tcl コン ソールから実行する場合、 -cells オプシ ョ ンを使用して特定の階層セルの使用率をレポー ト できます。Vivado IDE GUI から実行した場合、 この情報は表で示されます。

ロジッ ク 適化コマンドでネッ ト リ ス トが変更されるこ とがあるので、これらの値はフローの段階によって異なる場合があ り ます。

I/O レポート

I/O レポートは、 ISE® の PAD レポートに置き換わるものです。 I/O レポートには、 次の情報がリ ス ト されます。

• Pin Number

デバイスに含まれるすべてのピンの番号

• Signal Name

ピンに割り当てられたユーザー I/O 名

• Pin Usage

ピンで使用されるパッ ドまたはバッファーのタイプ

• Pin Name

ピンの名前

• Direction

ピンの方向 (入力、 出力、 入出力、 または未使用)

• I/O Standard

ユーザー I/O の I/O 規格

アスタ リ スク (*) はデフォルト を示します。 これは、 Vivado IDE の [I/O Ports] ビューとは異なり ます。

• I/O Bank Number

ピンが配置されている I/O バンク

• Drive (mA)

駆動電流 (mA)

Page 74: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 74UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

• Slew Rate

バッファーのスルー レート (FAST または SLOW)

• Termination

オフチップ終端設定

• IOB Delay

ピンの遅延値

• Voltage

VCCO、 VCCAUX、 および関連のピンの値

• Constraint

ピンがユーザーによ り制約されている場合は 「FIXED」 と表示

• IOB Sequential Element

ポートの隣の I/O バンクにフ リ ップフロ ップがパッ ク されているか

• Signal Integrity

オフチップ終端設定

クロック使用量レポート

ク ロ ッ ク使用量レポートは、デバイス内のクロ ッ ク リ ソースの使用状況を解析するのに役立ちます。ク ロ ッ クの配置問題をデバッグする際に有益です。 ク ロ ッ ク使用量レポートには、 次の情報が含まれます。

• 使用可能、 使用済み、 および制約されているク ロ ッ ク プリ ミ ティブ数

• BUFG ごとのロードおよびスキュー

大遅延およびスキューの大きいネッ ト

• MMCM ごとのロードおよびスキュー

予測以上のロード数、 大遅延、 およびスキューのネッ ト

リージ ョナル クロック

リージ ョナル ク ロ ッ ク ネッ ト ワークは、 グローバル ク ロ ッ ク ネッ ト ワークからは独立しています。 グローバル クロ ッ ク とは異なり、 リージ ョナル ク ロ ッ ク信号 (BUFR) の範囲は 1 つのクロ ッ ク領域内に制限されます。 1 つの I/Oク ロ ッ ク信号が 1 つのバンクを駆動します。

これらのネッ ト ワークは、ソース同期インターフェイス デザインで特に有益です。ザイ リ ンクス 7 シ リーズ FPGA のI/O バンクのサイズは、 クロ ッ ク領域のサイズと同じです。

Page 75: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 75UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

ローカル クロック

汎用配線リ ソースに配線されるク ロ ッ ク ネッ ト ワークです。

推奨 : ローカル ク ロ ッ クの使用はできる限り避けてください。 ク ロ ッ ク スキューが大き くな り、 PVT の変動に影響されやすくな り ます。 インプ リ メンテーシ ョ ンを実行するたびに、 ク ロ ッ クの配線が異なるものになる可能性があ ります。

ク ロ ッ ク使用量レポートの [Locked] 列には、 ク ロ ッ クの配置が固定されているか、 ク ロ ッ ク リ ソースの配置に制限がないかが示されます。

グローバル ク ロ ッ ク数が多すぎる場合、 ファンアウ ト の小さいク ロ ッ クを BUFH または BUFR などのク ロ ッ ク リソースに移動するこ とを考慮して ください。

制御セッ ト レポート

制御セッ ト とは、 ク ロ ッ ク信号、 ク ロ ッ ク イネーブル信号、 およびセッ ト / リセッ ト信号の組み合わせです。 各スライスでは 1 つの制御セッ トがサポート され、 スラ イス内のすべてのフ リ ップフロ ップで使用できます。 異なる制御セッ トのフ リ ップフロップを同じスライスに配置するこ とはできません。

制御セッ ト レポートには、 デザインに含まれる固有の制御セッ ト数 (Number of unique control sets) と、 デザインの配置に基づく制御セッ ト の配置制限によ り使用できな く なったレジスタ サイ ト の 小数 (Minimum Number of registersites lost to control set restrictions) が表示されます。

• Clock Signal

論理クロッ ク信号名

• Enable Signal

論理クロッ ク イネーブル信号名

• Set/Reset Signal

論理セッ ト / リセッ ト信号名

• Slice Load Count

制御セッ トに接続されているセルに含まれるスライス数

• Bel Load Count

制御セッ トに接続されているセルの数

Page 76: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 76UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

DRC レポート DRC レポートは配線によ り生成されます。 配線実行の前に、 一般的なデザインの問題がチェッ ク されます。 レポートには、 run で使用されたチェッ クが リ ス ト されます。

重要 : ク リ ティカル警告メ ッセージを確認してください。 特定のチェッ クの重要度が、 フローの後の方で上がる可能性があ り ます。

[Report DRC] を使用する と、 一般的なデザインの問題およびエラーを確認するデザイン ルール チェッ クが実行されます。

エラボレート済みデザイン

I/O およびク ロ ッ ク配置に関する DRC が実行されます。 RTL ネッ ト リ ス ト には、 I/O バッ フ ァー、 ク ロ ッ ク バッファー、および合成済みデザインには含まれるその他のプリ ミ ティブがすべて含まれているわけではあ り ません。 エラボレート済みデザインの DRC では、 その後の DRC ほど多くのエラーはチェッ ク されません。

合成済みデザインおよびインプリ メン ト済みデザイン

• 合成済みネッ ト リ ス トに関する DRC が実行されます。

• I/O、 BUFG、 およびその他の配置がチェッ ク されます。

• MGT、 IODELAY などのプリ ミ ティブの配線に関する属性の基本的なチェッ クが実行されます。

• 同じ DRC が使用可能な配置配線を考慮して実行されます。

• DRC では、 情報、 警告、 ク リ ティカル警告、 およびエラーの 4 つの重要度が使用されます。 この時点では、 クリ ティカル警告およびエラーでデザイン フローが停止するこ とはあ り ません。

インプ リ メンテーシ ョ ンの段階でも DRC が実行されますが、 ク リ ティカルなポイン トでフローが停止するこ とがあり ます。配置配線で配置の妨げとなる問題がチェッ ク されます。特定のメ ッセージの重要度がフローの段階によって低い場合があ り ます。 重要度が低い場合、 opt_design、 place_design、 route_design が停止するこ とはあ りませんが、 ボード上で問題が発生する可能性があ り ます。

たとえば、 ユーザーによ りすべてのデザイン ポートのパッケージ ピン ロケーシ ョ ンおよび I/O 規格が手動で指定されているかをチェッ クする DRC があ り ます。不足している制約がある場合、place_design および route_designではク リティカル警告が表示されますが、 これらの DRC 違反は write_bitstream ではエラーとな り ます。 これらの制約がない状態ではデバイスはプログラムできません。

フローの初期段階で重要度を低く しているのは、 終的なピン配置が決定される前にデザインをインプ リ メ ンテーシ ョ ンまで実行できるよ うにするためです。 終的な検証となる包括的な DRC を実行するには、 ビッ ト ス ト リームを生成する必要があ り ます。

Page 77: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 77UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

図 2-4 に、 DRC レポート を表示する [DRC] ビューを示します。

DRC をク リ ッ クする と、 [Violation Properties] ビューにメ ッセージの詳細が表示されます。 [Detailed] タブをク リ ッ クして詳細を確認して ください。 多くのメ ッセージには、 ネッ ト、 セル、 およびポートへのハイパーリ ンクが含まれています。

DRC レポートは、デザインを変更したと きにダイナミ ッ クに変化しません。デザインを変更したら、 [Rerpot DRC] を再実行する必要があ り ます。 オブジェ ク ト を削除または移動した場合などの操作によ り リ ン クが無効になる場合、ツールでそれが判断されリ ンクが使用できな くな り ます。

リ ンクをク リ ッ クする とオブジェク トが選択されますが、 [Properties] ビューは更新されません。 オブジェク トのプロパティを表示するには、 オブジェク トの選択を一度解除してから選択し直す必要があ り ます。

Tcl で DRC レポート を作成するには、 次のコマンドを使用します。

report_drc

次のコマンドを使用する と、 結果をファイルに記述できます。

report_drc -file myDRCs.txt

ヒン ト : report_drc コマンドの詳細は、 「report_drc -help」 と入力して ください。

X-Ref Target - Figure 2-4

図 2-4 : DRC レポート

X-Ref Target - Figure 2-5

図 2-5 : [Violation Properties] ビュー

Page 78: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 78UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

配線ステータス レポート

配線ステータス レポートは、 インプ リ メ ンテーシ ョ ン中に生成され、 report_route_status Tcl コマンドを使用して生成できます。

配線ステータス レポートには、 デザインのネッ トに関する次の情報が含まれます。

• デザインに含まれる論理ネッ トの総数

° 配線リ ソースが不要なネッ トの数

- タイル外の配線リ ソースを使用しないネッ トの数 (CLB、 ブロ ッ ク RAM、 I/O パッ ドなど)

- ロードのないネッ トの数

° 配線リ ソースを不要とする配線可能なネッ トの数

- 未配線ネッ トの数

- 完全に配線されたネッ トの数

° 配線エラーのあるネッ トの数

- 未配線のピンがあるネッ トの数

- アンテナ/アイランドがあるネッ トの数

- リ ソースの競合があるネッ トの数

次に、 配線済みデザインの配線ステータス レポートの例を示します。

Design Route Status : # nets : ------------------------------------------- : ----------- : # of logical nets.......................... : 6137 : # of nets not needing routing.......... : 993 : # of internally routed nets........ : 993 : # of routable nets..................... : 5144 : # of fully routed nets............. : 5144 : # of nets with routing errors.......... : 0 : ------------------------------------------- : ----------- :

Page 79: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 79UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

SSN レポート

[Report Noise] コマンドは、 7 シ リーズ デバイスの同時スイ ッチ ノ イズ (SSN) 解析を実行します。 デフォル ト では、SSN レポートは Vivado IDE の下部の [Noise] ビューに表示されます。結果は CSV または HTML ファ イルにエクスポートできます。

SSN レポートには、 次の 4 つのセクシ ョ ンがあ り ます。

• [Summary] セクシ ョ ン

• [Messages] セクシ ョ ン

• [I/O Bank Details] セクシ ョ ン

• [Links] セクシ ョ ン

[Summary] セクシ ョ ン

次の情報を示します。

• レポートが生成された日時

• 解析されたポート数とその割合

• ステータス (問題が検出されたかど うか)

• ク リ ティカル警告、 警告、 および情報メ ッセージの数

[Messages] セクシ ョ ン

レポートの作成中に生成されたメ ッセージを示します。

[I/O Bank Details] セクシ ョ ン

ピン、 I/O 規格、 ノ イズ マージン値の残りなどの情報を示します。

X-Ref Target - Figure 2-6

図 2-6 : SSN 解析の実行

Page 80: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 80UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

[Links] セクシ ョ ン

http://japan.xilinx.com/support 上の関連の資料へのリ ンクを示します。

HTML 形式のレポート を生成するには、[Run SSN Analysis] ダイアログ ボッ クスでオプシ ョ ンを選択するか、次の Tclコマンドを使用します。

report_ssn -format html -file myImplementedDesignSSN.html

消費電力レポート 消費電力レポートは配線後に生成され、デバイスの現在の動作条件とデザインのスイ ッチング レートに基づく消費電力の詳細をレポート します。 消費電力解析には、 合成済みネッ ト リ ス ト または配置配線済みデザインが必要です。

• 動作条件を設定するには、 set_operating_conditions コマンドを使用します。

• スイ ッチング アクティビティを設定するには、set_default_switching_activity コマンドを使用します。

[Report Power] コマンドは、合成済みデザインまたはインプリ メン ト済みデザインを開いている と きに実行できます。

このレポートでは、 次のよ うなデザイン入力に基づいて消費電力が予測されます。

• ジャンクシ ョ ン温度、 周囲温度などの温度値

• ボード層の数、 ボードの温度など、 選択したボードに関するデータ

• デザインで使用されるエアフローおよびヒート シンク プロファイルのデータ

• 各種電源の FPGA デバイス電流要件のレポート

• 消費電力の分配を詳細に解析するこ とによ り、 ダイナミ ッ ク消費電力、 温度による消費電力の変動、 またはオフチップ消費電力を削減する消費電力節約ス ト ラテジを特定

• シ ミ ュレーシ ョ ン アクティビティ ファ イルを使用してよ り正確な消費電力予測が可能

X-Ref Target - Figure 2-7

図 2-7 : SSN レポート

Page 81: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 81UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

X-Ref Target - Figure 2-8

図 2-8 : [Report Power] ダイアログ ボックス

Page 82: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 82UG906 (v2013.2) 2013 年 6 月 19 日

デザインに関するレポートの作成

消費電力レポートの解析

[Report Power] ダイアログ ボッ クス (81 ページの図 2-8) を使用し、 次のものに基づいて消費電力を解析します。

• 設定

• 消費電力の合計

• 階層

• 電圧レール

• ブロッ ク タイプ

消費電力レポート と結果の解析の詳細は、『Vivado Design Suite ユーザー ガイ ド : 消費電力解析および 適化』 (UG907)[参照 6] を参照して ください。

デフォルトでは、 インプリ メンテーシ ョ ン プロセスの配線後にテキス ト形式の消費電力レポートが生成されます。

非プロジェク ト モードでの消費電力レポートの生成

非プロジェク ト モードでは、 link_design または synth_design コマンドを実行した後に report_power コマンドを使用して消費電力レポート を生成できます。使用可能な配置配線情報を使用して、 よ り正確な消費電力予測値が示されます。 Tcl コンソールまたはスク リプ トでこのレポート を生成するには、 report_power コマンドを使用します。

X-Ref Target - Figure 2-9

図 2-9 : 消費電力レポート

Page 83: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 83UG906 (v2013.2) 2013 年 6 月 19 日

第 3 章

タイ ミ ング解析の実行

概要Vivado® 統合設計環境 (IDE) には、 レポート を生成するコマンドが複数あ り、 デザインがタイ ミ ング制約を満たしており、 アプリ ケーシ ョ ン ボードに読み込む準備ができたかを確認できます。 タイ ミ ング サマリ レポートはタイ ミ ングの 終確認レポートであ り、 ISE® Design Suite の TRCE レポートに相当します。すべてのタイ ミ ング チェッ クの概要が示され、タイ ミ ング問題の解析およびデバッグを開始するのに十分な情報が提供されます。詳細は、第 1 章 「IDEを使用したデザイン解析」 を参照して ください。

このレポートは、 ビューに表示するか、 ファ イルに保存するか、 ログ ファ イルに出力できます。 タイ ミ ング サマ リレポートでタイ ミ ングが満たされていない、不足している制約があるなどの結果が示された場合、 レポートのさまざまなセクシ ョ ンに示される詳細を確認して、 よ り詳細な解析を実行します。

ほかのタイ ミ ング レポートでは、 特定の状況または特定のロジッ クに対するよ り詳細な情報が提供されます。

タイ ミ ングの 終確認タイ ミ ング解析の詳細を説明する前に、デザインがハードウェアで実行する準備ができたこ とが、タイ ミ ング レポートのどの部分に示されるかを知っておく こ とが重要です。

重要 : デザインが完全に配置配線されたら、 インプ リ メンテーシ ョ ン結果を解析してタイ ミ ングの 終確認をすることは必須です。

デフォルトでは、 Vivado Design Suite でプロジェク ト を使用する場合、 テキス ト形式のタイ ミ ング サマリ レポートが自動的に生成されます。このレポートは、インプ リ メンテーシ ョ ン後にメモ リにデザイン チェッ クポイン ト を読み込んで、 インタラ クティブなバージ ョ ンを生成するこ と もできます。

タイ ミ ングの 終確認の基準

タイ ミ ングの 終確認には、 次の 2 つの基準があ り ます。

• デザインが完全に制約されている

• デザインのタイ ミ ングが満たされている

Page 84: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 84UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミングの 終確認

デザインが完全に制約されている

デザインが完全に制約されているかを確認するには、 [Check Timing] セクシ ョ ンを参照します。 次を確認します。

• 定数でないクロッ ク ピンに定義されたクロ ッ クが供給されている (no_clock チェッ ク )。

• すべての内部パス エンドポイン トにタイ ミ ングが設定されている (unconstrained_internal_endpointチェッ ク )。

• 入力ポートおよび出力ポートがすべて制約されている (no_input_delay、 no_output_delay、partial_input_delay、 partial_output_delay チェッ ク )。

次の 2 つのチェッ クでレポート された問題は、 詳し く検証して解決する必要があ り ます。

• すべての生成クロッ クに正し く タイ ミ ングが設定されていない (generated_clock チェッ ク )。 この状況は、生成ク ロ ッ ク とマスター ク ロ ッ クの間に論理タイ ミ ング パスまたはアクテ ィブ タ イ ミ ング パスがない場合に発生します。 これはスキューの算出に影響し、 スラ ッ ク違反が発生する可能性があ り ます。

• デザインに組み合わせループが存在する (loops チェッ ク )。 組み合わせループはタイ ミ ング エンジンによ り ランダムな場所で分割されるため、 解析の適用範囲が削減されます。

後の 2 つのチェッ クは情報用であ り、 通常タイ ミ ングが不必要に悪く見積もられた状況を示します。

• ラッチを伝搬される組み合わせループが存在する (latch_loops チェッ ク )。 Vivado IDE タイ ミング エンジンではlatch_loops は分割されませんが、 借り るこ とができる 大時間を考慮するので、 ラッチ タイ ミ ング解析が不必要に悪く見積もられる可能性があります。

• ク ロ ッ ク ピンに存在するすべてのクロ ッ クが排他的でない (multiple_clocks チェッ ク )。 このチェッ クは、現実的でないタイ ミ ング パスにタイ ミ ングが適用されている状況を示しており、デザインのほかの部分には適切にタイ ミ ングが適用されている場合がほとんどなので、このチェッ クで問題が報告されないよ うにする必要は通常あ り ません。現実的でないタイ ミ ング パスによ り、インプリ メンテーシ ョ ン ツールによ り タイ ミ ング ク ロージャを達成するのが困難になる場合もあ り ます。

ヒン ト : 一部の不足制約はそのまま放置できますが、 デザインの 終確認の質は下がり ます。

デザインのタイ ミングが満たされている

• トータル ネガティブ スラ ッ ク (TNS) が 0ns である必要があ り ます。

これは、 大遅延解析 (セッ ト アップ/ リ カバリ ) に対応します。

• トータル ホールド スラ ッ ク (THS) が 0ns である必要があ り ます。

これは、 小遅延解析 (ホールド / リ ムーバル) に対応します。

• トータル パルス幅スラ ッ ク (TPWS) が 0ns である必要があ り ます。

これは、 ISE のコンポーネン ト ピン スイ ッチ制限と同等です。 大および 小遅延解析の両方で実行されます。

TNS、 THS、 および TPWS の合計が、 ISE の 終的なタイ ミ ング スコアに相当します。

Page 85: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 85UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミングの 終確認

タイ ミ ングに問題のないことの確認

図 3-1 に、 タイ ミ ングに問題のないこ とを確認するために 初に見る必要のある情報を緑で囲んで示します。

X-Ref Target - Figure 3-1

図 3-1 : タイ ミング サマリ レポートでのタイ ミングの 終確認

Page 86: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 86UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミングの 終確認

図 3-2 に、 テキス ト形式のレポートの [Check Timing] セクシ ョ ンを示します。

図 3-3 に、 テキス ト形式のレポートの [Design Timing Summary] セクシ ョ ンを示します。

X-Ref Target - Figure 3-2

図 3-2 : テキスト形式のタイ ミング サマリ レポートの [Check Timing] セクシ ョ ン

X-Ref Target - Figure 3-3

図 3-3 : テキスト形式のタイ ミング サマリ レポートの [Design Timing Summary] セクシ ョ ン

Page 87: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 87UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング パス レポートの解釈

タイ ミ ング違反の調査

Vivado IDE では、 スラ ッ ク違反は赤で表示され、 不足している制約は特定の色で表示されます。

タイ ミ ング違反を調べるには、 まず次のセクシ ョ ンを参照します。

• [Intra-Clock Paths]、[Inter-Clock Paths]、および [Path Groups] (**async_default**) セクシ ョ ンには、セッ ト アップおよびリ カバリ違反およびホールドおよびリ ムーバル違反に関する情報が示されます。

• [Intra-Clock Paths] セクシ ョ ンには、 パルス幅チェッ ク違反の詳細が示されます。

[Timing] ビューの詳細は、 第 1 章 「IDE を使用したデザイン解析」 の 17 ページの 「タイ ミ ング サマリ レポート 」 を参照して ください。

ヒン ト : 違反のみを表示するには、 ツールバーの [Show only failing paths] をク リ ッ ク します。

デフォルトでは、 各解析で各クロ ッ ク ペアに対して N 個のワース ト パスの詳細が表示されます。

• GUI のデフォルトはN = 10 です。

• report_timing_summary コマンドのデフォルトは N = 1 です。

パスをダブルク リ ッ クする と、そのタイ ミ ング パスの詳細情報が新しいビューに表示されます。レポート されているパスの数が十分でない場合は、レポート されるパス数を増加して [Report Timing Summary] を再実行するか (同等の Tclコマンド : report_timing_summary –max_paths N)、特定のクロッ ク ペアまたは特定のタイ ミ ング パスに対して [Report Timing] を実行します。

注記 : Tcl コマンドの詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 7] を参照するか、「<command> -help」 と入力してください。

タイ ミ ング パス レポートの解釈タイ ミ ング サマリ レポートでパスをダブルク リ ッ クしたと きに表示されるタイ ミ ング パス レポートには、タイ ミ ング違反の原因を理解するのに必要な情報が含まれています。 次のセクシ ョ ンで、 タイ ミ ング パス レポートについて説明します。

タイ ミ ング パスに関する重要な情報を示します。 タイ ミ ング パスの詳細を解析せずに、 違反の原因を知るこ とができます。 スラ ッ ク、 パスの要件、 データパス遅延、 セル遅延、 配線遅延、 クロ ッ ク スキュー、 およびクロ ッ クのばらつきが示されます。 セルの配置に関する情報は含まれません。

タイ ミ ング制約およびタイ ミ ング解析で使用される用語、 およびスラ ッ クおよびパスの要件の決定方法は、 『VivadoDesign Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] を参照して ください。

Page 88: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 88UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング パス レポートの解釈

タイ ミ ング パス サマリのヘッダーの例

図 3-4 に、 テキス ト形式のレポートのタイ ミ ング パス サマリ ヘッダーを示します。

図 3-5 に、 Vivado IDE でのタイ ミ ング パス サマリ ヘッダーを示します。

タイ ミ ング パス サマリのヘッダー情報

タイ ミ ング パス サマリ ヘッダーには、 次の情報が含まれます。

• Slack

正のスラ ッ ク値は、パスがタイ ミ ング制約から算出されたパスの要件を満たしているこ とを示します。 スラ ッ クを求める式は、 解析によって異なり ます。

• 大遅延解析 (セッ ト アップ/ リ カバリ )

スラ ッ ク = データ所要時間 – データ到着時間

• 小遅延解析 (ホールド / リ ムーバル)

スラ ッ ク = データ到着時間 – データ所要時間

データ所要時間とデータ到着時間は、 タイ ミ ング パス レポートの別のセクシ ョ ンに表示されます。

X-Ref Target - Figure 3-4

図 3-4 : テキスト形式のタイ ミング パス サマリ ヘッダー

X-Ref Target - Figure 3-5

図 3-5 : Vivado IDE のタイ ミング パス サマリ ヘッダー

Page 89: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 89UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング パス レポートの解釈

• Source

パスの開始点およびデータを送信するソース クロ ッ クを示します。開始点は通常、シーケンシャル セルのクロ ック ポート または入力ポートです。

2 行目がある場合は、プ リ ミ ティブおよびクロッ ク ピンの認識されるエッジが示されます。 ク ロ ッ ク名と ク ロ ック エッジの定義 (波形および周期) も示されます。

• Destination

パスの終点およびデータを受信するデスティネーシ ョ ン クロ ッ クを示します。終点は通常、デスティネーシ ョ ンシーケンシャル セルの入力データ ピンまたは出力ポートです。2 行目がある場合は、プ リ ミ ティブおよびクロ ック ピンの認識されるエッジが示されます。クロ ッ ク名と クロ ッ ク エッジの定義 (波形および周期) も示されます。

• Path Group

パスの終点が属するタイ ミ ング グループを示します。 これは通常デスティネーシ ョ ン クロ ッ クによ り定義されるグループですが、 非同期タイ ミ ング チェッ ク ( リ カバリ / リ ムーバル) では **async_default** タイ ミ ング グループにまとめられます。 ユーザー定義のグループも ここに表示されます。 これらは、 レポート生成で便利です。

• Path Type

このパスで実行された解析のタイプを示します。

° Max はデータ パス遅延の算出に 大遅延値が使用されたこ とを示します。 セッ ト アップ解析およびリ カバリ解析に対応します。

° Min はデータ パス遅延の算出に 小遅延値が使用されたこ とを示します。ホールド解析およびリ ムーバル解析に対応します。

使用されたコーナー (Slow または Fast) も示されます。

• Requirement

タイ ミ ング パス要件を示します。 開始点と終点が同じク ロ ッ クで制御されている場合、 タイ ミ ング パス要件は通常次のよ うにな り ます。

° セッ ト アップ/ リ カバリ解析では 1 クロ ッ ク周期

° ホールド / リ ムーバル解析では 0ns

パスが 2 つのクロ ッ クの間にある場合、要件はソース ク ロ ッ ク エッジとデスティネーシ ョ ン クロ ッ ク エッジの小の正の差に対応します。 この値は、 複数サイクル、 大遅延、 小遅延などのタイ ミ ング例外制約に置き換

えられます。

タイ ミ ング制約からタイ ミ ング パス要件を求める方法の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] を参照して ください。

• Data Path Delay

パスのロジッ ク セクシ ョ ン間で累積された遅延を示します。 ク ロ ッ クがデータ と して使用されている場合を除き、 ク ロ ッ ク遅延は含まれません。 遅延のタイプは、 [Path Type] と同じです。

• Logic Levels

パスのデータ セクシ ョ ンに含まれている、 開始セルと終了セルを除く各種プリ ミ ティブの段数を示します。

• Clock Path Skew

ソース ク ロ ッ クの送信エッジとデスティネーシ ョ ン ク ロ ッ クの受信エッジ間の挿入遅延差およびクロ ッ クの不必要に悪い見積も り部分の削除を示します。

Page 90: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 90UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング パス レポートの解釈

• Destination Clock Delay (DCD)

デスティネーシ ョ ン クロ ッ クのソース ポイン トからパスの終点までに累積される遅延を示します。

° 大遅延解析 (セッ ト アップ/ リ カバリ ) では 小セルおよびネッ ト遅延値が使用され、

° 小遅延解析 (ホールド / リ ムーバル) では 大遅延値が使用されます。

• Source Clock Delay (SCD)

ク ロ ッ クのソース ポイン トからパスの開始点までに累積される遅延を示します。

° 大遅延解析 (セッ ト アップ/ リ カバリ ) では 大セルおよびネッ ト遅延値が使用され、

° 小遅延解析 (ホールド / リ ムーバル) では 小遅延値が使用されます。

• Clock Pessimism Removal (CPR)

ソースおよびデスティネーシ ョ ン ク ロ ッ クが共通回路上であっても異なる遅延でレポート されるこ とによ り発生する、 追加のクロッ ク スキューの絶対値を示します。

この不必要に悪い見積も り部分の削除する と、 共通回路上にあるソース ク ロ ッ ク とデスティネーシ ョ ン ク ロ ックの間にはスキューは発生しません。

配線済みのデザインでは、 後の共通クロ ッ ク ツ リー ノードは通常クロッ ク ネッ トで使用される配線リ ソースにあ り、 パスの詳細にはレポート されません。

• Clock Uncertainty

ク ロ ッ ク エッジのペア間での時間の変動量の合計を示します。

ばらつきは、 算出される ク ロ ッ ク ジッ ター (システムおよび個別)、 一部のハード ウェア プ リ ミ ティブによ り発生 す る 位 相 エ ラ ー、 デ ザ イ ン 制 約 で ユ ー ザ ー に よ り 指 定 さ れ る ク ロ ッ ク の ば ら つ き(set_clock_uncertainty) で構成されます。

ユーザーが指定する クロ ッ クのばらつきは、 Vivado IDEタイ ミ ング エンジンで算出されるばらつきに加算されます。

• Total System Jitter (TSJ)

ソース ク ロ ッ クおよびデスティネーシ ョ ン ク ロ ッ クの両方に適用されるシステム ジッターを示します。 システム ジッターは、 set_system_jitter 制約を使用してグローバルに変更できます。 仮想クロ ッ クは理想的なクロッ クなので、 システム ジッ ターはあ り ません。 システム ジッ ターの詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] を参照してください。

• Total Input Jitter (TIJ)

ソース クロ ッ クおよびデスティネーシ ョ ン クロ ッ クの両方に適用される入力ジッターを示します。

set_input_jitter 制約を使用する と、各プライマ リ ク ロ ッ クの入力ジッ ターを個別に指定できます。生成クロ ッ クの入力ジッ ターは、 マスター ク ロ ッ ク ジッ ターおよび伝搬される ク ロ ッ ク リ ソースに基づいて VivadoIDE タイ ミ ング エンジンで算出されます。デフォルトでは、仮想クロ ッ クは理想的なクロ ッ クであ り、 ジッ ターはあ り ません。

ジッ ターの詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] を参照して ください。

• Discrete Jitter (DJ)

MMCM や PLL などのハードウェア プリ ミ ティブによ り追加されるジッ ター量を示します。

この値は、 これらのセルのコンフ ィギュレーシ ョ ンに基づいて Vivado IDE タイ ミ ング エンジンによ り算出されます。

Page 91: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 91UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング パス レポートの解釈

• Phase Error (PE)

MMCM や PLL などのハードウェア プリ ミ ティブによ り追加される、 2 つのクロ ッ ク信号間の位相の変動量を示します。

この値は、 これらのセルのコンフ ィギュレーシ ョ ンに基づいて Vivado IDE タイ ミ ング エンジンによ り算出されます。

• User Uncertainty (UU)

set_clock_uncertainty 制約で指定された追加のばらつきを示します。

このコマンドの使用方法は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] を参照して ください。

タイ ミ ング パス サマリには、 タイ ミ ング制約、 レポート されるパス、 およびターゲッ ト デバイスによって、 次の 3つの行が表示されるこ と もあ り ます。

• Inter-SLR Compensation

7 シ リーズ SSI デバイスのみで、 SLR の境界をまたがるパスを確実にレポートするために必要な追加マージンを示します。

• Input Delay

set_input_delay 制約によ り入力ポートに指定された入力遅延値を示します。入力ポートから開始しないパスは含まれません。

• Output Delay

set_output_delay 制約によ り出力ポートに指定された出力遅延値を示します。 出力ポートで終了しないパスは含まれません。

• Timing Exception

パスに適用されるタイ ミ ング例外を示します。優先順位の も高い例外のみがタイ ミ ング パス要件に影響するので、 優先順位の も高い例外のみが示されます。

タイ ミ ング例外およびその優先順位の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 4] を参照して ください。

タイ ミ ング パスの詳細

レポートの後半には、 パスが伝搬されるセル、 ピン、 ポート、 およびネッ トの詳細が表示されます。 3 つのセクシ ョンに分かれています。

• Source Clock Path

ソース クロ ッ クがソース ポイン トからデータパスの開始点まで伝搬されるパスを示します。 このセクシ ョ ンは、入力ポートから開始するパスには含まれません。

• Data Path

データが開始点から終点まで伝搬されるパスを示します。

• Destination Clock Path

デスティネーシ ョ ンク ロ ッ クがソース ポイン トからデータパスの終点のクロ ッ ク ピンまで伝搬されるパスを示します。

Page 92: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 92UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング パス レポートの解釈

[Source Clock Path] および [Data Path] セクシ ョ ンには、 これらでは、 常に同じタイプの遅延がレポート されます。

° セッ ト アップ/ リ カバリ解析では 大遅延

° ホールド / リ ムーバル解析では 小遅延

データの送信エッジ時間から開始し、 ソース ク ロ ッ クおよびデータ パスを介して累積される遅延も同じです。 終的な累積遅延値は、 データ到着時間と呼ばれます。

デスティネーシ ョ ン クロ ッ ク パスは、常にソース ク ロ ッ クおよびデータ パスと逆の遅延でレポート されます。初期の累積遅延値は、 データ受信エッジがデスティネーシ ョ ン ク ロ ッ クのソース ポイン トから出発した時間です。 終的な累積遅延値は、 データ所要時間と呼ばれます。

レポートの 後の行は、 スラ ッ クの算出方法を示します。

• 大遅延解析 (セッ ト アップ/ リ カバリ )

スラ ッ ク = データ所要時間 – データ到着時間

• 小遅延解析 (ホールド / リ ムーバル)

スラ ッ ク = データ到着時間 - データ所要時間

テキス ト形式レポートのタイ ミング パスの詳細

図 3-6 に、 テキス ト形式のレポートのソース ク ロ ッ ク、 データ、 およびデスティネーシ ョ ン ク ロ ッ ク パスの部分を示します。 パスには 5ns の周期制約が適用されているので、 ソース ク ロ ッ クの送信エッジは 0ns で開始し、 デスティネーシ ョ ン クロ ッ クの受信エッジは 5ns で開始します。

X-Ref Target - Figure 3-6

図 3-6 : テキスト形式レポートのタイ ミング パスの詳細

Page 93: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 93UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング パス レポートの解釈

Vivado IDE のタイ ミング パスの詳細

図 3-7 に、 図 3-6 のテキス ト形式レポート と同じ例の IDE レポート を示します。

パスの情報は、 5 つの列で示されます。

• [Location]

デバイス上でセルまたはポートが配置されている位置を示します。

• [Delay Type]

Unisim プリ ミ ティブとパスが通過するタイ ミ ング アークを示します。 ネッ トの場合、 ファンアウ ト (fo) およびそのステータスを示します。 ネッ トのステータスは、 次のとおりです。

° Unplaced

ド ラ イバーおよびロードは配置されていません。

° Estimated

ド ラ イバーまたはロード、 あるいはその両方が配置されています。 部分的に配線されているネ ッ ト もEstimated と示されます。

° Routed

ド ラ イバーおよびロードの両方が配置され、 ネッ トが完全に配線されています。

X-Ref Target - Figure 3-7

図 3-7 : Vivado IDE のタイ ミング パスの詳細

Page 94: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 94UG906 (v2013.2) 2013 年 6 月 19 日

タイ ミング パス レポートの解釈

• [Incr(ns)] (テキス ト レポート ) / [Delay] (IDE レポート )

Unisim プリ ミ ティブのタイ ミ ング アークまたはネッ トに関連するインク リ メンタル遅延値を示します。 入力/出力遅延、 ク ロ ッ クのばらつきなどの制約を示すこ と もあ り ます。

• [Path(ns)] (テキス ト レポート ) / [Cumulative] (IDE レポート )

パスの各セグメン ト までの累積遅延を示します。 値は、 前の行までの累積値と、 その行のインク リ メン ト遅延を加算したものです。

• [Netlist Resource(s)] (テキス ト レポート ) / [Logical Resource] (IDE レポート )

通過したネッ ト リ ス ト オブジェク トの名前を示します。

各インク リ メンタル遅延は、 次のいずれかのエッジに関連付けられています。

• r (立ち上がりエッジ)

• f (立ち下がりエッジ)

初期のエッジは、解析に使用される送信エッジまたは受信エッジによ り決定されますが、タイ ミ ング アークの特性によって、 パス上のセルで反転されるこ とがあ り ます。 たとえば、 インバーターの入力の立ち上がりエッジは、 出力では立ち下がりエッジになり ます。

必要以上に厳しいタイ ミ ング パス要件が、ソース ク ロ ッ ク ツ リーまたはデスティネーシ ョ ン クロ ッ ク ツ リーによるク ロ ッ ク エッジの反転によるものである場合があ り ます。

Page 95: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 95UG906 (v2013.2) 2013 年 6 月 19 日

第 4 章

デザイン クロージャ テクニック

概要この章では、 Vivado® 統合設計環境 (IDE) での次のタイ ミ ング ク ロージャの手法を説明します。

• 制約およびソースのチェッ ク

• ツールのエフォート レベルの増加

• フロアプラン

• 配線の変更

制約およびソースのチェ ックデザインおよびタイ ミ ング制約が適切であるかを確認します。

• ネッ ト リ ス トが質のよいものであるこ とを確認します。

Vivado 合成を使用する場合は、合成特定のタイ ミ ング制約を XDC ファ イルに追加します。合成はタイ ミ ング ドリブンであ り、 タイ ミ ングを満たすよ うにロジッ クが 適化されます。

• [Schematic] または [Clock Networks] ビューでクロ ッ ク ツ リーを確認します。

ク ロ ッ ク ツ リーが適切なものであるこ とを確認します。 BUFG が別の BUFG や LUT を駆動している と、 ク ロ ック スキューが大き くなり ます。 追加のクロ ッ ク スキューによ り、 セッ ト アップ チェッ クを満たすためのデザイン マージンが小さ くなるか、な くなるこ とがあ り、配線リ ソースの使用率が増加し、密集度が高くなるこ とがあり ます。 配置 DRC でクロ ッ ク ツ リーの問題が警告と して表示されるこ とがあ り ます。

• デザインのピン配置およびフロアプランを実行する際、 ク ロ ッ ク リ ソースを考慮します。

7 シ リーズ FPGA デバイスのク ロ ッ ク領域では、 領域ごとに 12 個のグローバル ク ロ ッ クがサポート されます。ク ロ ッ ク ツ リーの配置には、その他の制限もあ り ます。ザイ リ ンクス 7 シ リーズ FPGA ク ロ ッ クの詳細は、『7 シリーズ FPGA クロ ッ ク リ ソース ユーザー ガイ ド』 (UG472) [参照 9] を参照してください。

• ク ロ ッ ク周期がデザインで必要なものであるかど うかを確認します。

デザインの制約を厳し く しすぎる と、 不必要に厳しいタイ ミ ング制約を満たすためにランタイムが長くな り ます。 タイ ミ ング エラーが発生したり、 消費電力が大き くなる原因にもな り ます。

不必要に厳しい制約が満たされない場合は、実際の制約を試してみてください。 Vivado IDE では、配置配線を変更せずにタイ ミ ング制約を変更できます。 実際のクロ ッ ク周期で report_timing_summary を再実行します。

Page 96: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 96UG906 (v2013.2) 2013 年 6 月 19 日

制約およびソースのチェ ック

• タイ ミ ングを満たしていないパスが複数サイクル パスまたは機能的にアクティブでないパスでないかを確認します。

Vivado IDE では、 タイ ミ ング例外を設定していなければ、 非同期クロ ッ ク間のパスも含め、デザインのすべての論理パスにタイ ミ ングが適用されます。 これは、 ISE® および UCF とは異なり ます。制御ロジッ クの構造によ り、アクティブにならないパスもあ り ます。 set_false_path 制約を使用して、 スラ ッ クの算出においてこれらのパスを無視できます。

ステート マシンで駆動されるクロ ッ ク イネーブルなどの制御構造では、複数サイクル パスが生成されます。データがソースからデスティネーシ ョ ンに到達するのに複数のクロ ッ ク周期が必要な場合、複数サイクル タイ ミ ング制約を設定します。 XDC では、 セッ ト アップとホールドの複数サイクル遅延は個別に入力します。

• インプリ メンテーシ ョ ン後に、 タイ ミ ング制約を変更してタイ ミ ングを再実行します。 タイ ミ ング制約の変更がデザインのタイ ミ ングにどのよ うに影響するかを調べるのに、インプ リ メンテーシ ョ ンを再実行する必要はあ りません。 制約を変更してタイ ミ ングを確認し、 必要に応じてタイ ミ ング制約を調整していきます。

• タイ ミ ング制約を変更してもタイ ミ ングが満たされない場合は、 インプ リ メンテーシ ョ ンを再実行します。 インプ リ メ ンテーシ ョ ンはタイ ミ ング ド リブンであ り、 問題のある箇所に焦点が置かれます。 配線後のデザインのトータル ホールド スラ ッ ク (THS) が大きかった場合は、インプ リ メンテーシ ョ ンを再実行する必要があ り ます。

ヒン ト : 新しい制約を保存するのに [Save Constraints As] を使用し、 元の制約を保持しておく と便利です。

• タイ ミ ング パスを確認します。

ク ロ ッ ク スキューおよびジッターが適切であるかを確認します。

• ロジッ クを確認します。

周期に対するロジッ ク遅延を確認し、 ロジッ ク遅延の周期に占める割合が高い場合は、再合成してロジッ ク遅延を削減する必要があ り ます。

• 配線遅延を確認します。

配線遅延の周期に占める割合が高い場合は、 配線遅延を削減します。 配線遅延を削減するには、 次の 2 つの方法があ り ます。

° RTL を記述し直します。

ファンアウ ト の大きいネッ ト がある場合は、 ド ラ イバーを複製してみて ください。 ファンアウ トの大きいネッ トのド ラ イバーを複製する場合、配置を考慮して ください。各ド ライバーがその近くにあるロード と接続されるよ うにし、 ロードが分散しないよ うにします。

DONT_TOUCH または KEEP などの合成属性を適用して、複製されたレジスタが 適化で削除されないよ うにします。

° フロアプランします。

配線遅延のタイ ミ ング パスに占める割合が高い場合は、 フロアプランを考慮します。 目的は、 配線遅延を削減して、 ク リティカル パスのタイ ミ ングを改善するこ とです。 フロアプランによ り ク リティカル パスを構成するロジッ クは変更されません。 フロアプランの際は、デザインのブロ ッ ク同士の接続を確認してください。

RTL の構造を変更した方がよい場合もあ り ます。合成時には、配置はわかっていません。合成でファンアウトの大きいネッ トが複製される場合、 配置は考慮されません。

手動で複製して配線し直した方が、 デザインが向上する場合があ り ます。 たとえば、 2 つのメモ リ インターフェイスがあ り、 チップの反対側の角に配置される場合、 RTL ソースで制御信号を複製する と有益です。 合成属性を使用して、 論理的に等価なレジスタが 適化で削除されないよ うに設定する必要がある場合もあ り ます。

Page 97: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 97UG906 (v2013.2) 2013 年 6 月 19 日

ツールのエフォート レベルの増加

ツールのエフォート レベルの増加インプ リ メンテーシ ョ ン ツールのエフォート レベルを増加してみます。 インプ リ メンテーシ ョ ンに時間をかけるだけで、 デザインのタイ ミ ングが満たされる場合があ り ます。

Vivado Implementation Defaults ス ト ラテジでは、 実行時間とパフォーマンスのバランスが取られます。 実行時間の長い アル ゴ リ ズ ム の一部は使用 さ れ ま せん。 こ れ ら の アル ゴ リ ズ ムお よ び物理合成 を オ ン にす る には、Performance_Explore ス ト ラテジを使用します。SSI テク ノ ロジを使用する大型デバイスでは、Performance_ExploreSLLsを使用してみます。

ほかにも、実行時間の短いス ト ラテジや、密集を軽減するス ト ラテジなどがあ り ます。名前に SLL 接頭辞が付いているス ト ラテジは、 SSI テク ノ ロジを使用するデバイス用です。

[Flow] → [Create Runs] をク リ ッ ク して、 異なるス ト ラテジを使用した複数の run を作成し、 実行してみてください。

インプリ メンテーシ ョ ン結果を比較してデザインに 適なス ト ラテジを見つけ、その後の実行ではそのス ト ラテジを使用します。

ヒン ト : 適なス ト ラテジは、 デザイン間およびツール リ リース間で異なる場合があ り ます。

X-Ref Target - Figure 4-1

図 4-1 : 複数 の run

Page 98: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 98UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

フロアプランこのセクシ ョ ンでは、 フロアプランについて説明します。 次の内容が含まれます。

• フロアプランについて

• フロアプランの基礎

• Pblock ベースのフロアプラン

• 特定のロジッ クをデバイス サイ トに固定

• スタッ ク ド シ リ コン インターコネク ト (SSI) デバイスでのフロアプラン

フロアプランについて

フロアプランがデザインのタイ ミ ングを満たすのに役立つ場合があ り ます。タイ ミ ングが満たされる と き と満たされないと きがある場合や、 タイ ミ ングが満たされたこ とがない場合にフロアプランを実行するこ とをお勧めします。

フロアプランは、 複数の設計者と作業する場合や、 一貫性が重要な場合にも有益です。

フロアプランでは、 平均配線遅延を削減するこ とによ り、 セッ ト アップ スラ ッ ク (TNS、 WNS) を向上できます。 インプ リ メ ンテーシ ョ ンでは、 ワース ト セッ ト アップ違反およびすべてのホールド違反を解決するよ うに処理されます。 フロアプランで向上できるのはセッ ト アップ スラ ッ クのみです。

手動フロアプランは、 ネッ ト リ ス トが階層がある方が簡単です。 合成でネッ ト リ ス ト全体がフラ ッ ト化される と、 デザイン解析に時間がかかり ます。階層ネッ ト リ ス トが生成されるよ うに、合成オプシ ョ ンを設定します。 Vivado 合成

• synth_design -flatten_hierarchy rebuilt

または

• Vivado Synthesis Defaults ス ト ラテジ

論理パスが複雑な大型の階層ブロッ クは、解析が困難です。異なる論理構造が別の下位階層に含まれているデザインの方が解析は簡単です。階層モジュールのすべての出力にレジスタを付けるこ とを考慮して ください。複数の階層ブロッ クを通過するパスの配置を解析するのは困難です。

フロアプランの基礎

すべてのデザインでタイ ミ ングが満たされる とは限り ません。 ツールがソ リ ューシ ョ ンを見つけられるよ う、指示する必要がある場合があ り ます。 フロアプランを使用する と、高レベルの階層レイアウ トや詳細なゲート配置を指定できます。

悪の問題または も一般的な問題を修正する と、 も大き く改善します。 たとえば、 スラ ッ クが大幅に悪いパス、またはロジッ ク レベル数が多いパスがある場合、 まずそれらのパスを修正します。 [Tools] → [Timing] → [Create SlackHistogram] をク リ ッ クする と、 スラ ッ クの悪いパスを表示できます。 また、 タイ ミ ング エンドポイン トが同じ複数のパスのスラ ッ クが負である場合、 1 つのパスを改善する と、 同じエンドポイン トのその他のパスも改善するこ とがあり ます。

配線遅延を削減したり、 ク リ ティカルでないブロ ッ クのロジッ ク集積度を増加するなどしてパフォーマンスを向上するため、 フロアプランする こ と を考慮してみて ください。 ロジッ ク集積度は、 チップ上にロジッ クがどれだけ密にパッ ク されるかを示します。

フロアプランを実行する と、 ク ロ ッ ク周波数および結果の一貫性を向上できます。

フロアプランには複数の取り組み方があ り、 それぞれに利点と欠点があ り ます。

Page 99: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 99UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

詳細なゲート レベルのフロアプラン

詳細なゲート レベルのフロアプランでは、 個々の 下位セルをデバイスの特定のサイ トに配置します。

詳細なゲート レベルのフロアプランの利点

• ネッ ト を手動で配線します。

• デバイスの 大限のパフォーマンスを引き出せる可能性があ り ます。

詳細なゲート レベルのフロアプランの欠点

• 時間がかかり ます。

• デバイスおよびデザインに関する豊富な知識が必要です。

• ネッ ト リ ス トが変更された場合、 フロアプランを実行し直すこ とが必要な場合があ り ます。

推奨 : 詳細なゲート レベルのフロアプランは、 終的な手段と してください。

情報の再利用

タイ ミ ングが満たされたデザインの情報を再利用します。 この手法は、デザインのタイ ミ ングが満たされたり満たされなかったりする場合に使用します。 情報を再利用するには、 次の手順に従います。

1. 2 つのインプリ メンテーシ ョ ン run を開きます。

a. タイ ミ ングが満たされている run

b. タイ ミ ングが満たされていない run

ヒン ト : 複数のモニターを使用している場合、[Open Implementation in New Window] コマンドを使用してデザインを別のウ ィンド ウで開きます。

2. 2 つのデザインの違いを見つけます。

a. report_timing_summary で、 タイ ミ ングが満たされていないパスを特定します。

b. タイ ミ ングが満たされているデザインで、 report_timing を min_max モードで使用して、 同じパスのタイ ミ ング解析を実行します。

3. タイ ミ ング結果を比較します。

a. ク ロ ッ ク スキュー

b. データパス遅延

c. 配置

d. 配線遅延

4. パスのエンドポイン ト間のロジッ ク遅延に差がある場合は、 合成 run に戻り ます。

Page 100: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 100UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

I/O およびセルの配置の確認

デザインのセルの配置を確認します。 2 つの I/O レポート を比較して、 I/O の配置と I/O 規格を確認します。 すべてのI/O が配置されているこ とを確認します。図 4-2 に示すよ うに検索を実行する と、配置が固定されていない I/O を検索できます。

run の間でクロ ッ ク スキューを変更した場合、 タイ ミ ングが満たされた run からのクロ ッ ク プリ ミ ティブの配置を再利用するこ とを考慮します。 図 4-3 に示すよ うに、 ク ロ ッ ク使用量レポートにク ロ ッ ク ツ リー ド ラ イバーの配置がリ ス ト されます。

X-Ref Target - Figure 4-2

図 4-2 : 配置が固定されていない I/O

Page 101: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 101UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

LOC 制約は、 XDC 制約ファイルにコピーできます。

多くのデザインでは、ブロ ッ ク RAM および DSP の配置を再利用するこ とによ り、 タイ ミ ングを満たすこ とができます。 [Edit] → [Find] を使用して、 インスタンスを リ ス ト します。

X-Ref Target - Figure 4-3

図 4-3 : クロックのロケーシ ョ ン

X-Ref Target - Figure 4-4

図 4-4 : ブロック RAM または DSP を検索

Page 102: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 102UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

配置制約の追加

XDC に配置制約を追加して、 ロジッ クを固定します。

1. [Find Results] ビューでマクロを選択します。

2. 右ク リ ッ ク します。

3. [Fix Cells] をク リ ッ ク します。

推奨 : 配置を固定する前に、 階層名に基づいて配置を解析し、 ハイライ ト して ください。

X-Ref Target - Figure 4-5

図 4-5 : 固定するロジックの選択

Page 103: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 103UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

配置の再利用

次のものの配置を再利用するのは比較的簡単です。

• I/O

• グローバル クロ ッ ク リ ソース

• ブロッ ク RAM マクロ

• DSP マクロ

配置を再利用する と、 ネッ ト リ ス トの リ ビジ ョ ン間での結果がよ り一貫したものになり ます。 これらのプリ ミ ティブの名前は通常変化しないので、 配置を保持するのは簡単です。

ヒン ト : 汎用スライス ロジッ クの配置を再利用しないでください。デザインの変更する予定の部分は、配置を再利用しないでください。

フロアプランのテクニック

タイ ミ ングが満たされておらず、ネッ ト リ ス ト または制約の変更が好まし くないデザインでは、ゲート レベルのフロアプランを考慮します。

推奨 : ゲート レベルのフロアプランの前に、 階層フロアプランを試してみてください。

階層フロアプラン

階層フロアプランでは、 デバイス上の領域に階層レベルを配置できます。 この領域が配置でのガイ ド ラ イン とな り、詳細な配置が決定されます。

階層フロアプランは、 ゲート レベル フロアプランと比較して、 次のよ う な利点があ り ます。

• ゲート レベル フロアプランよ り も短時間で実行できます。 適切なフロアプランによ り、 タイ ミ ングを改善できます。 フロアプランは、 デザインが変更されても保持されます。

• 階層レベルが、 ゲートのコンテナーとな り ます。 通常、 ネッ ト リ ス トが変更されても機能します。

階層フロアプランは、 次のよ うにな り ます。

• ク リ ティカル パスを含む下位階層を特定します。

• 上位フロアプランを使用して、 どこに配置するかを判断します。

• 個々のセルは、 インプリ メンテーシ ョ ンによ り配置されます。

• セルおよびタイ ミ ング パスに関する包括的な知識があ り ます。

• 通常、 適切な詳細配置が実行されます。

セルの手動配置

セルの手動配置では、 デバイスの 大限のパフォーマンスを引き出せる可能性があ り ます。 この手法は通常、 デザインの小さい部分でのみ使用されます。 たとえば、 高速 I/O インターフェイス周辺のロジッ クや、 ブロ ッ ク RAM および DSP を配置する場合などです。 手動での配置には時間がかかり ます。

すべてのフロアプラン手法には、多大なエンジニア リ ング時間がかかり ます。作業を繰り返して調整する必要がある場合もあ り ます。 セル名が変更された場合、 フロアプラン制約もアップデートする必要があ り ます。

フロアプランの際は、 終的なピン配置を念頭においてください。 I/O が固定されている と有益です。 I/O はフロアプランを開始する際のアンカー ポイン ト とな り ます。 I/O に接続されているロジッ クは、 固定されているピンの近くに移動されます。

Page 104: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 104UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

ヒン ト : I/O に接続されているブロ ッ クは、 I/O の近くに配置してください。 ピン配置によ りブロ ッ クの I/O への接続が分散されてしまっている場合は、 ピン配置または RTL を変更するこ とを考慮してください。

図 4-6 のよ う なフロアプランは、 タイ ミ ングには有益ではあ り ません。ブロ ッ クを分離するか、 ソース コードを変更するか、 ブロ ッ ク RAM および DSP のみを制約するこ とを考慮して ください。 また、外部タイ ミ ング要件で許容される場合、 I/O レジスタの配置を解除してみてください。

上記の Pblock は、 次の XDC 制約で表されます。

create_pblock Pblock_usbEngineadd_cells_to_pblock [get_pblocks Pblock_usbEngine] [get_cells -quiet [list usbEngine1]] resize_pblock [get_pblocks Pblock_usbEngine] -add {SLICE_X8Y105:SLICE_X23Y149} resize_pblock [get_pblocks Pblock_usbEngine] -add {DSP48_X0Y42:DSP48_X1Y59} resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB18_X0Y42:RAMB18_X1Y59} resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB36_X0Y21:RAMB36_X1Y29}

X-Ref Target - Figure 4-6

図 4-6 : I/O コンポーネン トの配置によりブロックの接続が分散されていしまっている例

Page 105: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 105UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

1 行目で Pblock が作成されます。 2 行目 (add_cells_to_pblock) で Pblock の階層レベルが指定されます。 4 つのリ ソース タイプ (SLICE、 DSP48、 RAMB18、 RAMB36) があ り、 それぞれグ リ ッ ドがあ り ます。 グ リ ッ ドで制約されていないロジッ クは、 デバイスのどこにでも配置できます。 階層レベルのブロ ッ ク RAM のみを制約するには、 ほかの Pblock グ リ ッ ドをディ スエーブルにします。

結果の XDC コマンドでは、 Pblock が簡略化されます。

create_pblock Pblock_usbEngineadd_cells_to_pblock [get_pblocks Pblock_usbEngine] [get_cells -quiet [list usbEngine1]] resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB18_X0Y42:RAMB18_X1Y59} resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB36_X0Y21:RAMB36_X1Y29}

ブロッ ク RAM がデバイスに制約されますが、 スライス ロジッ クはデバイス上のどこにでも配置できます。

ヒン ト : Pblock を配置する際は、 階層を中央の Config ブロッ クをまたがるよ うに配置しないでください。

X-Ref Target - Figure 4-7

図 4-7 : Pblock グリ ッ ド

Page 106: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 106UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

X-Ref Target - Figure 4-8

図 4-8 : Config ブロックをまたがるように配置しない

Page 107: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 107UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

Pblock ベースのフロアプラン

RTL をデザインに統合する際、デバイス内のデザインをグラフ ィカル表示する と便利です。合成後のブロ ッ ク間の接続や I/O ピン配置をグラフ ィカル表示で確認する と、 デザインの理解に役立ちます。

インターコネク ト を表示するには、 階層の上位に Pblock を使用して 上位フロアプランを生成します。 上位 RTLを Pblock に分割するには、 [Tools] → [Floorplanning] → [Auto Create Pblocks] をク リ ッ ク します。

Pblock をデバイスに配置するには、 [Tools] → [Floorplanning] → [Place Pblocks] をク リ ッ ク します。 スライス数および使用率に基づいて、 Pblock のサイズが特定されます。

Pblock の使用率は、 解析中は 100% を超えていてもかまいませんが、 インプ リ メンテーシ ョ ンでは 100% 以下にする必要があ り ます。 Pblock の使用率を高くする と、 デバイス上でのサイズが小さ くな り ます。 これは、 デザインの 上位ブロッ クの相対的なサイズおよびデバイスの配置を把握するために有効な手法です。

上位フロアプランには、 ブロ ッ ク と I/O の接続表示されます (緑色の線)。 2 つの Pblock を接続するネッ トは、 ま とめられます。 線の太さおよび色は、 共有されるネッ トの数によ り異な り ます。 図 4-10 および図 4-11 に、 2 つの 上位フロアプランを示します。

X-Ref Target - Figure 4-9

図 4-9 : [Place Pblocks] ダイアログ ボックス

Page 108: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 108UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

データ パスを示す 上位フロアプラン

上図は、デザインの 上位ブロ ッ ク間のデータ フローを示しています。各ブロ ッ クは、隣接する 2 つのブロ ッ クのみに接続されています。 緑色の線は、 1 つのブロ ッ クのみが I/O に接続されているこ とを示しています。

X-Ref Target - Figure 4-10

図 4-10 : データ パスを示す 上位フロアプラン

Page 109: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 109UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

制御パスを含むフロアプラン

上図は、 すべてのブロ ッ クが中央のブロ ッ ク と接続されているデザインを示しています。 接続は、 中央のブロ ッ ク と右下のブロッ クの間が も多くなっています。 この中央のブロ ッ クを分散させて、 その他のロード と通信するよ うにする必要があ り ます。

フロアプランの確認

フロアプランを確認する際は、デバイス リ ソースを考慮してください。 Pblock のサイズ調整では、次のよ う な特殊なデバイス リ ソースは考慮されません。

• ブロッ ク RAM

• DSP48

• MGT

• ク ロ ッ ク バッファー

ヒン ト : フロアプランおよびリ ソース使用量を考慮してブロ ッ クを確認して ください。

X-Ref Target - Figure 4-11

図 4-11 : 制御パスを含むフロアプラン

Page 110: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 110UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

特定のロジックをデバイス サイ トに固定

セルを FPGA デバイスの特定のロケーシ ョ ンに配置できます。たとえば、すべての I/O ポート をザイ リ ンクス 7 シ リーズ FPGA デザインに配置するなどです。 タイ ミ ング クロージャを試みる前に I/O を配置するこ とをお勧めします。

I/O の配置は、FPGA ファブ リ ッ クでのセルの配置に影響します。ほかのセルをファブ リ ッ クに手動で配置する と、 クロ ッ ク ロジッ クおよびマクロの配置がよ り一貫したものになり ます。

固定および未固定のセル

Vivado ツールでは、 配置セルに次の 2 種類があ り ます。

• 固定セル

• 未固定セル

固定および未固定は、配置されたセルに適用されます。 これらは、 Vivado ツールでデザインの配置されたセルがどのよ うに認識されるかを示します。

固定セル

固定されたセルは、 設計者が配置したか、 制約ファイルからインポート されています。

• Vivado Design Suite では、 このよ うに配置されたセルは固定 (Fixed) と して処理されます。

• 固定セルは、 指示がない限り移動されません。

• 図 4-12 ではフ リ ップフロ ップはオレンジ色 (デフォルト ) で表示されており、固定されているこ とがわかり ます。

未固定セル

固定されていないセルは Vivado インプ リ メ ンテーシ ョ ンで place_design コマンドまたは 適化コマンドによ り配置されたものです。

• Vivado Design Suite では、 このよ うに配置されたセルは未固定 (Unfixed) または配置は確定していないと して処理されます。

• これらのセルは、 必要に応じて移動されます。

• 図 4-12 では LUT は青色 (デフォルト ) で表示されており、 未固定であるこ とがわかり ます。

表 4-1 : ロジックの配置に使用する制約

制約 使用法 注記

LOC ゲー ト またはマク ロを特定のサイ ト に配置します。

SLICE サイ トには、BEL サイ ト と呼ばれるサブサイ トがあ り ます。

BEL ス ラ イスのサブサイ ト を基本エレ メ ン ト に使用するよ う指定します。

Page 111: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 111UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

LOC および BEL のどちらも固定できます。 上手の配置では、 次の制約が生成されます。

set_property BEL BFF [get_cells {fftEngine/control_reg_reg[1]}]set_property LOC SLICE_X1Y199 [get_cells {fftEngine/control_reg_reg[1]}]

LUT には配置制約は設定されていません。 LUT の配置は未固定であ り、配置は XDC に含めるべきでないこ とを示しています。

配置機能で配置されたロジックの固定

Vivado IDE の Vivado 配置で配置されたセルを固定するには、 次の手順に従います。

1. セルを選択します。

2. 右ク リ ッ ク して [Fix Cells] をク リ ッ ク します。

Tcl でセルの配置を固定するには、 次のコマンドを使用します。

set_property is_bel_fixed TRUE [get_cells [list {fftEngine/control_reg_reg[1]_i_1}]]set_property is_loc_fixed TRUE [get_cells [list {fftEngine/control_reg_reg[1]_i_1}]]

注記 : Tcl コマンドの詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 7] を参照するか、「<command> -help」 と入力してください。

X-Ref Target - Figure 4-12

図 4-12 : スライスに配置されたロジック

Page 112: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 112UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

ロジックの手動での配置および移動

ロジッ クを手動で配置および移動できます。

• セルが既に配置されている場合、 ド ラ ッグして新しいロケーシ ョ ンにド ロ ップします。

• セルは配置されていない場合は、 次の手順に従います。

a. [Device] ビューでツールバーの [Cell Drag & Drop Modes] ボタンをク リ ッ ク し、 [Create BEL Constraint Mode]をオンにします。

b. [Netlist] ビューまたは [Timing] ビューからロジッ クを [Device] ビューにド ラ ッグします。

ロジッ クは、 有効なロケーシ ョ ンに配置されます。

手動でのロジッ クの配置には時間がかかり ます。制約にセル名が使用されるので、制約はデザインの変更の影響を受けやすくな り ます。

推奨 : I/O を配置した後、 階層 Pblock のフロアプランをユーザー制御配置の開始点と して使用します。 手動配置は、Pblock でう ま く制御できない場合にのみ使用するよ うにして ください。

Page 113: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 113UG906 (v2013.2) 2013 年 6 月 19 日

フロアプラン

スタ ック ド シリコン インターコネク ト (SSI) デバイスでのフロアプラン

スタッ ク ド シ リ コン インターコネク ト (SSI) パーツでは、追加の考慮事項があ り ます。SSI パーツは複数の SLR (SuperLogic Region) で構成されており、インターポーザーによ り結合されています。インターポーザーの接続は、SLL (SuperLong Line) と呼ばれます。 1 つの SLR から別の SLR への移動には多少の遅延があ り ます。

デザインの設計、 ピン配置、 フロアプランの際には、 SLR を考慮して ください。 ク リ ティカル タイ ミ ング パスのロジッ ク セルは 1 つの SLR 内に収め、 SLL をまたぐ接続を 小限に抑えてください。

I/O は、関連する I/O インターフェイス回路と同じ SLR に配置されます。SSI パーツのロジッ クを配置する場合は、 クロ ッ クの配置も考慮する必要があ り ます。

推奨 : 分割を実行する前に、 ロジッ クの配置を自動で実行してみてください。 自動配置を解析するこ とによ り、 考慮していなかったフロアプラン方法が見つかるこ とがあ り ます。

X-Ref Target - Figure 4-13

図 4-13 : SLL を介する接続を 小限に抑える

Page 114: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

デザイン解析およびクロージャ テクニック japan.xilinx.com 114UG906 (v2013.2) 2013 年 6 月 19 日

配線の変更

配線の変更配線の変更が必要な場合があ り ます。 これは多くの場合、デザインに小さなタイ ミ ング エラーがいくつかあ り、ほかのタイ ミ ング ク ロージャ手法でそれらのエラーを解決できないよ うな状況です。 Vivado Design Suite では、 配線を変更し、 固定できます。

詳細は、 『Vivado Design Suite ユーザー : インプリ メンテーシ ョ ン』 (UG904) [参照 5] の 「配線およびロジッ クの変更」を参照して ください。

Page 115: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

付録 A

その他のリソース

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 次のザイ リ ンクス サポート サイ ト を参照して ください。

http://japan.xilinx.com/support

ザイ リ ンクス資料で使用される用語集は、 次を参照して ください。

http://japan.xilinx.com/company/terms.htm

ソリューシ ョ ン センターデバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 ト ピックには、 デザイン アシスタンス、 アドバイザリ、 ト ラブルシュート ヒ ン ト などが含まれます。

リファレンス このガイ ドの補足情報は、 次の資料を参照して ください。

Vivado® Design Suite ユーザー ガイド

1. 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893)

2. 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894)

3. 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895)

4. 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903)

5. 『Vivado Design Suite ユーザー ガイ ド : インプ リ メンテーシ ョ ン』 (UG904)

6. 『Vivado Design Suite ユーザー ガイ ド :消費電力の解析および 適化』 (UG907)

その他の Vivado Design Suite 関連の資料

7. 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)

8. 『Vivado Design Suite チュート リ アル : デザイン フローの概要』 (UG888)

9. 『7 シ リーズ FPGA クロ ッ ク リ ソース ユーザー ガイ ド』 (UG472)

デザイン解析およびクロージャ テクニック www.xilinx.com 115UG906 (v2013.2) 2013 年 6 月 19 日

Page 116: Vivado Design Suite - Xilinxデザイン解析およびクロージャ テクニック japan.xilinx.com 4 UG906 (v2013.2) 2013 年 6 月 19 日 第1 章 IDE を使用したデザイン解析

リファレンス

Vivado Design Suite ビデオ チュート リアル

10. Vivado Design Suite ビデオ チュート リ アル : http://japan.xilinx.com/training/vivado/index.htm

Vivado Design Suite の資料

11. Vivado Design Suite 資料ページ : http://japan.xilinx.com/support/documentation/dt_vivado_vivado2013-2.htm

デザイン解析およびクロージャ テクニック www.xilinx.com 116UG906 (v2013.2) 2013 年 6 月 19 日