62
Vivado Design Suite ユーザー ガイド I/O およびクロック配置 UG899 (v2014.1) 2014 4 2

Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

Vivado Design Suite ユーザー ガイド

I/O およびクロック配置

UG899 (v2014.1) 2014 年 4 月 2 日

Page 2: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

I/O およびクロック配置 japan.xilinx.com 2UG899 (v2014.1) 2014 年 4 月 2 日

改訂履歴

次の表に、 この文書の改訂履歴を示します。

 

日付 バージョ ン 改訂内容

2014 年 4 月 2 日 2014.1 『UlatraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949) への参照を追加、 UltraScale™ デバイスのスループッ トへの参照を追加

第 2 章 「I/O ピン配置デザイン フロー」 : 「I/O およびクロ ッ クの配置」 に Vivado Design Suiteビデオ チュート リ アル : I/O 配置の概要へのリ ンクを追加し、 「RTL 前の I/O ピン配置とデバイス確認」 および 「RTL ベースの I/O ピン配置」 にボード フローに関する情報を追加

第 3 章 「I/O ピン配置」 : 「[I/O Planning] レイアウ トの使用」 に、 [I/O Planning] ビュー レイアウ ト を使用する前にプロジェク ト を開く必要がある という情報を追加、 「代替の互換性のあるパーツを定義する Tcl コマンド」 にVivado Design Suite Properties Reference Guide (UG912)へのリ ンクを追加、 「デバイス コンフ ィギュレーシ ョ ン モードの設定」 に JTAG コンフ ィギュレーシ ョ ン モードに関する注記を追加、 , updated Tcl command example in 「DCI カスケード制約の変更と削除」 の Tcl コマンドの例をアップデート、 「I/O ポート方向の設定」 の I/O 配置プロジェク トの方向プロパティの設定に関する注記を追加、 「I/O ポート インターフェイスの自動推論」 を追加、 「DRC エラーの表示」 をアップデート

図 3-1、 図 3-8、 および 図 3-30 をアップデート

Send Feedback

Page 3: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

I/O およびクロック配置 japan.xilinx.com 3UG899 (v2014.1) 2014 年 4 月 2 日

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1章 : 概要概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

I/O およびクロ ッ クの配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

[I/O Planning] レイアウ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

第 2章 : I/O ピン配置デザイン  フロー概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

RTL 前の I/O ピン配置とデバイス確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

RTL ベースの I/O ピン配置. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

ネッ ト リ ス ト ベースの I/O ピン配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

第 3章 : I/O ピン配置[I/O Planning] レイアウ トの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

デバイス リ ソースの表示 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

互換性のある代替デバイスの指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

デバイス コンフ ィギュレーシ ョ ン モードの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

[Device Constraints] ビューの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

コンフ ィギュレーシ ョ ン バンク電圧セレク ト (CFGBVS) ピンの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

I/O ポートの定義と設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

ク ロ ッ ク配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

I/O ポートの配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

DRC の実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

RTL デザインへの変換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

SSN 解析 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

I/O ピンとパッケージ データのエクスポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

IBIS モデル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

PCB デザインとのインターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

SSI テク ノ ロジ デバイスを使用した I/O ピン配置およびクロ ッ ク配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

付録 A : CSV ファイル形式の I/O ポート  リス トCSV ファ イル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

CSV ファ イルでの差動ペア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

付録 B : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

リ ファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

Send Feedback

Page 4: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 1章

概要

概要I/O およびクロ ッ ク配置は、 プ リ ン ト回路基板 (PCB) 設計、 FPGA 設計、 およびシステム設計にかかわるプロセスであ り、 それぞれに考慮事項および要件があ り ます。 ピン配置が 適化されていないと遅延が増加し、 タイ ミ ングおよびシグナル インテグ リティ要件を満たす障害となり ます。 PCB から FPGA ダイへのデータ フローを考慮する と、適なピン配置を短期間で定義でき、内部および外部のト レース長を削減し、配線の密集を緩和できます。この章では、Vivado® 統合設計環境 (IDE) という グラフ ィカル ユーザー インターフェイス (GUI) を使用した I/O およびクロ ッ ク配置のプロセスの概要を説明します。

I/O およびクロックの配置Vivado IDE では、デザイン プロセスのさまざまな段階で I/O およびクロ ッ ク配置を実行できます。設計が進行するにつれ、 よ り多くの情報が使用可能になるので、 デザインの合成後およびインプ リ メ ン ト後には、 よ り複雑なルールチェッ クを実行できます。デザイン プロセスの各段階で実行できる作業は異なり ます。たとえば、プロセスの初期段階では、 一部のデータが存在せず、 解析は予測値に基づいたものになり ます。 プロセスの後の方の段階では、 データが追加され、 解析はよ り正確なものになり ます。

Vivado Design Suite ツールでは、 I/O およびクロ ッ クの配置を空のプロジェク トで開始し、 RTL ソース ファ イルおよび合成済みネッ ト リ ス トに移行して、 後にインプリ メン ト済みデザインで作業できます。 I/O ピンと ク ロ ッ ク コンフ ィギュレーシ ョ ンの 終的な検証は、インプリ メン ト済みデザインで実行します。ク ロ ッ ク リ ソースが適切に配置されているかを検証するには、 すべてのクロ ッ クが完全にインプリ メン ト されているこ とが必要です。

適な I/O 割り当ては I/O FPGA とデザインの構造および関係によって異な り ます。 I/O およびクロ ッ ク ロジッ クはよ く一緒に割り当てられます。 たとえば、 特定のピンがク ロ ッ ク ピンに適していて、 それ以外がデジタル制御インピーダンス (DCI) カスケード と内部電圧参照 (VREF) に適しているこ とがあ り ます。 UltraFast™ 設計手法を使用したボードおよびデバイス配置については、 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用) (UG949) [参照 1] を参照してください。

重要 : I/O 配置は、できるだけ合成済みデザインで実行してください。たとえば、I/O 配置の DRC でよ り複雑なチェックが考慮されるよ うにするには、 合成済みデザインが必要です。

次に、 各 I/O およびクロ ッ クの配置段階の概要を示します。

1. I/O ピン配置プロジェク トの作成

空のプロジェク ト を作成して、 初期段階のデバイス チェッ ク と I/O ポート コンフ ィギュレーシ ョ ンを実行できます。 これによ り、 ピン配置を設計の初期段階で定義でき、 設計サイクルの 後の方でピン配置に関連する変更が発生するのを回避できます。 プロジェク ト を作成する際、 I/O ポート を手動で作成するか、 CSV ファ イルやザイ リ ンクス デザイン制約 (XDC) ファ イルからインポートできます。 プロジェク ト を作成する と、 次を実行できます。

I/O およびクロック配置 japan.xilinx.com 4UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 5: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 1 章 : 概要

° デバイスおよび I/O ポート割り当てをエクスポート し、 デザイン プロセスの後半で使用

° ポート定義とピン割り当てが解決した後、 I/O ピン配置プロジェク ト を RTL プロジェク トに変換

2. RTL ソース ファ イルのエラボレーシ ョ ンとチェッ ク

I/O 配置を RTL プロジェク トで実行できます。 エラボレート済みデザインでは、基本的な DRC を実行できます。

推奨 : ク ロ ッ ク ロジッ クをチェッ クするには、 合成済みデザインを使用するこ とをお勧めします。

3. デザインの合成

合成済みデザインで I/O 配置を実行できます。 この段階ではすべてのクロ ッ クが決定されており、 ツールですべてのクロ ッ クを認識できるので、 さ らに詳細な検証を実行できます。 I/O 配置は、 可能な限り合成済みデザインで実行してください。

4. デザインのインプ リ メンテーシ ョ ンと I/O の 終検証

I/O 配置が有効であるこ とを確認するには、 デザインを完全にインプ リ メン トする必要があ り ます。 インプ リ メンテーシ ョ ン レポートで I/O およびクロ ッ ク関連のメ ッセージを確認してください。

ビデオ : デザイン プロセスのさまざまな段階での I/O 配置に関する詳細は、 Vivado ビデオ チュート リ アル : I/O 配置の概要 を参照してください。

表 1-1 に、 各 I/O 配置段階でサポート される機能を示します。

これらの段階の詳細は、 第 2 章 「I/O ピン配置デザイン フロー」 を参照してください。 空の I/O 配置プロジェク トの作成や RTL デザインのエラボレート など、 プロジェク トでの作業に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 2] を参照してください。

注記 : Vivado Design Suite は非プロジェク ト モードでも実行できますが、 初の I/O の作成と割り当てをする場合はI/O 配置プロジェク ト を使用するこ とをお勧めします。 プロジェク ト モードおよび非プロジェク ト モードの詳細は、『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 3] を参照して ください。

表 1‐1 : I/O 配置段階と機能

機能 I/O 配置プロジェク ト RTL デザイン 合成済みデザインインプリ メン ト済み

デザイン

CSV および XDC ファイルからのポートの読み込み

サポート なし なし なし

ポートの作成または削除 サポート あ り なし なし なし

RTL プロジェク トへの変換

サポート あ り なし なし なし

読み出し  I/O 規格および XDC ファイルからの配置

サポート あ り サポート あ り サポート あ り サポート あ り

互換性デバイスの設定 サポート あ り サポート あ り サポート あ り サポート あ り

コンフ ィギュレーシ ョ ン モードの設定

サポート あ り サポート あ り サポート あ り サポート あ り

I/O の基本的な DRC サポート あ り サポート あ り サポート あ り サポート あ り

同時スイッチ ノ イズ (SSN) 解析

サポート あ り サポート あ り サポート あ り サポート あ り

クロックを考慮した配置および DRC

なし なし サポート あ り サポート あ り

終的な DRC なし なし なし サポート あ り

I/O およびクロック配置 japan.xilinx.com 5UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 6: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 1 章 : 概要

[I/O Planning] レイアウトVivado IDE では、 [I/O Planning] レイアウ ト を使用して次を実行できます。

• デザイン フローの初期段階で I/O ポートの初期リ ス ト を作成、 インポート、 および設定

• デザイン フローの 後にピン配置の 終的な検証を実行

• 関連ポート をインターフェイスにま とめ、 パッケージ ピンに割り当て

• 全自動または半自動インタラ クティブ モードを使用して I/O ポートの割り当てを制御

• 物理パッケージ ピンおよびバンク と、 それに対応するチップ上の I/O パッ ド間の関係を表示

• PCB と FPGA デバイス間の接続を 適化

• デザインおよびデバイスの I/O 要件を解析

• I/O ピン配置のコンフ ィギュレーシ ョ ンまたは PCB と FPGA デザインの両方の要件を満たすピン配置を定義

[I/O Planning] レイアウ トの詳細は、 第 3 章 「I/O ピン配置」 を参照してください。

I/O およびクロック配置 japan.xilinx.com 6UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 7: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 2章

I/O ピン配置デザイン  フロー

概要Vivado® IDE では、 デザイン フローの任意の段階で I/O 配置を実行できます。 もよ く使用される方法は、 次のとおりです。

• RTL 前の I/O ピン配置とデバイス確認 : ネッ ト リ ス トはなく、 初期の I/O 配置およびボード設計を実行します。I/O の割り当ては、 デザインのソース ファ イルが使用可能になる前であっても、 I/O 配置プロジェク ト を使用して実行できます。 CSV ファ イルは、 I/O 配置用にインポート した り、 PCB 回路図シンボル生成または HDL ヘッダーの生成用にエクスポート した りできます。

• RTL ベースの I/O ピン配置 : このフローでは、Vivado IP カタログへアクセス可能な RTL デザインを使用します。IP カタログを使用する と、 コネクテ ィ ビテ ィ IP を生成ク ロ ッキング ウ ィザードを使用してク ロ ッキング コンポーネン ト をカスタマイズし、SelectIO インターフェイス ウ ィザードを使用して SelectIO™ インターフェイス リソースをコンフ ィギュレーシ ョ ンできます。 この段階では、 エラボレート済みデザインを使用して初期配置を実行して、CSV ファ イルをエクスポートする と、PCB 回路図シンボル生成に使用できます。この段階は、新規 FPGAデザインのプラニングにも有効です。

• ネッ ト リ ス ト ベースの I/O ピン配置 : このフローでは、 デザインを合成またはインプリ メン ト してから、 そのネッ ト リ ス ト を使用して I/O およびボードを配置します。 ツールにはこの段階でよ り詳細な情報が入力されているので、 自動配置コマンドや半自動のインタラ クティブ モードを使用して、 I/O ポートの割り当てを制御できます。 [I/O Planning] レイアウ トには、物理パッケージ ピンおよびバンク と、 それに対応するチップの I/O パッ ド間の関係が表示されます。 これによ り、 PCB と FPGA デバイス間の接続を 適化できます。

RTL 前の I/O ピン配置とデバイス確認1. New Project ウ ィザードで I/O 配置プロジェク ト を作成します。

I/O ピン配置プロジェク トの作成方法については、『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 2] を参照してください。

2. CSV または XDC ファ イルをインポート します。

詳細は、 第 3 章の 「I/O ポートの定義と設定」 を参照してください。

3. パーツまたはボードを選択します。

パーツを選択する際に、 必要な リ ソースに基づいてデバイスのサイズを決定します。 パッケージは、 メモ リへのク リ ティカル配線など、PCB 要件に基づいて選択します。スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジを使用するデザインについては、 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用) (UG949) [参照 1] を参照して ください。 または、 サポート される評価ボードを選択するこ と もできます。 デザイン フローの詳細については、『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 2] および 『Vivado DesignSuite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4] を参照してください。

I/O およびクロック配置 japan.xilinx.com 7UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 8: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 2 章 : I/O ピン配置デザイン フロー

注記 :互換性のある代替パーツを指定するこ と もできます。 詳細は、 第 3 章の 「互換性のある代替デバイスの指定」 を参照してください。

4. コンフ ィギュレーシ ョ ン、 デジタル制御インピーダンス (DCI) カスケード、 および内部電圧基準 (VREF) を選択します。

詳細は、 「デバイス コンフ ィギュレーシ ョ ン モードの設定」 および第 3 章の 「[Device Constraints] ビューの設定」を参照してください。

5. I/O ポート をコンフ ィギュレーシ ョ ンします。

詳細は、 第 3 章の 「I/O ポートの設定」 を参照して ください。 7 シ リーズ、 Zynq®-7000 All Programmable およびUltraScale™ デバイス ファ ミ リのパッケージおよびピン配置仕様については、『7 シ リーズ FPGA パッケージ配置製品仕様』 (UG475) [参照 5], 『Zynq-7000 All 配置製品仕様』 (UG865) [参照 6] および 『UltraScale アーキテクチャパッケージおよびピン配置製仕様』 (UG575) [参照 7] を参照してください。 バンキング ルールについては、 『7 シリーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 8] および 『UltraScale アークテクチャ SelectIO リソース : Advance 仕様ユーザー ガイ ド』 (UG571) [参照 9] を参照してください。 たとえば、 1 つのバンクに含めるこ とができる I/O 規格とできない I/O 規格があ り ます。

6. I/O ポート を手動配置します (オプシ ョ ン)。

詳細は、 第 3 章の 「I/O ポートの配置」 を参照してください。

7. RTL プロジェク トに変換します (オプシ ョ ン)。

I/O 配置プロジェク トで作成した I/O ポートの割り当てを、 RTL プロジェク トに変換できます。 詳細は、 第 3 章の 「RTL デザインへの変換」 を参照してください。

a. MIG、 GT、 およびコネクティビティ IP を定義します。

Vivado IP カタログを使用して、 Memory Interface Generator (MIG)、 ギガビッ ト ト ランシーバー (GT)、およびコネクティ ビティ IP を定義できます。 IP の使用の詳細については、 『Vivado Design Suite ユーザー ガイ ド :IP を使用した設計』 (UG896) [参照 10] を参照してください。

注記 : イーサネッ ト IP や PCI Express® (PCIe) テク ノ ロジ IP などの一部の IP には、特別なピン配置要件があり ます。また、高速メモ リ インターフェイスにも クロ ッキングおよびスキューの要件に基づく ピン配置要件があ り ます。

b. 主なクロ ッ ク構造を定義します。

詳細は、 第 3 章の 「ク ロ ッ ク配置」 を参照して ください。 タイ ミ ング ク ロージャを達成する方法については、『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 11] を参照してください。

推奨 : Vivado IP カタログの Clocking Wizard を使用して、混合モード ク ロ ッ ク マネージャー (MMCM) または位相ロック ループ (PLL) モジュールを生成してク ロ ッ ク接続を定義するのをお勧めします。 詳細は、 『LogiCORE IP ClockingWizard 製品ガイ ド』 (PG065) [参照 12] を参照して ください。

c. I/O ポート を手動配置します。

詳細は、 第 3 章の 「I/O ポートの配置」 を参照してください。

d. 合成およびインプ リ メンテーシ ョ ンを実行します。

詳細は、『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 13] および 『Vivado Design Suite ユーザーガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 14] を参照してください。

推奨 : 合成後は、 インプリ メンテーシ ョ ン前と後に DRC および SSN 解析を実行するこ とをお勧めします。 こ うするこ とで、 デザイン サイ クルの早期段階で問題を検出可能です。

8. DRC を実行します。

I/O およびクロック配置 japan.xilinx.com 8UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 9: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 2 章 : I/O ピン配置デザイン フロー

詳細は、 第 3 章の 「DRC の実行」 を参照してください。

9. SSN 解析を実行します。

詳細は、 第 3 章の 「SSN 解析」 を参照してください。

10. ボード配置を開始します。

ボードを設計する際は、 次の点を考慮する必要があ り ます。

° ボード レベルの検証には、 IBIS (I/O Buffer Information Specification) または HSPICE モデルを使用したシグナル インテグ リティ解析を実行します。詳細は、第 3 章の 「IBIS モデルのエクスポート 」 を参照してください。

° ボード全体の環境でピン配置を 適化するには、 Cadence 社の Allegro FPGA System Planner や MentorGraphics 社の I/O Designer などのサードパーティ ツールに FPGA をインポート します。

I/O およびクロック配置 japan.xilinx.com 9UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 10: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 2 章 : I/O ピン配置デザイン フロー

図 2-1 に、 I/O 配置プロジェク ト を使用した RTL 前の I/O ピン配置フローを示します。

X-Ref Target - Figure 2-1

図 2‐1 : RTL 前の I/O ピン配置とデバイス確認

I/O およびクロック配置 japan.xilinx.com 10UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 11: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 2 章 : I/O ピン配置デザイン フロー

RTL ベースの I/O ピン配置1. New Project ウ ィザードで RTL プロジェク ト を作成します。

RTL プロジェク トの作成方法については、『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』(UG895) [参照 2] を参照してください。

2. パーツまたはボードを選択します。

パーツを選択する際に、 必要な リ ソースに基づいてデバイスのサイズを決定します。 パッケージは、 メモ リへのク リ ティカル配線など、PCB 要件に基づいて選択します。スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジを使用するデザインについては、 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用) (UG949) [参照 1] を参照して ください。 または、 サポート される評価ボードを選択するこ と もできます。 デザイン フローの詳細については、『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 2] および 『Vivado DesignSuite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4] を参照してください。

注記 :互換性のある代替パーツを指定するこ と もできます。 詳細は、 第 3 章の 「互換性のある代替デバイスの指定」 を参照してください。

3. コンフ ィギュレーシ ョ ン、 DCI カスケードおよび内部 VREF を選択します。

詳細は、 「デバイス コンフ ィギュレーシ ョ ン モードの設定」 および第 3 章の 「[Device Constraints] ビューの設定」を参照してください。

4. I/O ポート をコンフ ィギュレーシ ョ ンします。

詳細は、 第 3 章の 「I/O ポー ト の設定」 を参照して ください。 7 シ リーズ、 Zynq-7000 All Programmable およびUltraScale デバイス ファ ミ リのパッケージおよびピン配置仕様については、『7 シ リーズ FPGA パッケージ配置製品仕様』 (UG475) [参照 5], 『Zynq-7000 All 配置製品仕様』 (UG865) [参照 6] および 『UltraScale アーキテクチャパッケージおよびピン配置製仕様』 (UG575) [参照 7] を参照してください。 バンキング ルールについては、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 8] および 『UltraScale アークテクチャ SelectIO リ ソース : Advance 仕様ユーザー ガイ ド』 (UG571) [参照 9] を参照してください。 たとえば、 1 つのバンクに含めるこ とができる I/O 規格とできない I/O 規格があ り ます。

5. MIG、 GT、 およびコネクティビティ IP を定義します。

Vivado IP カタログを使用して、 MIG、 GT、 およびコネクティビティ IP を定義できます。 IP の使用の詳細については、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 10] を参照して ください。

注記 : イーサネッ ト IP や PCI Express® (PCIe) テク ノ ロジ IP などの一部の IP には、特別なピン配置要件があ り ます。また、高速メモ リ インターフェイスにも クロ ッキングおよびスキューの要件に基づく ピン配置要件があ り ます。

I/O およびクロック配置 japan.xilinx.com 11UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 12: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 2 章 : I/O ピン配置デザイン フロー

6. 主なクロ ッ ク構造を定義します。

詳細は、 第 3 章の 「ク ロ ッ ク配置」 を参照して ください。 タ イ ミ ング ク ロージャを達成する方法については、『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 11] を参照してください。

推奨 : Vivado IP カタログの Clocking Wizard を使用して、混合モード ク ロ ッ ク マネージャー (MMCM) または位相ロック ループ (PLL) モジュールを生成してク ロ ッ ク接続を定義するのをお勧めします。 詳細は、 『LogiCORE IP ClockingWizard 製品ガイ ド』 (PG065) [参照 12] を参照して ください。

7. I/O ポート を配置します。

I/O ポートは、 次のいずれかの方法で配置できます。

° 合成および I/O ポートの自動配置を実行します。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 13] および 第 3 章の 「I/O ポートの自動配置」 を参照してください。

° I/O ポート を手動配置します。

詳細は、 第 3 章の 「I/O ポートの配置」 を参照してください。

8. DRC を実行します。

詳細は、 第 3 章の 「DRC の実行」 を参照してください。

9. SSN 解析を実行します。

詳細は、 第 3 章の 「SSN 解析」 を参照してください。

10. ボード配置を開始します。

ボードを設計する際は、 次の点を考慮する必要があ り ます。

° ボード レベルの検証には、 IBIS (I/O Buffer Information Specification) または HSPICE モデルを使用したシグナル インテグ リティ解析を実行します。詳細は、第 3 章の 「IBIS モデルのエクスポート 」 を参照してください。

° ボード全体の環境でピン配置を 適化するには、 Cadence 社の Allegro FPGA System Planner や MentorGraphics 社の I/O Designer などのサードパーティ ツールに FPGA をインポート します。

I/O およびクロック配置 japan.xilinx.com 12UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 13: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 2 章 : I/O ピン配置デザイン フロー

図 2-2 に、 RTL プロジェク ト を使用した RTL ベースの I/O ピン配置フローを示します。

X-Ref Target - Figure 2-2

図 2‐2 : RTL ベースの I/O ピン配置

I/O およびクロック配置 japan.xilinx.com 13UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 14: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 2 章 : I/O ピン配置デザイン フロー

ネッ ト リス ト  ベースの I/O ピン配置1. New Project ウ ィザードでプロジェク ト を作成します。

合成後プロジェク トの作成方法については、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 2] を参照してください。

2. I/O ポート を配置します。

合成またはインプ リ メン ト済みデザインを開いて、 次のいずれかの方法で I/O ポート を配置します。

° I/O ポート を自動配置します。

詳細は、 第 3 章の 「I/O ポートの自動配置」 を参照してください。

° I/O ポート を手動配置します。

詳細は、 第 3 章の 「I/O ポートの配置」 を参照してください。

3. DRC を実行します。

詳細は、 第 3 章の 「DRC の実行」 を参照してください。

4. SSN 解析を実行します。

詳細は、 第 3 章の 「SSN 解析」 を参照してください。

5. ボード配置を開始します。

ボードを設計する際は、 次の点を考慮する必要があ り ます。

° ボード レベルの検証には、 IBIS (I/O Buffer Information Specification) または HSPICE モデルを使用したシグナル インテグ リティ解析を実行します。詳細は、第 3 章の 「IBIS モデルのエクスポート 」 を参照してください。

° ボード全体の環境でピン配置を 適化するには、 Cadence 社の Allegro FPGA System Planner や MentorGraphics 社の I/O Designer などのサードパーティ ツールに FPGA をインポート します。

I/O およびクロック配置 japan.xilinx.com 14UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 15: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 2 章 : I/O ピン配置デザイン フロー

図 2-3 に、合成またはインプリ メン ト後のネッ ト リ ス ト を使用したネッ ト リ ス ト ベースの I/O ピン配置フローを示します。

X-Ref Target - Figure 2-3

図 2‐3 : ネッ ト リス ト  ベースの I/O ピン配置

I/O およびクロック配置 japan.xilinx.com 15UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 16: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3章

I/O ピン配置

[I/O Planning] レイアウトの使用Vivado® IDE では、 エラボレート済みデザイン、合成済みデザイン、 インプリ メン ト済みデザインで [I/O Planning] レイアウ ト を使用できます。 このレイアウ ト には、 [Package] ビューと [Device] ビューが含まれます。 I/O の情報は、[Clock Resources]、 [Clock Regions]、 [Package Pins]、 [I/O Ports]、 [Device Constraints] および [Properties] ビューにも表示されます。

注記 : Vivado IDE のビューの詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 15] を参照してください。

ヒン ト : I/O 配置プロジェク トの場合は、 [I/O Planning] ビュー レイアウ トがデフォルトのレイアウ ト と して使用されます。

[I/O Planning] レイアウ ト を開始するには、 エラボレート、合成またはインプリ メン ト済みのデザインを開いて、次のいずれかを実行します。

• [Layout] → [I/O Planning] をク リ ッ ク します。

• レイアウ ト セレクターから [I/O Planning] を選択します。

• New Project ウ ィザードを使用して新しい I/O 配置プロジェク ト を作成します。

注記 : I/O ピン配置プロジェク トの作成方法については、 『Vivado Design Suite ユーザー ガイ ド : システム レベルデザイン入力』 (UG895)[参照 2] を参照してください。

I/O およびクロック配置 japan.xilinx.com 16UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 17: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

図 3-1 に、 [I/O Planning] レイアウ ト を示します。

デバイス リソースの表示[Device] ビューおよび [Package] ビューは、 デバイスおよび配置されたロジッ ク リ ソースをグラフ ィカルに表示します。 ビューでロジッ ク オブジェク ト またはデバイス サイ ト を選択する と、 その情報が [Properties] ビューに表示されます。 次に、 これらのビューについて詳細に説明します。

ヒン ト : 特定のオブジェク ト またはデバイス サイ ト を検索するには、[Edit] → [Find] コマンドを使用します。[Find] ダイアログ ボッ クスでは、 さまざまなオブジェク ト タイプを検索でき、 デバイスまたはデザインで特定のオブジェクト を検索する優れたフ ィルター機能も含まれています。 [Find Results] ビューからオブジェク ト を直接選択できます。

プロパティ

[Properties] ビューには、選択したオブジェク トのプロパティが表示されます。選択したオブジェク トによって、ビューのタイ トル バーは変化します。多くの場合、 [Properties] ビューにはオブジェク トに関する異なる情報を表示する複数のタブがあ り ます。 たとえば図 3-2 は、 I/O ポートのプロパティを表示する [I/O Port Properties] ビューで、 [General]、

X-Ref Target - Figure 3-1

図 3‐1 : [I/O Planning] レイアウト

I/O およびクロック配置 japan.xilinx.com 17UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 18: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

[Properties]、 および [Configure] タブが含まれます。 [Properties] ビューを開くには、 [Window] → [Properties] をク リ ック します。

ヒン ト : パッケージ ピンのプロパティ情報は、 Tcl コマンドを使用する と取得できます。 たとえば、 次のコマンドを使用する と、 指定し たパッ ケージ ピンに関するすべてのプロパテ ィ が表示されます。 report_property[get_package_pins <pin_number>].次のコマンドを使用する と、指定したパッケージ ピンの 大ト レース遅延が表示されます。get_property MAX_DELAY [get_package_pins <pin_number>].Tcl コマンドの詳細については、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 16] を参照して ください。

クロック領域のリソースおよび統計

[Clock Regions] ビューでは、 クロ ッ ク領域を簡単に選択できます。 [Clock Regions] ビューでクロ ッ ク領域を選択すると、 [Package] および [Device] ビューでそのリージ ョナル ク ロ ッ ク領域がハイライ ト されます (図 3-3)。

X-Ref Target - Figure 3-2

図 3‐2 : [I/O Port Properties] ビュー

X-Ref Target - Figure 3-3

図 3‐3 : [Clock Regions] ビュー

I/O およびクロック配置 japan.xilinx.com 18UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 19: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

ク ロ ッ ク領域をハイライ ト したら、 [Properties] ビューで選択したクロ ッ ク領域のプロパティを確認できます。 [ClockRegion Properties] ビューでは、 次を実行できます。

• [Statistics] タブをク リ ッ ク して、 選択したクロ ッ ク領域のリ ソース統計および内容を表示します。

• [Resources] タブをク リ ッ ク して、 ロジッ クを割り当てるデバイス ク ロ ッ ク リ ソースを見つけます (図 3-4)。

注記 : [Clock Regions Properties] ビューでオブジェク ト を選択する と、 [Device] ビューなど、 開いているほかのビューでも選択されます。

[Clock Resources] ビューには、 使用可能なク ロ ッ ク リ ソースが表示されるので、 グローバルおよびリージ ョナル クロ ッ ク ツ リーのエレ メン トの配置に役立ちます。 詳細は、 「[Clock Resources] ビューの使用」 を参照して ください。

I/O バンク  リソース

I/O リ ソースは、 [I/O Planning] レイアウ トのどのビューでも選択でき、 図 3-3 に示したよ うに選択したデータはほかのすべてのビューでハイライ ト表示されるため、 物理パッケージと内部チップの関係を簡単に確認できます。

特定の I/O バンクに関する情報を表示するには、 次の手順に従います。

1. [Package Pins] ビューで I/O バンクの 1 つを選択します。

2. [I/O Bank Properties] ビュー (図 3-5) の下部にあるタブをク リ ッ ク し、 情報を確認します。

X-Ref Target - Figure 3-4

図 3‐4 : [Clock Region Properties] ビューの [Resources] タブ

I/O およびクロック配置 japan.xilinx.com 19UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 20: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

多機能ピン

[Package Pins] ビュー (図 3-6) には、 数種類のデータが表形式で表示されます。 このビューでは、 次を実行できます。

• データの展開表示、 フ ィルター処理、 並べ替え

• 列を移動、 非表示、 設定変更して、 さまざまな多機能ピンを表示および比較

• テキス ト を入力するか、 ド ロ ップダウン リ ス トから値を選択し、 一部のセルを直接編集

[Package Pins] ビューには、 次の情報が含まれます。

• [Type] : 多機能ピンのタイプを示します。

• [Config] : デバイス コンフ ィギュレーシ ョ ン モードを設定した後の多機能ピンのピン定義を示します。

注記 :多くのデバイス コンフ ィギュレーシ ョ ン モードで多機能ピンが使用されます。 詳細は、 「デバイス コンフ ィギュレーシ ョ ン モードの設定」 を参照してください。

• その他の列 : 多機能ピンに関するロジッ クまたはコンフ ィギュレーシ ョ ン モードなどの情報を示します。

• GT、 メモ リ コン ト ローラー、 または PCI™ ロジッ クを含むデザインで競合する多機能ピンを示します。

[Package] ビューでは、 多機能ピンの機能が次のシンボルで示されます。

• ク ロ ッ ク兼用ピンは、 青い六角形のアイコンで表示されます。

• VREF ピンには、 電源マークが表示されます。

重要 : 専用 I/O ピンは、 バンクではな く ターゲッ ト デバイス専用です。 たとえば、 VCCO および GND のよ う な専用I/O ピはバンクではなくデバイス別に設定されています。

X-Ref Target - Figure 3-5

図 3‐5 : [I/O Bank Properties] ビュー :

I/O およびクロック配置 japan.xilinx.com 20UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 21: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

互換性のある代替デバイスの指定デザインに互換性のある代替デバイスを選択でき、 必要に応じてターゲッ ト デバイスを変更できます。 I/O ピン割り当てが選択された代替デバイスすべてに対して有効かど うかがチェッ ク されます。現在選択されているターゲッ ト デバイス と同じパッケージの代替デバイスが選択され、 できるだけ多くの I/O 割り当てが保持されます。

互換性のある代替デバイスを定義するには、 次の手順に従います。

1. [Tools] → [I/O Planning] → [Set Part Compatibility] をク リ ッ ク します。

2. [Set Part Compatibility] ダイアログ ボッ クス (図 3-7) で代替デバイスを選択し、 [OK] をク リ ッ ク します。

選択されたすべての代替デバイ ス間で共通のピンが特定され、 すべてのデバイ スに共通でないピンに対してはPROHIBIT 制約が設定されます。 選択するデバイスの数が増える と、 配置可能なパッケージ ピンの数が減り ます。

また、 選択した代替デバイスのボンディングされていないピンに信号が割り当てられないよ うに、 PROHIBIT 制約が自動的に設定されます。 使用禁止のパッケージ ピン数を示すダイアログ ボッ クスが表示されます。 使用禁止のピンは、 [Package]、 [Package Pins]、 [Device] ビューで確認できます。 禁止ピンは、 斜め線の入った丸いアイコン で表示されます。

代替の互換性のあるパーツを定義する  Tcl コマンド

次は、 関連する Tcl コマンドです。

X-Ref Target - Figure 3-6

図 3‐6 : [Package Pins] ビュー

X-Ref Target - Figure 3-7

図 3‐7 : [Set Part Compatibility] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 21UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 22: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

• Tcl コマンド : set_property KEEP_COMPATIBLE

• Tcl コマンドの例 : set_property KEEP_COMPATIBLE xc7k160tfbg676-1 [current_design]

注記 : Tcl コマンドの詳細については、Vivado Design Suite Properties Reference Guide (UG912) [参照 17] を参照してください。

デバイス コンフ ィギュレーシ ョ ン  モードの設定デバイス コンフ ィギュレーシ ョ ン モードを設定し、 モードに関する情報を表示するには、 次の手順に従います。

1. [Tools] → [Edit Device Properties] をク リ ッ ク します。

2. [Edit Device Properties] ダイアログ ボッ クス (図 3-8) で [Configuration Modes] カテゴ リ をク リ ッ ク し、 次を実行したら [OK] をク リ ッ ク してダイアログ ボッ クスを閉じます。

° コンフ ィギュレーシ ョ ン モードのチェッ クボッ クスをオンにして、 コンフ ィギュレーシ ョ ン モードを設定します。 コンフ ィギュレーシ ョ ン モードを設定する と、 次のよ うにな り ます。

- 関連する I/O ピンが [Package Pins] ビューの [Config] 列に表示されます。

- デザインを保存する と、 次の制約が作成されます。

set_property BITSTREAM.CONFIG.PERSIST NO [current_design]

set_property CONFIG_MODE <configuration_mode> [current_design]

° コンフ ィギュレーシ ョ ン モードをク リ ッ ク してダイアログ ボッ クスを開く と、説明、コンフ ィギュレーシ ョン図、 詳細情報へのリ ンクなどの情報が表示されます。 コンフ ィギュレーシ ョ ン図を印刷するには、 [Print]をク リ ッ ク します。

° [Prohibit usage of the configuration pins as user I/O and persist after configuration] をオンにして、 ピンがコンフ ィギュレーシ ョ ン ピンと して使用され、コンフ ィギュレーシ ョ ン後に汎用 I/O と しては使用されないよ うにします。 .このオプシ ョ ンをオンにする と、 デザインを保存したと きに次の制約が作成されます。

set_property BITSTREAM.CONFIG.PERSIST YES [current_design]

注記 : コンフ ィギュレーシ ョ ン ビッ ト ス ト リームの設定に関する詳細は、『Vivado Design Suite ユーザー ガイド : プログラムおよびデバッグ』 (UG908) [参照 18] を参照してください。

重要 : JTAG コンフ ィギュレーシ ョ ン モードが常に選択されています。 JTAG コンフ ィギュレーシ ョ ン モードに加えて、 も う 1 つコンフ ィギュレーシ ョ ンを選択できます。

I/O およびクロック配置 japan.xilinx.com 22UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 23: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

3. [File] → [Save Constraints] をク リ ッ ク し、 制約をターゲッ ト XDC ファ イルに保存します。

注記 : コンフ ィギュレーシ ョ ン モード とその他の多機能ピンとに競合があるかど うかを解析する場合は、 「多機能ピン」 を参照してください。

ヒン ト : コンフ ィギュレーシ ョ ン モードを設定する場合は、 [Edit] → [Undo] をク リ ッ ク して 後のアクシ ョ ンを取り消すこ とができます。 または、 Tcl コンソールに undo を入力します。

デバイス コンフ ィギュレーシ ョ ン  モードを設定する  Tcl コマンド

次は、 コンフ ィギュレーシ ョ ン モードの設定に関する T c l コマンドです。

• Tcl コマンド : set_property CONFIG_MODE

• Tcl コマンドの例 : set_property CONFIG_MODE SPIx2 [current_design]

注記 :デフォルトでは、 コンフ ィギュレーシ ョ ン ピンがコンフ ィギュレーシ ョ ン後にも維持 (persist) されるよ うには設定されていません。 ピンがコンフ ィギュレーシ ョ ン ピンと して使用され、 コンフ ィギュレーシ ョ ン後も汎用 I/O に使用されないよ うにするには、 次の Tcl コマン ド を使用します。 set_property BITSTREAM.CONFIG.PERSISTYES [current_design].

X-Ref Target - Figure 3-8

図 3‐8 : [Edit Device Properties] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 23UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 24: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

[Device Constraints] ビューの設定[Device Constraints] ビュー (図 3-9) では、 DCI_ CASCADE および INTERNAL_VREF などの制約を設定できます。FPGAには、 さまざまな規格のインターフェイスをサポートするコンフ ィギュレーシ ョ ン可能な SelectIO™ インターフェイス ド ラ イバーおよびレシーバーが含まれます。 この機能には、出力電流およびスルー レートのプログラマブル制御、デジタル制御インピーダンス (DCI) を使用したオンチップ終端、基準電圧 (INTERNAL_VREF) を内部生成する機能などが含まれます。詳細は、『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 8] および 『UltraScaleアークテクチャ SelectIO リ ソース : Advance 仕様ユーザー ガイ ド』 (UG571) [参照 9] を参照してください。

DCI_CASCADE 制約の作成

DCI_CASCADE 制約は、 DCI 基準電圧用に近接する複数の I/O バンクを リ ンクするために使用します。 DCI 基準電圧を含む I/O バンクは 「マスター」 と呼ばれ、 カスケード内のそれ以外の I/O バンクは 「スレーブ」 と呼ばれます。 カスケードのすべてのバンクは、 デバイスの同じ I/O 列に配置されている必要があ り ます。

注記 : 7 シ リーズ、 Zynq®、および UltraScale™ デバイスの場合は、 DCI_CASCADE 制約を設定できます。 この制約の詳細については、 制約ガイ ド』 (UG625) [参照 19] を参照してください。

DCI_CASCADE 制約を作成するには、 次の手順に従います。

1. [Device Constraints] ビューの一番上のド ロ ップダウン リ ス トから [DCI Cascade] を選択します (図 3-10)。

2. カスケードする I/O バンクを右ク リ ッ ク し、 [Add DCI Cascade] をク リ ッ ク します。

3. [Add DCI Cascade] ダイアログ ボッ クスで新しい DCI カスケードのマスター I/O バンクを選択したら、 [OK] をクリ ッ ク します。

X-Ref Target - Figure 3-9

図 3‐9 : [Device Constraints] ビュー

X-Ref Target - Figure 3-10

図 3‐10 : [DCI Cascade] ドロップダウン  メニュー

I/O およびクロック配置 japan.xilinx.com 24UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 25: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

マスター バンクが [Device Constraints] ビューに表示されます (図 3-11)。

注記 : DCI カスケードには、 マスター 1 つと少なく と も 1 つのスレーブ I/O バンクが必要です。

ヒン ト : または、 [Package] ビューまたは [Package Pins] ビューで DCI_CASCADE 制約を作成します。 カスケードするバンクを右ク リ ッ ク し、 [Create a DCI Cascade] をク リ ッ ク します。

DCI_CASCADE 制約を作成する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : set_property DCI_CASCADE

• Tcl コマンドの例 : set_property DCI_CASCADE {31 32} [get_iobanks 36]

DCI カスケード制約の変更と削除

DCI カスケードを変更するには、 [Device Constraints] ビューで次を実行します。

• マスターを変更するには、 DCI カスケードを右ク リ ッ ク し、 [Add DCI Cascade] をク リ ッ ク します。 [Add DCICascade] ダイアログ ボッ クスでマスターにする別のバンクを選択します。

• DCI カスケードに I/O バンクを追加するには、 その I/O バンクを DCI カスケードにド ラ ッグ アンド ド ロ ップします。

• DCI カスケードから I/O バンクを削除するには、その I/O バンクを [Unused] フォルダーにド ラ ッグ アンド ド ロ ップします。

• DCI カスケード全体を削除するには、 その DCI カスケードを右ク リ ッ ク して、 [Remove DCI Cascade Banks] をクリ ッ ク します (図 3-12)。

注記 : このアクシ ョ ンを実行する T c l コマンドは次のとおりです。

set_property DCI_CASCADE {} [get_iobanks 36]

X-Ref Target - Figure 3-11

図 3‐11 : DCI カスケードのマスター バンク

I/O およびクロック配置 japan.xilinx.com 25UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 27: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

INTERNAL_VREF 制約の作成

FPGA では、 INTERNAL_VREF 制約をイネーブルにする と、オプシ ョ ンで内部生成の基準電圧を使用するこ とができます。 内部生成によ り、 PCB の特定の VREF 電源レールを提供する必要がな くな り、 該当する I/O バンクの多目的VREF ピンは解除されるので、 通常の I/O ピンと して使用できるよ うになり ます。

ヒン ト : INTERNAL_VREF 制約を含まない I/O バンクはすべて [Device Constraints] ビューの ] フォルダーの下に表示されます。

INTERNAL_VREF 制約を作成するには、[Device Constraints] ビュー (図 3-13) で該当する電圧フォルダー ([0.75V] など) に I/O バンクをド ラ ッグ アンド ド ロ ップします。

INTERNAL_VREF 制約を作成する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : set_property INTERNAL_VREF

• Tcl コマンドの例 : set_property INTERNAL_VREF 0.750 [get_iobanks 17]

X-Ref Target - Figure 3-13

図 3‐13 :内部 VREF 制約の作成

I/O およびクロック配置 japan.xilinx.com 27UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 28: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

コンフ ィギュレーシ ョ ン バンク電圧セレク ト  (CFGBVS) ピンの設定コンフ ィギュレーシ ョ ン バンク電圧セレク ト (CFGBVS) ロジッ ク入力ピンは VCCO_0 および GND 間で参照されます。CFGBVS ピンは、High または Low に設定して、バンク 0 のピンの I/O 電圧サポート を決定する必要があ り ます。Vivadoツールでは、 Tcl コマンドを使用して CFGBVS 情報を VCCO または GND に設定します。 コンフ ィギュレーシ ョ ン電圧や VCCO_0 電圧は 1.5、 3.3、 2.5、 または 1.8 に設定できます。 これらの設定に基づいて、 DRC チェッ クがバンク 0、14、 15 で実行されます。 これらの値は、 IBIS モデルをエクスポートする際にも使用されます。

次に例を示します。

set_property CFGBVS VCCO [current_design]

set_property CONFIG_VOLTAGE 3.3 [current_design]

デフォルトでは、 CFGBVS プロパティは空です。 Vivado ツールでは、 CFGBVS プロパティが VCCO または GND に設定されているかど うかがチェッ ク されます。 CFGBVS プロパティに値が設定されている場合は、 CONFIG_MODE プロパティがチェッ ク されます。DRC のメ ッセージは、そのバンクに対する IOSTANDARD および CONFIG_VOLTAGE設定に基づいて表示されます。

CSV ファ イルをエクスポートする と、 CONFIG_MODE プロパティの設定に基づいて、 バンク 0、 14、 15 に VCCO 情報が含まれる よ う にな り ます。 た と えば、 JTAG/バウ ンダ リ スキ ャ ンを使用する場合に、 CFGBVS が GND、CONFIG_VOLTAGE が 3.3 に設定されている と、 ク リ テ ィ カル警告の DRC CFGBVS-4 が表示されます。 これは、CONFIG_VOLTAGE が 3.3 に設定されていますが、 1.8 の値を含む VCCO に設定する必要があるこ とを示しています。

注記 : CFGBVS ピンの詳細は、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 20] および 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド : Advance 仕様』 (UG570) [参照 21] を参照してください。

I/O およびクロック配置 japan.xilinx.com 28UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 29: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

I/O ポートの定義と設定Vivado IDE を使用して、 I/O ポート をインポート、 作成、 および設定できます。

I/O ポートのインポート

プロジェク トのタイプによって、 次の方法で I/O ポート をインポートできます。

• I/O 配置プロジェク ト : プロジェク ト作成時、またはファイルのインポート機能を使用して、空の I/O ピン配置プロジェク トに XDC または CSV ファ イルをインポートできます。 詳細は、 「CSV ファ イルのインポート 」 および「XDC ファ イルのインポート 」 を参照して ください。

• RTL プロジェク ト : RTL ファ イルまたはヘッダーを使用して I/O ピン配置用に RTL プロジェク ト を作成し、設計プロセスが進行してからよ り完全な RTL ソース ファ イルを追加します。 RTL ベースまたは合成済みネッ ト リ スト ベースのプロジェク ト を作成する と、 [I/O Ports] ビューにデザインで定義された I/O ポートが自動的に表示されます。

• I/O 配置プロジェク ト を RTL プロジェク トに変換 : I/O ピン配置プロジェク ト を RTL プロジェク トに変換し、 I/Oポート をデザインの 上位 Verilog または VHDL モジュール定義に変換できます。 詳細は、 「RTL デザインへの変換」 を参照してください。

CSV ファイルのインポート

CSV ファ イルをインポート して、 [I/O Planning] レイアウ トの [I/O Ports] ビューに表示できます。 これらの I/O ポートを物理パッケージ ピンに割り当てて、 デバイス ピンの設定を定義できます。

CSV ファ イルから I/O ポートのリ ス ト をインポートするには、 次の手順に従います。

1. [File] → [Import] → [Import I/O Ports] をク リ ッ ク します。

2. [Import I/O Ports] ダイアログ ボッ クス (図 3-14) で [CSV File] をオンにし、参照ボタンをク リ ッ ク してインポートするファ イルを選択します。

図 3-15 に、 CSV ファ イル形式を示します。 CSV は、 FPGA やボード設計で、 デバイス ピンおよびピン配置のデータを交換するために使用される標準ファイル形式です。 Vivado IDE で I/O ピン関連のデータをインポートするには、 特定の CSV ファ イル形式が必要です。詳細は、付録 A 「CSV ファ イル形式の I/O ポート リ ス ト 」 を参照してください。

X-Ref Target - Figure 3-14

図 3‐14 : [Import I/O Ports] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 29UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 30: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

CSV ファ イルでは、差動ペアを複数の方法で定義できます。たとえば、DiffPair Signal および DiffPair Type プロパティを定義する と差動ペアが認識されます。 また、 CSV ファ イルでペアの 1 つのポートのみを定義した場合、 または 2 つのネッ トに適切な名前が使用されている場合、 差動ペアが推論されます。 詳細は、 付録 A の 「CSV ファ イルでの差動ペア」 を参照して ください。 差動ペアが推論される と、 ペアの割り当てを確認する メ ッセージが表示されます (図 3-16)。

CSV ファ イルには、 Vivado IDE では認識されない追加情報を含めるこ と もできます。 インポート された CSV ファ イルに認識できない情報が含まれる場合、 [Package Pins] ビューのユーザー列に表示されます。 ユーザー CSV フ ィールドの値を変更または定義するには、 [Package Pins] ビューでポップアップ メニューから [Set User Column Values] をクリ ッ ク します。

注記 : CSV ファ イルのエクスポートの詳細は、 「I/O ピンとパッケージ データのエクスポート 」 を参照してください。

XDC ファイルのインポート

XDC ファ イルから I/O ポートの定義をインポートするには、 次の手順に従います。

1. [File] → [Import] → [Import I/O Ports] をク リ ッ ク します。

2. [Import I/O Ports] ダイアログ ボッ クス (図 3-14) で [XDC File] をオンにし、参照ボタンをク リ ッ ク してインポートするファ イルを選択します。

XDC ではポートの方向は定義されていないので、 方向は未定義になり ます。 I/O ポートの方向を定義するには、 [I/OPorts] ビューでポップアップ メニューから [Set Direction] をク リ ッ ク します。 [I/O Ports] ビューで特定の I/O ポートの方向を直接変更するこ と もできます。 詳細は、 「I/O ポート方向の設定」 を参照してください。

X-Ref Target - Figure 3-15

図 3‐15 : CSV ファイル形式の I/O ポート  リス ト

X-Ref Target - Figure 3-16

図 3‐16 : [Infer differential pairs] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 30UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 31: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

シングルエンドまたは差動 I/O ポートの作成

I/O ピン配置プロジェク トでは、 新しいポート を手動で定義できます。 デバイスの電圧に関する情報は、 ザイ リ ンクス デバイスの資料を参照してください。

I/O ポート を作成するには、 次の手順に従います。

1. [I/O Ports] ビューで、 ポップアップ メニューから [Create I/O Ports] をク リ ッ ク します。

2. [Create I/O Ports] ダイアログ ボッ クス (図 3-17) で次のオプシ ョ ンを指定し、 [OK] をク リ ッ ク します。

° [Name] : 作成するポート またはバスの名前を指定します。

° [Direction] : ポートの方向を選択します。

° [Diff Pair] : 差動ペア信号を定義する場合にオンにします。

注記 :差動 I/O ポート を作成するには、 このオプシ ョ ンをオンにします。 これによ り 2 つのポートが作成され、 指定した名前に _P と _N がそれぞれ付きます。

° [Create Bus] : バスを作成する場合にバスの範囲を指定します。

° [I/O standard] : I/O 規格を選択します。

° [Drive strength] : 駆動電流の値を選択します。

° [Slew type] : スルー レートのタイプを選択します。

° [Pull type] : 抵抗のタイプを選択します。

° [In Term type] : 入力信号の並列終端プロパティを定義します。

シングルエンドまたは差動 I/O ポート を作成する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : create_port

• Tcl コマンドの例 (シングルエンド I/O ポート ) : create_port port_1 -direction in

• Tcl コマンドの例 (差動 I/O ポート ) : create_port port_2 -direction in -diff_pair

差動ペアの作成と分割

I/O ピン配置プロジェク トで差動ペアを定義するには、 次の手順に従います。

X-Ref Target - Figure 3-17

図 3‐17 : [Create I/O Ports] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 31UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 32: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

1. [I/O Ports] ビューで 2 つの I/O ポート を選択し、 右ク リ ッ ク して [Make Diff Pair] を選択します。

重要 : [Make Diff Pair] オプシ ョ ンは RTL プロジェク トでは使用できません。 RTL プロジェク トでは、適切な I/O バッファーのインスタンシエーシ ョ ンを使用して、 ソース コードで差動ペアを定義する必要があ り ます。

[Make I/O Diff Pair] ダイアログ ボッ クス (図 3-18) では、ツールによ り 2 つの I/O ポートが P 側と N 側に割り当てられています。

2. P 側と N 側の信号を入れ替える場合は [Swap] をク リ ッ ク し、 [OK] をク リ ッ ク します。

ヒン ト : ポップアップ メニューで [Split Diff Pair] をク リ ッ クする と、 差動ペアを 2 つのポートに分割できます。

差動ペアを分割する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : make_diff_pair_ports

• Tcl コマンドの例 : make_diff_pair_ports port_6 port_5

I/O ポートの設定

1 つまたは複数の I/O ポートの I/O 規格、 駆動電流、 スルー レートのタイプ、 抵抗のタイプ、 および入力信号の並列終端を設定できます。 この方法は、適切な特性が指定されていない CSV または XDC ファ イルからインポート されたポート を設定する場合に便利です。デバイスの電圧に関する情報は、ザイ リ ンクス デバイスの資料を参照してください。

ポート またはポートのグループを設定するには、 次の手順に従います。

1. [I/O Ports] ビューで、 ポート を選択します。

2. 右ク リ ッ ク して [Configure I/O Ports] をク リ ッ ク します。

3. [Configure Ports] ダイアログ ボッ クス (図 3-19) で次のオプシ ョ ンを指定し、 [OK] をク リ ッ ク します。

° [I/O standard] : I/O 規格を選択します。 I/O 規格は指定したと きにはチェッ ク されません。ポートにはどの I/O規格でも割り当てるこ とができますが、 DRC を実行したと きにエラーとなる可能性があ り ます。

° [Drive strength] : 駆動電流の値を選択します。

° [Slew type] : スルー レートのタイプを選択します。

X-Ref Target - Figure 3-18

図 3‐18 : [Make I/O Diff Pair] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 32UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 33: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

° [Pull type] :抵抗のタイプを選択します。

- [PULLUP] : ト ラ イステート出力または双方向ポートにウ ィーク High を適用し、 駆動されていない場合にフローティングしないよ うにします。

- [PULLDOWN] : ト ラ イステート出力または双方向ポートにウ ィーク Low を適用し、 駆動されていない場合にフローティングしないよ うにします。

- [KEEPER] : ト ラ イステート出力または双方向ポートにウ ィーク ド ラ イバーを適用し、 駆動されていない場合に値を保持します。

- [NONE] : ド ラ イバーは適用されません。

注記 : [I/O Ports] ビューの [Pull Type] 列をク リ ッ クする と、 抵抗タイプ制約を設定できます。

° [In Term type] :入力信号の並列終端プロパティを定義します。

° [Fixed] : 論理ポートがユーザーの割り当てたものであるこ とを示します。ポートは固定して、ビッ ト ス ト リームがエラーなく生成されるよ うにする必要があ り ます。

注記 : [Configure Ports] ダイアログ ボッ クスでは [Fixed] オプシ ョ ンは読み出し専用です。 ポート を固定するには、 [I/O Ports] ビューでポート を選択し、 [Fix Ports] ツールバー ボタン をク リ ッ クするか、 次の Tclコマンドを Tcl コンソールに入力します。

set_property IS_LOC_FIXED true [get_selected_objects]

または、 次の Tcl コマンドを使用します。

set_property IS_LOC_FIXED true [get_ports <list_of_ports>]

注意 : 7 シ リーズ、 Zynq、 UltraScale デバイスの場合、 ビッ ト ス ト リーム ファ イルを生成するには、すべての I/O ポートの PACKAGE_PIN および IOSTANDARD 制約の値が明確に指定されている必要があ り ます。 [I/O Ports] ビューに赤文字で 「default」 と表示されている場合は、 これらの値を手動で指定する必要があ り ます。 これは、 これらのデバイスに Low および High 電圧の I/O バンクが含まれるためです。 I/O 規格を割り当てる際には必ず注意してください。

X-Ref Target - Figure 3-19

図 3‐19 : [Configure Ports] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 33UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 34: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

I/O ポート方向の設定

I/O ポートの方向を指定するには、 次のいずれかの方法を使用します。

• I/O 配置プロジェク トの場合にのみ、 [I/O Ports] ビューで [Direction] 列をク リ ッ ク し、 ド ロ ップ ダウン メニューから方向を選択します。

• I/O 配置プロジェク トの場合にのみ、 [I/O Ports] ビューでポート をク リ ッ ク し、 [I/O Port Properties] ビューでその方向を変更します。

• (I/O 配置プロジェク トのみ) [I/O Ports] ビューで I/O ポート、バス、またはインターフェイスを右ク リ ッ ク し、 [SetDirection] をク リ ッ ク します。

• (RTL プロジェク トのみ) RTL ソースでポートの方向を定義します。

重要 : I/O ピン配置プロジェク トでは、ポート方向のプロパティのみを設定できます。 このプロパティを I/O ピン配置プロジェク ト外で変更しよ う とする と、 ク リ ティカル警告が表示されます。

I/O ポート  インターフェイスの作成

複数のポート またはバスをま とめてグループにするには、インターフェイスを作成します。インターフェイス ポートが 1 つのグループと して処理されるので、 ピンの割り当てに有益です。 ピンをすべて同時に割り当てる と、 ク ロ ッ ク領域または PCB 配線関連のインターフェイスをま とめたり分離しやすくな り ます。 特定のロジッ ク インターフェイスに関連付けられた信号の表示および管理も容易になり ます。

インターフェイスを作成するには、 次の手順に従います。

1. [I/O Ports] ビューで、 1 つのグループにまとめる信号を選択します。

2. 右ク リ ッ ク して [Create I/O Port Interface] をク リ ッ ク します。

3. [Create I/O Port Interface] ダイアログ ボッ クス (図 3-20) で、 インターフェイスの名前を指定してオプシ ョ ンを指定し、 [OK] をク リ ッ ク します。

インターフェイスが [I/O Ports] ビューに展開可能なフォルダーと して表示されます (図 3-21)。

X-Ref Target - Figure 3-20

図 3‐20 : [Create I/O Port Interface] ダイアログ ボックス

X-Ref Target - Figure 3-21

図 3‐21 : [I/O Ports] ビューの I/O ポート  インターフェイス

I/O およびクロック配置 japan.xilinx.com 34UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 35: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

ヒン ト : インターフェイスを削除するには、 削除するインターフェイスを選択し、 右ク リ ッ ク して [Delete] をク リ ックするか、 Delete キーを押します。

インターフェイスへの I/O ポートの追加

インターフェイスに I/O ポート を追加するには、 [I/O Ports] ビューで次のいずれかを実行します。

• I/O ポート を選択し、 インターフェイス フォルダーにド ラ ッグします。

• ポート またはバスを右ク リ ッ ク し、 [Assign to Interface] をク リ ッ ク します。 [Select I/O Port Interface] ダイアログボッ クスで、 インターフェイスを選択します。

インターフェイスからの I/O ポートの削除

I/O ポート を削除するには、 [I/O Ports] ビューでポート を右ク リ ッ ク し、 [Unassign from Interface] をク リ ッ ク します。

I/O ポート  インターフェイスを処理する  Tcl コマンド

次は、 I/O ポート インターフェイスの作成に関連する Tcl コマンドです。

• Tcl コマンド : create_interface

• Tcl コマンドの例 :

create_interface interface_1

set_property interface interface_1 [get_ports [list {test_1[3]} {test_1[2]}{test_1[1]} {test_1[0]} {test_1_n[3]} {test_1_n[2]} {test_1_n[1]} {test_1_n[0]}]]

set_property interface interface_1 [get_ports [list port_2 port_2_N port_1 port_4]]

endgroup

次は、 I/O ポートのインターフェイスからの削除に関連する Tcl コマンドです。

• Tcl コマンド : set_property INTERFACE

• Tcl コマンドの例 : set_property INTERFACE "" [get_ports [list port_2 port_2_N]]

I/O ポート  インターフェイスの自動推論

IP からデザインの 上位ポート まで接続されるインターフェイスは表示するこ とができます。 これらの IP インターフェイスの場合、 Vivado ツールで自動的にピン配置インターフェイスが推論され、 関連する 上位 I/O ポートがグループ分けされ、 上位デザインのコンテキス ト内でインターフェイスが記号的に参照されるよ うにな り ます。 たとえば、 図 3-22 の場合、 LCD_7bits_tri_0 バスは汎用 I/O (GPIO) インターフェイスで、 GPIO_59924 ピン配置インターフェイスの下にま とめられています。

プロジェク トがパーツではなくボードをターゲッ トにしていて、 Vivado IP カタログを使用して IP をカスタマイズする場合、 [Customize IP] ダイアログ ボッ クスまたは Vivado IP インテグレーターの [Board Part Interfaces] ビューでボード用の制約を適用できます。 I/O ポートに関連するボード パーツ ピンは、 [I/O Ports] ビューの [Board Part Pin] 列から確認できます。 図 3-22 は、 ピン配置インターフェイスの GPIO_59924 がボード パーツ ピンの lcd_7bits[6:0] に制約付けされている と ころを示しています。

注記 : GPIO_59924 の 59924 は、 デザインに複数の GPIO インターフェイスがある場合に、 GPIO インターフェイスを識別するために使用されています。 番号自体には、 意味はあ り ません。

I/O およびクロック配置 japan.xilinx.com 35UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 36: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

I/O ピンおよび I/O バンクの使用禁止

[I/O Planning] レイアウ トでは、I/O ピン、I/O ピンのグループ、または I/O バンクを使用禁止に設定できます。[Device]、[Package]、 [Package Pins] ビューでピンを選択し、 禁止にします。

I/O ピンまたは I/O バンクを使用禁止にするには、 次の手順に従います。

1. [Device]、 [Package]、 または [Package Pins] ビューで、 I/O ピンまたは I/O バンクを選択します。

2. 右ク リ ッ ク して [Set Prohibit] をク リ ッ ク します。

使用禁止に設定されたピンは、 次のよ うに表示されます。

• [Device] ビューおよび [Package] ビューでは、 斜線の入った赤丸が表示されます (図 3-23)。

• [Package Pins] ビューの [Prohibit] 列にチェッ ク マークが表示されます。

ヒン ト : 禁止設定は、 [Package Pins] ビューの [Prohibit] 列から削除できます。 各禁止を選択するか、 Ctrl + A ですべてのピンを選択して右ク リ ッ ク し、 [Clear Prohibit] をク リ ッ ク します。 または、次のよ うに Tcl コマンドを使用して、禁止設定を削除します。

set_property prohibit 0 [get_sites U17]

X-Ref Target - Figure 3-22

図 3‐22 : I/O ポート  インターフェイスの自動推論

X-Ref Target - Figure 3-23

図 3‐23 :使用禁止に設定されたパッケージ ピン

I/O およびクロック配置 japan.xilinx.com 36UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 37: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

クロック配置合成済みまたはインプ リ メ ン ト済みデザインを使用する場合、 BUFGCTRL、 MMCM、 BUFR、 IDELAYCTRL などのグローバルおよびリージ ョナル ク ロ ッ ク関連のロジッ クは、 「[Clock Resources] ビューの使用」 で説明するよ うに、[Clock Resources] ビューを使用して手動で配置できます。 ク ロ ッ ク ロジッ クは、 [Device] ビューでも手動で配置できます。 [Device] ビューには、 デバイス特有のリ ソースに対して適切なロジッ ク サイ トが表示されます。

注記 : ク ロ ッ ク配置の詳細は、 『7 シ リ ーズ FPGA ク ロ ッ ク リ ソース ユーザー ガイ ド』 (UG472) [参照 22] および『UltraScale アークテクチャ ク ロ ッキング リ ソース Advance 仕様ユーザー ガイ ド』 (UG572) [参照 23] を参照してください。

推奨 : ク ロ ッ ク リ ソースはピン配置を選択する前に選択しておく こ とをお勧めします。 これは、 ク ロ ッ クの選択により、 特定のピン配置が検出され、 そのロジッ クの配置を指定できるからです。 ク ロ ッ クを正し く選択する と、 結果は良くなり ます。

ロジック  セルの検索

ロジッ ク セルを配置するために検索するには、 次の手順に従います。

1. [Edit] → [Find] をク リ ッ ク します。

2. [Find] ダイアログ ボッ クスで、 [Find] フ ィールドで [Cells] を選択し、 セルの検索条件を定義します。

3. [Find Results] ビューからセルを [Clock Resources] または [Device] ビューにド ラ ッグし、 適なデバイス リ ソースに割り当てます。

注記 :詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 15] を参照してください。

ヒン ト : グローバル ク ロ ッ ク バッファー (BUFGCTRL) など、 ロジッ ク セルを配置するデバイス上の物理リ ソースも検索できます。 [Find] フ ィールドで [Sites] を選択し、 検索条件を定義します。 [Find Results] ビューの検索結果を選択する と、 [Clock Resources] または [Device] ビューでデバイス リ ソースがハイライ ト されます。

[Clock Resources] ビューの使用

[Clock Resources] ビューには、 BUFR、 BUFIO、 BUFG、 MMCM、 GT などのリージ ョナルおよびグローバル ク ロ ッ クリ ソース間の関係が表示されます。[Clock Resources] ビューに表示されるデバイス リ ソースは簡略化されていますが、これらのリ ソース間の相対的な位置関係は正し く保持されています。

推奨 : Vivado IP カタログの Clocking Wizard を使用して、混合モード ク ロ ッ ク マネージャー (MMCM) または位相ロック ループ (PLL) モジュールを生成してク ロ ッ ク接続を定義するのをお勧めします。 詳細は、 『LogiCORE IP ClockingWizard 製品ガイ ド』 (PG065) [参照 12] を参照して ください。

注記 : [Device] ビューに表示される FPGA デバイスの詳細のほとんどは、 [Clock Resources] ビューには表示されません。

図 3-24 に、 Kintex®-7 K70T デバイスの [Clock Resources] ビューを示します。

• デバイスには 8 つのクロ ッ ク領域が 4x2 の行列で配置され、デバイスの左下 X0Y0 から右上 X1Y3 に向かって番号が付けられています。

• 各クロ ッ ク領域には、 CCIO (ク ロ ッ ク兼用 I/O)、 BUFIO、 BUFR を含む I/O バンク もあ り、 これら も [ClockResources] ビューに表示されます。

• デバイスは、 4 つのクロ ッ ク領域を含む上半分と、 4 つのクロ ッ ク領域を含む下半分に分割されています。

I/O およびクロック配置 japan.xilinx.com 37UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 38: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

• デバイスのグローバル ク ロ ッ クを制御する BUFG は、 デバイスの中央列にあ り ます。

レベルの展開/非展開

レベルを展開または非展開にして必要な情報のみを表示するには、 次を実行します。

• プラス記号 (+) およびマイナス記号 (-) をク リ ッ ク して、 ツ リーの該当する部分を展開または非展開にします。

• ツールバーの [Expand All] および [Collapse All] ボタンをク リ ッ ク して、 すべてのツ リーを展開または非展開にします。

オブジェク ト選択の連動

ビュー間でのオブジェク トの選択を連動させるには、 [Clock Resources] ビューで次のいずれかを実行します。

• ク ロ ッ ク領域または I/O バンクの名前をク リ ッ ク します。

この方法を使用して、 デバイス、 パッケージ、 またはネッ ト リ ス トで特定のオブジェク ト をすばやく見つけることができます。

• ツールバーの [Automatically scroll to selected object] ボタン をク リ ッ ク して、別のビューで選択したオブジェク トが表示されるよ うにします。

この方法を使用して、 デバイスの特定リ ソースを [Clock Resources] ビューですばやく見つけるこ とができます。

ヒン ト : この機能をオフにして、 ほかのビューでオブジェク ト選択されるたびに、 表示される リ ソースが変わるのを避けるこ とができます。

X-Ref Target - Figure 3-24

図 3‐24 : [Clock Regions] ビュー

I/O およびクロック配置 japan.xilinx.com 38UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 39: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

デザイン セルの配置

[Clock Resources] ビューには [Site] と [Cell] の 2 つの列があ り、 デバイス リ ソース とそれに割り当てられているデザイン セルが示されます。

デザイン セルを配置するには、 次の手順に従います。

1. [Find Results]、 [Schematic]、 [Netlist]、 または [I/O Ports] ビューで、デバイス リ ソースに配置するロジッ ク セルを選択します。

2. セルを [Clock Resources] ビューの適切なデバイス リ ソースの [Cell] 列にド ラ ッグします。

[Clock Resources] ビューにセルをド ラ ッグする と、 そのセルを配置できないサイ トではカーソルがスラ ッシュの入った円に変わり、 配置できるサイ トでは長方形になり ます。

重要 : セルを配置する際は、グローバルおよびリージ ョナル ク ロ ッ ク ツ リー構造に関する規則および制限が適用されます。 これらの規則および制限に関する情報は、 『7 シ リーズ FPGA ク ロ ッ ク リ ソース ユーザー ガイ ド』 (UG472) [参照 22] および 『UltraScale アークテクチャ ク ロ ッキング リ ソース Advance 仕様ユーザー ガイ ド』 (UG572) [参照 23] を参照してください。

[Device] ビューでのクロック  ロジックの配置

ク ロ ッ ク ロジッ クを手動で配置するには、 次の手順に従います。

1. [Device] ビューを拡大表示し、 ロジッ クを配置するデバイス サイ ト を表示します。

2. ツールバーの [Cell Drag & Drop Modes] ボタンをク リ ッ ク し、 [Create Site Constraint Mode] をオンにします。

3. [Find Results]、 [Schematic]、 [Netlist]、 または [I/O Ports] ビューで配置するロジッ ク セルを選択し、 [Device] の適切なデバイス リ ソースにド ラ ッグします。

I/O ポートの配置[I/O Planning] レイアウ トでは、 複数の方法で I/O ポート をパッケージ ピンに割り当てるこ とができます。 [I/O Ports]ビューで個別の I/O ポート、 I/O ポートのグループ、 またはインターフェイスを選択し、 [Package] ビューでパッケージ ピンに、 または [Device] ビューで I/O パッ ドに割り当てるこ とができます。

[Package] ビューでは、 次の操作を実行できます。

• ポート をパッケージ ピンにド ラ ッグ アンド ド ロ ップします。

• ポートの配置と制約を確認します。

• ピン上にカーソルを移動し、 ビューの上と左に I/O ピンの座標を表示します。

• ピン上にカーソルを置き、 ピン情報を含むツール ヒ ン ト を表示します。

• 差動ペアは、 [Show Differential Pairs] ツールバー ボタン を使用する と表示できます。

注記 : I/O ピンおよびバンクのその他の情報は、 Vivado IDE の下部にあるステータス バーに表示されます。

I/O ポートの順次配置

I/O ポート を順次に配置するには、 次の手順に従います。

1. [I/O Ports] ビューで、 個別の I/O ポート、 I/O ポートのグループ、 またはインターフェイスを選択します。

2. 次のいずれかを実行します。

I/O およびクロック配置 japan.xilinx.com 39UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 40: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

° [I/O Ports] ビューで、 ポップアップ メニューから [Place I/O Ports Sequentially] をク リ ッ ク します。

° [Package] または [Device] ビューで、 ツールバーの [Place Ports] ボタンをク リ ッ ク し、 [Place I/O PortsSequentially] をオンにします。

カーソルをパッケージ ピンまたは I/O パッ ド上に移動する と、 グループの 初の I/O ポートがカーソルと共に移動します。 ツール ヒ ン トには、 I/O ポート名およびパッケージ ピン名が表示されます。

3. ピンまたはパッ ドをク リ ッ クする と、 I/O ポートが割り当てられます。

配置されていない I/O ポートが残っている場合は、 コマンドは継続されます。 I/O ポートがすべて割り当てられるまでこの操作を繰り返すか、 または Esc キーを押してモードを解除します。

ヒン ト : ポートは、 [I/O Ports] ビューに表示されている順序で割り当てられます。割り当ての前に [I/O Ports] ビューでポート を並べ替える と、 並べ替えられた順序で割り当てられます。

図 3-25 に I/O ポート を順次に配置している と ころを示します。

I/O ポートの I/O バンクへの配置

I/O ポート を I/O バンクに配置するには、 次の手順に従います。

1. [I/O Ports] ビューで、 個別の I/O ポート、 I/O ポートのグループ、 またはインターフェイスを選択します。

2. 次のいずれかを実行します。

° [I/O Ports] ビューのポップアップ メニューから [Place I/O Ports in an I/O Bank] をク リ ッ ク します。

X-Ref Target - Figure 3-25

図 3‐25 : I/O ポートを順次に配置

I/O およびクロック配置 japan.xilinx.com 40UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 41: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

° [Package] または [Device] ビューで、 ツールバーの [Place Ports] ボタンをク リ ッ ク し、 [Place I/O Ports in an I/OBank] をオンにします。

カーソルをパッケージ ピンまたは I/O パッ ド上に移動する と、 I/O ポートのグループがカーソルと共に移動します。 ツール ヒ ン トには、 カーソルの置かれた I/O バンクに配置可能なピン数が表示されます。

3. ピンまたはパッ ドをク リ ッ クする と、 選択された I/O ポートが割り当てられます。

I/O バンクに収まらない数の I/O ポートが選択されている場合、選択された I/O バンクにできるだけ多くのポートが配置され、 残りのポート を配置する別の I/O バンクを選択できます。 I/O ポートがすべて配置されるまでこの操作を繰り返すか、 または Esc キーを押してモードを解除します。

ヒン ト : ポートは、 [I/O Ports] ビューに表示されている順序で割り当てられます。割り当ての前に [I/O Ports] ビューでポート を並べ替える と、 並べ替えられた順序で割り当てられます。

デバイス リ ソースへのポートの割り当ても、 I/O バンクの 初の選択から実行されます。 I/O バンクの一端にあるピンを選択する と、 バスが I/O バンク全体に割り当てられます。

バスに関連する PCB 配線も考慮されます。 ピンは、 割り当て領域内でバス ビッ トのベクターが保持されるよ うな順序で割り当てられます。 割り当てパターンは、 その他のバス配線の考慮事項に対応するよ う カスタマイズできます。

図 3-26 に、 I/O バンクに I/O ポート を配置する と ころを示します。

X-Ref Target - Figure 3-26

図 3‐26 : I/O ポートの I/O バンクへの配置

I/O およびクロック配置 japan.xilinx.com 41UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 42: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

ポート を  I/O バンクに配置する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : place_ports -iobank

• Tcl コマンドの例 : place_ports -iobank [get_iobanks {12 13 14 15}] [all_inputs]

ヒ ン ト : すべてのバン クのポー ト を配置するには、 次の Tcl コマン ド を使用し ます。 place_ports -iobanks[lrange [get_iobanks] 1 end] <port list>place_ports コマンドはバンク 0 に対してはサポート されません。

I/O ポートの定義された領域への配置

I/O ポート を定義された領域に配置するには、 次の手順に従います。

1. [I/O Ports] ビューで、 個別の I/O ポート、 I/O ポートのグループ、 またはインターフェイスを選択します。

2. 次のいずれかを実行します。

° [I/O Ports] ビューで、 ポップアップ メニューから [Place I/O Ports in Area] をク リ ッ ク します。

° [Package] または [Device] ビューで、 ツールバーの [Place Ports] ボタンをク リ ッ ク し、 [Place I/O Ports in Area] をオンにします。

カーソルが十字型に変化し、 ポート を配置する長方形を定義できるよ うになり ます。

3. [Package] ビューまたは [Device] ビューのいずれかで長方形を描画し、 割り当て領域を定義します。

定義した領域に選択された I/O ポートすべてを配置できない場合は、 コマンドが継続します。 カーソルは十字型のままで、 残りの I/O ポー ト がすべて配置されるまで、 長方形を続けて描画できます。 Esc キーを押すと、 I/Oポート を配置し終える前にコマンドを終了できす。

ヒン ト : ポートは、 [I/O Ports] ビューに表示されている順序で割り当てられます。割り当ての前に [I/O Ports] ビューでポート を並べ替える と、 並べ替えられた順序で割り当てられます。

長方形の描画方向によって割り当て順序が変わり ます。 I/O ポートは 初に選択された長方形の座標の内側のピンから順に割り当てられます。領域の長方形の定義を工夫するこ とによ り、PCB 配線の観点から有益なピン配置が得られます。

I/O およびクロック配置 japan.xilinx.com 42UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 43: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

図 3-27 に、 I/O ポート を領域に配置する と ころを示します。

配置済み I/O ポートの入れ替え

配置済みの 2 つの I/O ポートの配置を入れ替えるには、 次の手順に従います。

1. 使用可能なビューで 2 つの I/O ポート を選択します。

2. 右ク リ ッ ク して [Swap Locations] をク リ ッ ク します。

重要 : インプリ メン ト済みデザインで固定されていない 2 つのポートの配置を入れ替える と、 入れ替えるこ とによ りポートの配置が固定され、 制約が XDC ファ イルに記述されます。

配置済み I/O ポートの移動

既に割り当てられているポート またはポートのグループを移動するには、選択して別の場所にド ラ ッグします。 あるI/O バンクのポート グループを別の I/O バンクに移動する場合、 選択したポートに 適な場所が自動的に検出されます。

注記 : これは、 [Place I/O Ports in an I/O Bank] コマンドを使用するのと同様です。

X-Ref Target - Figure 3-27

図 3‐27 : I/O ポートの領域への配置

I/O およびクロック配置 japan.xilinx.com 43UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 44: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

I/O ポートの自動配置

I/O ポートはパッケージ ピンに自動的に割り当てるこ とができます。 自動配置では、 I/O 規格および差動ペアの規則すべてに従い、 グローバル ク ロ ッ ク ピンが正し く配置されます。

I/O ポート を自動配置するには、 次の手順に従います。

1. [I/O Ports] ビューで、 自動配置する I/O ポート を選択します。

2. [Tools] → [I/O Planning] → [Autoplace I/O Ports] をク リ ッ ク します。

注記 : または、 [I/O Ports] ビューでポップアップ メニューから [Auto-place I/O Ports] をク リ ッ ク します。

3. Autoplace I/O Ports ウ ィザード (図 3-28) で、 配置する I/O ポート を選択し、 [Next] をク リ ッ ク します。

4. 既にパッケージ ピンに割り当てられている I/O ポート を選択している場合、 [Placed I/O Ports] ページ (図 3-29) でオプシ ョ ンを選択し、 [Next] をク リ ッ ク します。

X-Ref Target - Figure 3-28

図 3‐28 : Autoplace I/O Ports ウィザード

X-Ref Target - Figure 3-29

図 3‐29 : Autoplace I/O Ports ウィザードの [Placed I/O Ports] ページ

I/O およびクロック配置 japan.xilinx.com 44UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 45: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

5. [Place I/O Ports Summary] で [Finish] をク リ ッ ク します。

ギガビッ ト  ト ランシーバーの I/O ポートの配置

GT をよ り適切に管理するため、 [I/O Planning] レイアウ トのビューでは、関連する 2 つの I/O 差動ペアと GTX ロジック オブジェク トが、 選択、 配置、 移動の際に自動的にグループ化されます。 GT の複数のオブジェク トは 1 つのオブジェク ト と して選択され、 ま とめて移動されるので、 GT リ ソースの無効な配置は実行されません。

インタラ クティブ DRC がオンの場合、 ポート配置中に、 GTX の周囲のノ イズの影響を受けやすい I/O ピンが自動的に配置禁止になり ます。 詳細は、 「インタラ クティブ DRC のディ スエーブル/イネーブル」 を参照して ください。

I/O 配置制約の削除

配置制約を削除するには、 配置されたロジッ クを右ク リ ッ ク し、 [Unplace] をク リ ッ ク します。

DRC の実行DRC の実行は、ピン配置で も重要な手順です。DRC では指定したデザイン ルール チェッ クのセッ トに対して現在のデザインがチェッ ク され、 エラーや違反がレポート されます。 このセクシ ョ ンでは、 I/O ポートおよびクロ ッ クに関する DRC の実行と、 DRC 違反の表示について説明します。

注記 : アドバンスな DRC 制御については、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 16]を参照してください。

I/O ポートおよびクロック  ロジック関連の DRC の実行

実行する DRC ルールを選択するには、 次の手順に従います。

1. [Tools] → [Report DRC] をク リ ッ ク します。

注記 : または、 Flow Navigator の [Report DRC] を ク リ ッ クするか、 Tcl コ ン ソールに 「report_drc -name<results_name>」 と入力します。

2. [Report DRC] ダイアログ ボッ クス (図 3-30) で次のオプシ ョ ンを設定し、 [Next] をク リ ッ ク します。

° [Results name] : DRC 結果の名前を指定します。 こ こで指定した名前が [DRC] ビューのタブに表示されます。 わかりやすい名前にしておく と、デバッグ中に [DRC] ビューで特定の run が識別しやすくな り ます。デフォルトでは、 入力した名前が出力ファイル名になり ます。

° [Output file] : DRC 結果をファイルに保存する際のファイルの名前を入力できます (オプシ ョ ン)。 デフォルト以外のパスを選択する場合は、 参照ボタンを使用してください。

° [Rule deck] : デザインで実行するルール デッ クを指定します。 ルール デッ クは、 一部のデザイン ルールチェッ クをま とめたコレクシ ョ ンで、合成後やインプリ メンテーシ ョ ンなど、 FPGA デザイン フローのさまざまな段階で実行されます。

- [default] : ザイ リ ンクスの推奨するチェッ クのデフォルト セッ ト を実行します。

- [bitstream_checks] : ビッ ト ス ト リーム生成に関するチェッ クを実行します。

- [methodology_checks] : エラボレート済みデザインを開いたと きに XDC ファ イルと RTL ファ イルのチェッ クを実行します。

- [opt_checks] : ロジッ ク 適化に関するチェッ クを実行します。

- [placer_checks] : 配置に関するチェッ クを実行します。

- [router_checks] : 配線に関するチェッ クを実行します。

I/O およびクロック配置 japan.xilinx.com 45UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 46: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

- [timing_checks] : タイ ミ ング制約に関するチェッ クを実行します。

° [Rules to Check] : ルール デッ クを指定したら、 必要に応じて実行するルールを変更します。

DRC を実行する  Tcl コマンド

次は、 関連する Tcl コマンドです。

• Tcl コマンド : report_drc

• Tcl コマンドの例 : report_drc -ruledeck placer_checks -file C:/Data/DRC_Rpt1.txt

X-Ref Target - Figure 3-30

図 3‐30 : [Report DRC] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 46UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 47: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

インタラクテ ィブ DRC のディスエーブル/イネーブル

Vivado IDE では、 I/O 配置中、 ピン配置が有効であるかど うかが確認されますが、 終的な DRC は Vivado インプリメンテーシ ョ ンでのみ実行可能です。 そのため、 終的なピン配置が有効かど うか確認するには、 インプリ メンテーシ ョ ンまで実行する必要があ り ます。

ピン配置中は、 インタラ クティブな I/O 配置ルーチンによ り、 よ く発生するエラーがチェッ ク されます。 この機能は、次のいずれかを実行してオン/オフを切り替えるこ とができます。

• [Device] または [Package] ビューで、 ツールバーの [Autocheck I/O Placement] ボタン をク リ ッ ク します。

• [Tools] → [Options] をク リ ッ ク し、 [Vivado Options] ダイアログ ボッ クスの [General] ページで [Automaticallyenforce legal I/O placement] をオン/オフにします。

この自動チェッ ク機能をオンにする と、問題を引き起こすピンに I/O ポート を配置できなくな り ます。 [Place I/O PortsSequentially] をオンして I/O ポート を順次に割り当てる場合、問題のあるピンに I/O ポート を配置しよ う とする と、I/Oポート を配置できない理由がツール ヒ ン トに表示されます。 インタラ クティブ DRC は、 デフォルトでオンになっています。

重要 : これらの DRC のほとんどは、 合成済みまたはインプリ メン ト済みデザインに対してのみ実行されます。

インタラ クティブ I/O 配置ルールには、 次のものが含まれます。

• 禁止 :

° GT に関連する ノ イズの影響を受けやすいピンまたはノ イズの影響を受けやすくなる可能性のある I/O パッケージ ピンへの配置

° I/O 規格に違反する配置

• 確認 :

° バンクでサポート されていない I/O 規格が使用されていない

° バンクに互換性のない VCC ポートが割り当てられていない

° VREF ポートが必要なバンクに使用可能な VREF ピンある

° グローバル ク ロ ッ クおよびリージ ョナル ク ロ ッ クが適切に割り当てられている (ネッ ト リ ス トおよび XDCファ イルがインポート されている場合のみ)

° 差動 I/O ポートが正しい極性ピンに割り当てられている

° 出力ピンが入力のみのピンに配置されていない

推奨 : I/O ポートの配置は、 インタラ クティブ DRC をオンにして実行するこ とをお勧めします。 I/O ポートおよびクロ ッ ク領域の仕様については、 該当するデバイスの資料を参照してください。

I/O およびクロック配置 japan.xilinx.com 47UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 48: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

DRC エラーの表示

DRC で違反が検出された場合、 図 3-31 に示す [DRC] ビューが表示されます。 [DRC] ビューには、 検出されたルール違反が [Report DRC] ダイアログ ボッ クスで定義されたカテゴ リ別に表示されます。 ルール違反はその重要度によっても分類されており、 次のよ うに色分け表示されます。

• アドバイザリ : デザイン プロセスに関する一般的なステータスおよびフ ィードバッ クを示します。

• 警告 : 制約または仕様が意図どおりに適用されていないために、 適なデザイン結果にならない可能性を示します。

• ク リ ティカル警告 : ユーザー入力または制約に適用されないものがあったり、 適切な方法に従っていないものがあるこ とを示します。 これらの問題を確認し、 変更するこ とをお勧めします。

注記 : ク リ ティカル警告は、 ビッ ト ス ト リーム生成時にはエラーになり ます。

• エラー : デザイン結果が使用できない状態になり、 ユーザーが対処しないと回避されない問題を示します。 デザイン フローは停止します。

ヒン ト : 警告および情報メ ッセージを非表示にし、 エラーのみを表示するには、 ツールバーの [Hide Warnings andInformational Messages] ボタン をク リ ッ ク します。

DRC 違反の並べ替え

DRC 違反を重要度で並べ替えるには、 次のよ うに [Severity] 列の見出しをク リ ッ ク します。

• 列ヘッダーをク リ ッ クする と重要度の低い方から並べられます。

• 列ヘッダーをも う一度ク リ ッ クする と、 逆の順にデータが並べ替えられます。

注記 :詳細は、 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893) [参照 15] を参照してください。

DRC 違反プロパティの表示

[DRC] ビューで違反メ ッセージを右ク リ ッ ク し、 [Violations Properties] をク リ ッ クする と、 DRC 違反のプロパティが表示されます。 [Violation Properties] ビューで次のタブをク リ ッ ク します。

• [General] : DRC ルール違反のタイプ、 重要度、 説明など、 全体的な情報を示します。

• [Details] ビュー : ルールに違反するデザイン エレ メン トに関する情報を示します。 DRC に違反するデザイン オブジェク トへのリ ンクが含まれる場合もあ り ます。リ ンクをク リ ッ クする と、そのデザイン オブジェク トが [RTLNetlist] ビュー、 [Device] ビュー、 [Schematic] ビュー、 およびソース RTL ファ イルで表示されます。

X-Ref Target - Figure 3-31

図 3‐31 : DRC 違反

I/O およびクロック配置 japan.xilinx.com 48UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 49: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

カスタム DRC の作成

カスタム DRC を作成するには、create_drc_check、create_drc_ruledeck、および create_drc_violationなどの Tcl コマンドを使用します。詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 16]および 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 24] を参照してください。

DRC の確認

現在定義されている DRC のリ ス ト を取得するには、Tcl コマンドの get_drc_checks を使用します。詳細は、『VivadoDesign Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 16] および 『Vivado Design Suite ユーザー ガイ ド : Tclスク リプ ト機能の使用』 (UG894) [参照 24] を参照してください。

RTL デザインへの変換I/O ポート を定義してパッケージ ピンに配置しら、 I/O ピン配置プロジェク ト を RTL プロジェク トに変換できます。ポート定義は、 RTL デザインの 上位モジュールを指定に応じて Verilog または VHDL のいずれかで作成するために使用されます。 差動ペア バッファーは 上位モジュールに追加されます。 バス定義も RTL に含まれます。 プロジェク ト プロパティは、 RTL プロジェク ト タイプに適合するよ う変更されます。

重要 : RTL プロジェク トに変換した後、 I/O ピン配置プロジェク トに戻すこ とはできません。

プロジェク ト変換するには、 次の手順に従います。

1. [File] → [Migrate to RTL] をク リ ッ ク します。

注記 : または、 Flow Navigator で [Migrate to RTL] をク リ ッ ク します。

2. [Migrate to RTL] ダイアログ ボッ クス (図 3-32) で次のオプシ ョ ンを指定し、 [OK] をク リ ッ ク します。

° [Top RTL file] :デザインの 上位モジュールを作成するための Verilog (.v) または VHDL (.vhd) ファ イルを指定します。 この HDL ファ イルには、 モジュール定義、 ポート定義、 方向、 バス ピンの幅が含まれます。

° [Netlist format] : 上位モジュールのフォーマッ ト を [Verilog] または [VHDL] から選択します。

° [Write diff buffers] : 上位モジュール定義の一部と して差動ペア バッファーを記述します。 これによ り、 I/Oピン配置プロジェク トで定義した差動ペアがすべて保持されます。

I/O ピン配置プロジェク ト を RTL プロジェク トに変換したら、 プロジェク トにソースを追加し、 デザインで作業を開始できます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 2] を参照してください。

X-Ref Target - Figure 3-32

図 3‐32 : [Migrate to RTL] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 49UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 50: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

SSN 解析Vivado IDE には、 異なるデバイスの I/O に関連するスイ ッチ ノ イズ レベルを解析する機能があ り ます。 SSN 解析では、 同時に切り替わる出力が I/O バンク内のほかの出力ポートに与える影響を予測します。 I/O バンク特定の電気特性も考慮され、 SSN でのパッケージの影響が正確に予測されます。

I/O は I/O バンクにグループ化され、各バンクに固有の電源分配ネッ ト ワークがあ り、それぞれスイ ッチング アクティビティに対する応答は異なり ます。パッケージに実装された FPGA 内の電源分配ネッ ト ワークのノ イズに対する応答はそれぞれ異なるため、 デザインで使用される I/O 規格や I/O 数だけでなく、 デバイスの電源システムのスイ ッチング アクティビティに対する応答も理解するこ とが重要です。

ザイ リ ンクスでは、すべてのバンクを 3 次元抽出およびシ ミ ュレーシ ョ ンを使用して特性化しています。 この情報がSSN 解析で使用されます。SSN 解析では、デバイスのスイ ッチング プロファイルを使用して、スイ ッチング アクティビティによるシステムの電源ネッ ト ワークへの影響と I/O バンク内のほかの出力への影響が予測されます。

重要 : 出力スイ ッチがインターフェイス ノ イズ マージンにどのよ うに影響するかを予測するには、 SSN 解析が も正確です。 その計算と結果は、 さまざまなパターンを網羅しています。 これらの予測値は、 デザインで発生する可能性のある ノ イズに関連した問題を特定するためのもので、 終デザインを確定するための検証方法と しては使用しないでください。

SSN 解析の実行

SSN 解析を実行するには、 次の手順に従います。

1. [Tool] → [Report Noise] をク リ ッ ク します。

注記 : または、 Flow Navigator で [Report Noise] をク リ ッ ク します。

2. [Run SSN Analysis] ダイアログ ボッ クス (図 3-33) で次のオプシ ョ ンを指定し、 [OK] をク リ ッ ク します。

° [Results Name] :[Noise] ビューに表示する解析結果の名前を入力します。

° [Export to File] :解析をレポート ファ イルにエクスポート します。出力ファイル名を入力するか、参照ボタンをク リ ッ ク して選択します。 出力ファイルのフォーマッ ト を [CSV] または [HTML] に指定します。

° [Phase] :デザインのクロ ッ ク情報が考慮され、 よ り正確な SSN ノ イズがレポート されます。 ク ロ ッ クは、create_clock および create_generated_clock コマンドを使用して定義されている必要があ り ます。生成クロ ッ クの周期、 位相シフ ト、 デューティ サイ クルは、 SSN 解析に大き く影響します。 詳細は、 「SSN解析への位相情報の追加」 を参照してください。

X-Ref Target - Figure 3-33

図 3‐33 : [Run SSN Analysis] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 50UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 51: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

SSN 解析結果の表示

SSN 解析が完了する と、 [Noise] ビュー (図 3-34) が表示されます。

左側のペインで各アイテムをク リ ッ ク し、 SSN 解析に関する情報を確認します。 たとえば [I/O Bank Details] をク リ ックする と、 次の情報が表示されます。

• [Name] : デバイスで使用可能な I/O バンクを示します。 各 I/O バンクにはピン アイコンが表示され、 バンクがどれく らい使用されているかが示されます。チェッ ク マークは問題がないこ とを示し、赤い丸は問題があるこ とを示します。

• [Port] : FPGA デザインのユーザー I/O 名を表示します。

• [I/O Std]、 [VCCO]、 [Slew]、 [Drive Strength] :ポート またはバンクの I/O 規格、 Vcco、 スルー レート、 および駆動電流を示します。

• [Off-Chip Termination] :各 I/O 規格にデフォルト終端がある場合は、 それを示します。 [None] またはオフチップ終端スタイルが表示されます。 たとえば [FP_VTT_50] は、 VTT への遠端並列 50 終端を示します。 終端スタイルのすべての リ ス ト は、 『7 シ リ ーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 8] および『UltraScale アークテクチャ SelectIO リ ソース : Advance 仕様ユーザー ガイ ド』 (UG571) [参照 9] から入手できます。

LVTTL (2mA、 4mA、 6mA および 8mA) では終端はなし とな り、 LVTTL (12mA および 16mA) では VTT への 50オームの遠端並列終端とな り ます。 この終端が使用される と、 駆動電流が 12mA 以上の信号のノ イズ マージンが、2mA ~ 8mA よ り も小さ くな り ます。この前提は、7 シ リーズ、Zynq および UltraScale デバイスで使用されます。

設定を変更するには、 次のいずれかの方法を使用します。

° 「CSV ファ イルのインポート 」 に示す CSV ファ イルのインポート機能を使用します。

° [I/O Ports] ビューで、 ド ロ ップダウン リ ス トから選択します。

• [Remaining Margin %] :バンクの SSN をすべて考慮した後のノ イズ マージン値の残り を示します。

注記 : I/O バンクまたはグループに関する情報が表示されます。

重要 : SSN 結果には SSN 解析が実行されたと きのデザインの状態が反映されており、ダイナミ ッ クなレポートではあり ません。

SSN 結果の I/O バンク  プロパティの表示

[Noise] ビューで I/O バンクを選択する と、 そのバンクに割り当てられている I/O ポート、 ピン、 およびグループの情報が [I/O Bank Properties] ビューに表示されます。 [I/O Bank Properties] ビューには、 次の情報が表示されます。

X-Ref Target - Figure 3-34

図 3‐34 : [Noise] ビュー

I/O およびクロック配置 japan.xilinx.com 51UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 52: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

• [General] タブ : I/O バンクに割り当てられているポートの数と タイプ

• [Package Pins] または [I/O Ports] タブ : バンク内のピンまたはポートの詳細情報 (図 3-35)

SSN 結果の改善

違反が発生した場合に SSN 結果を改善するには、 次を実行します。

• 違反が発生しているグループに SSN の影響をあま り受けない I/O 規格を使用します。低い駆動電流に変更、並列終端の DCI I/O 規格を変更、または低いクラスのド ライバーに変更 (SSTL Class II から SSTL Class I に変更するなど) します。

• 違反が発生しているピンを複数のバンクに分散させます。 このよ うにする と、 1 つのバンクの電源システムで問題のある出力数を低減できます。

• 違反が発生しているグループを複数の同期位相に分散させます。

• 位相情報を追加します。

SSN 解析への位相情報の追加

位相情報を追加する と、 SSN 解析の精度を高めるこ とができます。デフォルトでは、 SSN 解析ですべての出力ポートが同時に ト グルする と仮定されます。 この仮定によ り、 不必要に悪い見積も り部分を含む SSN 解析レポートが生成されるこ とになる可能性が高いワース ト ケース シナリオが含まれます。 デザインのクロ ッ ク情報が使用可能であれば、 SSN 解析レポートの SSN ノ イズがよ り正確になり ます。

この機能を使用するには、 次の Tcl コマンドを使用して SSN 位相解析をイネーブルにする必要があ り ます。

report_ssn -phase

create_clock および create_generated_clock を使用してク ロ ッ ク情報を入力します。 これらのコマンドによ り、 SSN 解析に次の必要な入力が提供されます。

• 位相グループ

注記 : 1 つの MMCM または PLL に属する生成されたクロ ッ クがグループになり ます。

• 周期

• デューティ サイ クル

• 位相シフ ト

X-Ref Target - Figure 3-35

図 3‐35 : [I/O Bank Properties] ビューの [Package Pins] タブ

I/O およびクロック配置 japan.xilinx.com 52UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 53: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

注記 : 0 度からの絶対位相シフ トが含まれます。

詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 16] および 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 24] を参照してください。

ヒン ト : SSN 位相解析をオンにする と、 SSN 解析レポートに [Phase] 列が表示されるよ うになり ます。

次の点について注意してください。

• マスター ク ロ ッ クが複数ある と、SSN 結果は改善しません。SSN 結果を減らすため、これらは各マスター ク ロ ック内の複数の位相にするべきです。

• 1 つにの位相グループ内にシングル ポートがある と、 SSN 結果は改善しません。 各クロ ッ ク グループまたは位相グループごとに、 少なく と もポートは 2 つ必要です。

• SSN ノ イズを 小限にするには、 1 つのクロ ッ クのクロ ッ ク遷移を別のクロ ッ クから 700 ピコ秒 (ps) シフ ト します。

• 該当の位相グループ内の位相シフ トは 200ps よ り大き く しないと、 SSN 結果を改善できません。

また、 次の点についても注意してください。

• 高集積デザインの場合、 位相を含む SSN 解析に数十分かかるこ とがあ り ます。

• 180 度のシフ トでは SSN 結果は改善されません。クロ ッ ク情報に立ち上がりおよび立ち下がり遷移情報は含まれていますが、 SSN 解析ではそのポートの実際の出力ロジッ クは含まれません。 クロ ッ クが Low から High に遷移する場合、 ポート出力はいずれかの方向にな り ます。 保守的な SSN レポート を生成するために、 アルゴ リ ズムでは 180 度が位相シフ ト 0 と同じ と認識されます。出力ポートに関する情報がないので、解析では 180 度シフ トのポートの SSN ノ イズが多く見積も られすぎます。 実際には、 SSN は 180 度シフ トで削減されていますが、 アルゴ リズムではその削減が認識されません。

• 50% のデューティ サイ クルのみがサポート され、 準拠していないクロ ッ クは非同期信号と認識されます。

SSN 解析への温度情報の追加

SSN 解析の精度は、 ターゲッ ト パーツに対する温度グレードを指定する と上がり ます。 温度グレード情報を追加するには、 次の Tcl コマンドのいずれかを使用してから、 SSN 解析を実行します。

set_operating_conditions -grade Commercial

set_operating_conditions -grade Industrial

set_operating_conditions -grade Military

set_operating_conditions -grade Q-Grade

set_operating_conditions -grade Extended

ヒン ト : 動作条件を検証するには、Tcl コマンドの report_operating_conditions -grade を使用します。温度グレードをデフォルトに リセッ トするには、 Tcl コマンドの reset_operating_conditions -grade を使用します。

また、 次の点についても注意してください。

• デフォルトでは、 温度グレードはプロジェク トで使用されるデバイスに基づきます。

• エラボレート済みデザインで SSN 解析を実行する際は、 ターゲッ ト パーツのデフォルト温度グレードを変更できません。

• 動作条件は、消費電力解析にも使用されます。消費電力解析に影響する動作条件については、『Vivado Design Suiteユーザー ガイ ド : 消費電力の解析と 適化』 (UG907) [参照 25] を参照してください。

I/O およびクロック配置 japan.xilinx.com 53UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 54: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

重要 : SSN 解析の精度を上げるには、 正しい温度グレードを使用する必要があ り ます。

I/O ピンとパッケージ データのエクスポートI/O ピンおよびパッケージ ピンの情報を、 次に目的でエクスポートできます。

• I/O ピン情報 : I/O ポート リ ス ト をファ イルにエクスポート し、 RTL のコード記述や PCB 回路図シンボルの作成に使用できます。

• パッケージ ピン情報 : エラボレート済みデザイン、合成済みデザイン、 またはインプリ メン ト済みデザインで作業している場合、 デバイス パッケージ ピンの情報を CSV ファ イルにエクスポートできます。 エクスポート された リ ス トのパッケージ ピン セクシ ョ ンを基に、 I/O ポート をスプレッ ドシートで定義できます。エクスポート される情報には、 デバイスに含まれるすべてのパッケージ ピン、 デザイン特定の I/O ポート割り当てとそのコンフ ィギュレーシ ョ ンが含まれます。 追加された列およびユーザー定義の値が保持され、 出力ファ イルにエクスポート されます。 エクスポート された CSV ファ イルの詳細は、 「I/O ポートの定義と設定」 を参照してください。

I/O ポート リ ス ト情報をエクスポートするには、 次の手順に従います。

1. [File] → [Export] → [Export I/O Ports] をク リ ッ ク します。

2. [Export I/O Ports] ダイアログ ボッ クス (図 3-36) で、生成する I/O ポートのタイプとパスを指定し、 [OK] をク リ ック します。

IBIS モデルIBIS (Input/Output Buffer Information Specification) は、デバイス モデリ ング規格で、デバイス インターコネク トの信号ビヘイビアーを記述したビヘイビアー モデルの開発に使用できます。 これらのモデルは、 SPICE (Simulation Programwith Integrated Circuit Emphasis) シ ミ ュレーシ ョ ンで生成されるよ うな構造型モデルとは異なり、 所有権付きの回路図情報を保持します。 IBIS バッファー モデルは、測定または回路シ ミ ュレーシ ョ ンのいずれかによって生成された V/I曲線データに基づいています。

IBIS モデルは、 各 IOB 規格別に構築されます。 IBIS ファ イルはデバイスに含まれるすべての I/O 規格の IBIS モデルのコレクシ ョ ンです。 IBIS ファ イルには、 デバイスで使用済みのピンのリ ス ト も含まれます。 これらのピンは、 特定の I/O 規格のサポート用にコンフ ィギュレーシ ョ ンされた IOB にボンディングされており、 ピンと特定の IBIS バッファー モデルが関連付けられています。

IBIS 規格では出力情報ファ イルの形式が指定されます。 これには、 ファ イル ヘッダー セクシ ョ ンと コンポーネン ト詳細セクシ ョ ンが含まれます。 結果の IBIS モデル ファ イルを検証するため、 IBIS オープン フォーラム グループ [参照 26]によ り Golden Parser が開発されています。 これによ り、構文が IBIS データ形式に準拠しているかど うかを検証できます。

X-Ref Target - Figure 3-36

図 3‐36 : [Export I/O Ports] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 54UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 55: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

Vivado IDE で IBIS モデルをエクスポートする と、 .ibs ファ イルが出力されます。 このファイルには、デザインで使用されるピンのリ ス ト 、 それらのピンに接続されるデバイス内部の信号、 ピンに接続された IOB の IBIS バッファー モデルなどが含まれます。

IBIS モデルのエクスポート

PCB 設計では、 システム レベルのシグナル インテグ リティの理解を深めるため、 IBIS モデルを使用したデザインのシ ミ ュレーシ ョ ンが必要な場合がよ くあ り ます。 ク ロス トーク、 グランド バウンス、 SSN などのシグナル インテグリティの問題について考慮する必要があ り ます。 IBIS モデルを使用する と、パッケージ デバイスの I/V カーブおよび寄生情報などの特性化に役立ちます。

ヒン ト : 汎用の IBIS モデルをザイ リ ンクス ウェブサイ トのダウンロード ページ [参照 27] からダウンロードできます。

Vivado IDE からは、 デザインおよびピンごとのパッケージ データから IBIS モデルを生成できます。 Vivado IDE は、デザインのネッ ト リ ス トおよびインプ リ メンテーシ ョ ンの詳細を使用し、その情報とピンごとの寄生パッケージ情報を統合して、 そのデザイン専用のカスタム IBIS モデルを作成します。

デザイン解析に使用する IBIS ファ イルをエクスポートするには、エラボレート済みデザイン、合成済みデザイン、またはインプ リ メン ト済みデザインが開いている状態で次を実行します。

1. [File] → [Export] → [Export IBIS Model] をク リ ッ ク します。

2. [Export IBIS Model] ダイアログ ボッ クス (図 3-37) で次のオプシ ョ ンを指定し、 [OK] をク リ ッ ク します。

° [Output File] :出力される IBIS ファ イルのファイル名とパスを指定します。

° [Include all models] :デバイスで使用可能な I/O バッファー モデルをすべて含みます。 デフォルトでは、 デザインで使用されるバッファー モデルのみが含まれます。

° [Disable per pin modeling] :パッケージのピンごとのモデル記述を含めないよ うにします。 これは、 デバイスのダイ パッ ドからパッケージ ピンまでのパスです。 オフにする と、 パッケージは、 すべてのピンに適用される 1 つの RLC 伝送ライン モデルに削減され、 IBIS ファ イルの [Package] セクシ ョ ンで定義されます。

° [Maximum length of signal names] :信号名の長さを指定した文字数に制限し、 それよ り長い場合は切り捨てます。

- [40] :信号名の長さが IBIS バージ ョ ン 4.2 でサポート される 40 文字までに制限されます (デフォルト )。

- [20] :信号名の長さが 40 文字までに制限されます。

- [Unlimited] :信号名の長さに制限はあ り ません。

° [Updated generic IBIS model file] :デバイス用の IBIS モデル ファ イルを指定します (オプシ ョ ン)。 このファ イルが、 インス トール ディレク ト リの parts ディ レク ト リに含まれる IBIS モデルの代わりに使用されます。

重要 : インス トールに IBIS モデルが含まれないデバイスを使用する場合は、 こ こで IBIS モデル ファ イルを指定する必要があ り ます。

° [Updated parasitic package data file] :ピンごとの抽出に使用する寄生パッケージ ファ イル (.pkg) を指定します (オプシ ョ ン)。 このファ イルが、 インス トール ディ レク ト リの parts ディ レク ト リに含まれる寄生パッケージファイルの代わりに使用されます。

重要 : インス トールに IBIS モデルが含まれないデバイスを使用する場合は、 こ こで寄生パッケージ ファ イルを指定する必要があ り ます。

I/O およびクロック配置 japan.xilinx.com 55UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 56: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

PCB デザインとのインターフェイスVivado ピン配置ツールを使用する と、効率的にピン割り当てを選択できます。正しいリ ソースを選択するこ とで、デザイン プロセスがよ り高速でク リーンになり ます。次の推奨事項に従う と、ボード レイアウ ト、ピン割り当て、FPGAリ ソースの競合を回避できる可能性があ り ます。

ボード フローの詳細については、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 2] および を参照して ください。 PCB およびピン配置の詳細は、 『7 シ リーズ FPGA PCB デザインおよびピン配置ガイ ド』 (UG483) [参照 28]、『Zynq-7000 All Programmable SoC PCB デザインおよびピン配置ガイ ド』 (UG933) [参照 29]、および 『UltraScale アーキテクチャ PCB デザインおよびピン配置ユーザー ガイ ド』 (UG583) [参照 30] を参照してください。

デバイスの互換性

デザインのデバイスの互換性については、 「互換性のある代替デバイスの指定」 を参照して ください。 選択されたすべての代替デバイス間で共通のピンが特定され、すべてのデバイスに共通でないピンに対しては PROHIBIT 制約が設定されるので、 これらのピンが使用される可能性はあ り ません。詳細については、 ターゲッ ト デバイスの製品表を参照してください。

DRC

I/O 割り当ての全体的なインテグ リ ティ をチェッ クするには、 「DRC の実行」 で説明するよ うに DRC を実行します。ボード配置前に DRC でレポート されるすべての警告およびエラーを修正しておいてください。

SSN 解析

潜在的なノ イズ崩壊の概算を出すには、「SSN 解析」 で説明するよ うに SSN 解析を実行します。 ノ イズ関連の問題は、ボード配置前に解決しておく必要があ り ます。詳細は、『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)[参照 8]、 『UltraScale アークテクチャ SelectIO リ ソース : Advance 仕様ユーザー ガイ ド』 (UG571) [参照 9]、 『7 シ リーズ FPGA メモ リ インターフェイス ソ リ ューシ ョ ン ユーザー ガイ ド』 (UG586) [参照 31]、 および 『UltraScale アーキテクチャ メモ リ リ ソース ユーザー ガイ ド』 (UG573) [参照 32] を参照してください。

X-Ref Target - Figure 3-37

図 3‐37 : [Export IBIS Model] ダイアログ ボックス

I/O およびクロック配置 japan.xilinx.com 56UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 57: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

第 3 章 : I/O ピン配置

IBIS シミ ュレーシ ョ ン

IBIS シ ミ ュレーシ ョ ンを実行するには、「IBIS モデル」 で説明するよ うに Vivado IDE で生成された IBIS ファ イルを使用します。

CSV のエクスポート

DRC および SSN 解析を実行したら、 「I/O ピンとパッケージ データのエクスポート 」 で説明するよ うに CSV ファ イルをエクスポート してボード配置をします。

サポート されるサードパーティの PCB ツール

ザイ リ ンクスでは、 Cadence 社の Allegro FPGA System Planner および Mentor Graphics 社の I/O Designer がサポート されます。 これらのツールでは、 ボード全体のコンテキス トでピン割り当てを 適化できます。 詳細は、 該当するサードパーティ ツールの資料を参照してください。

SSI テク ノロジ デバイスを使用した I/O ピン配置およびクロック配置スタ ッ ク ド シ リ コン インターコネク ト (SSI) テク ノ ロジを使用する場合、 I/O ピン配置と クロ ッ ク配置が重要になります。 SSI テク ノ ロジ デバイスは高集積のダイに含まれるので、 配置に問題がある と、 配線時間が長くなり、 消費電力が増加し、パフォーマンスが落ちる可能性があ り ます。 ピン配置の選択およびクロ ッ クに関する情報は、『UltraFast設計手法ガイ ド (Vivado Design Suite 用) (UG949) [参照 1] を参照してください。

I/O およびクロック配置 japan.xilinx.com 57UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 58: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

付録 A

CSV ファイル形式の I/O ポート  リス ト

CSV ファイルCSV ファ イルは、 FPGA やボード設計で、 デバイス ピンおよびピン配置のデータを交換するために使用される標準ファ イル形式です。 詳細は、 「CSV ファ イルのインポート 」 および第 3 章の 「I/O ピンとパッケージ データのエクスポート 」 を参照してください。

CSV には、 次の列が含まれます。

• [I/O Bank] : ピンが配置される I/O バンク。デバイスのすべてのピンに対して、 ツールによ りデータが自動挿入されます。 値は数値または空白です。 入力 CSV ファ イルでは必須のフ ィールドではあ り ません。

• [Pin Number] : パッケージ ピンの名前またはロケーシ ョ ン。デバイスのすべてのピンに対して、ツールによ りデータが自動挿入されます。 入力ファイルで必須のフ ィールドではあ り ません。 入力で使用される場合は、 配置を定義するために使用されます。 値はデバイスの有効なピンです。

• [IOB Alias] : パッケージ ピンの代替デバイス名。ツールによ り指定され、入力 CSV ファ イルで指定されている場合は使用されません。

• [Site Type] : デバイス データシートからのピン名。 ツールによ り指定され、 入力 CSV ファ イルで指定されている場合は使用されません。

• [Min Trace Delay (ps)]/[Max Trace Delay (ps)] : デバイスのパッ ド サイ ト とパッケージのボール間の距離で、単位はピコ秒 (ps) です。 ツールによ り指定され、 ボード設計時に ト レース遅延を一致させるために使用します。 また、これらのフ ィールドは出力ファイルのみに含まれており、 入力ファイルには含まれていません。

• [Trace Length (um)] : パッケージ ピンとダイ パッ ド間の内部ト レース長を指定します。

• [Prohibit] : ユーザー I/O がサイ トに追加されないよ う指定します。 さまざまな理由で特定のサイ ト を使用禁止にできます。 次に例を示します。

° ボード レイアウ トの問題を緩和するため

° 信号間のクロス トークを削減するため

° 同じパッケージの複数の FPGA 間でピン配置を有効にするため

注記 : XDC ファ イルでは、 これは PROHIBIT プロパティ と して記述されます。

• [Interface] : ユーザー I/O のセッ ト をユーザーが指定してグループ化します。たとえば、 メモ リ インターフェイスのデータ、 アドレス、 およびイネーブル信号の関係を指定できます。 値は文字列または空白です。

• [Signal Name] : FPGA デザインのユーザー I/O 名。 値は文字列、 または割り当てられていないパッケージ ピンの場合は空白です。

• [Direction] : 信号の方向。 値は IN、 OUT、 INOUT、 またはユーザー I/O がサイ トに割り当てられていない場合は空白です。

• [DiffPair Type] : 差動ペアのピンが N 側または P 側のどちらかを指定します。これは差動信号に対してのみ使用されます。 ツールでは、 ピン名からではなく、 この列の情報を使用してどのピンが N 側でどのピンが P 側かを判断します。 値は P、 N、 またはユーザー I/O がサイ トに割り当てられていない場合は空白です。

• [DiffPair Signal] : 差動ペアのも う 1 つのピン名を指定します。 値はユーザー I/O 名、 または未使用の場合は空白です。

I/O およびクロック配置 japan.xilinx.com 58UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 59: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

付録 A : CSV ファイル形式の I/O ポート  リス ト

• [I/O Standard] : 特定ユーザー I/O の I/O 規格。 このフ ィールドがユーザー I/O に対して空白の場合、 該当するデバイスのデフォルト値が使用されます。 値は有効な I/O 規格、 または空白です。

• [Drive] : ユーザー I/O の I/O 規格の駆動電流。すべての I/O 規格で駆動電流が指定できるわけではあ り ません。 このフ ィールドが空白の場合、 デフォルト値が使用されます。 値は数値または空白です。

• [Slew Rate] : ユーザー I/O の I/O 規格のスルー レート。 すべての I/O 規格でスルー レートが指定できるわけではあ り ません。 このフ ィールドが空白の場合、 デフォルト値が使用されます。 値は FAST および SLOW です。

• [Pull Type] : 選択されているポートの抵抗のタイプを指定します。 ト ラ イステート出力バッファー (OBUFT) または双方向バッファー (IOBUF) を使用する場合、 出力に弱いプルアップ抵抗、 弱いプルダウン抵抗、 またはウ ィーク キーパー回路を付けるこ とができます。 入力バッファー (IBUF) を使用する場合は、 入力に弱いプルアップ抵抗または弱いプルダウン抵抗を付けるこ とができます。

• [Phase] : 同期位相オフセッ トの場合に、 バンク内のほかの I/O の位相に対する I/O の位相を指定します。

• [Board Signal] : ボード レベル デザインから I/O に入力される信号の名前を指定します。

• [Board Voltage] : ボード レベル デザインから I/O に入力される信号の電圧レベルを定義します。

• [BUFIO2_REGION] : ポートが関連付けられる BUFIO2 ク ロ ッ ク領域を定義します。

• [IN_TERM]/[OUT_TERM] : オプシ ョ ンの IN_TERM または OUT_TERM ド ラ イバーのインピーダンス プロパティを定義します。 ほとんどの場合、 このフ ィールドは空白のままにします。 製品デバイスではまだサポート されていません。 この終端定義を使用する と、 SLEW および DRIVE STRENGTH プロパティが上書きされます。 SSN の計算ではサポート されません。

• [OFFCHIP_TERM] : I/O の外部ボード レベルの終端を指定します。 SSN の計算ではサポート されません。 このフ ィールドを空白のままにする と、 SSN の計算に予測される終端が使用され、 この終端がデフォルト で SSN レポートおよび [I/O Ports] ビューに表示されます。

注記 :予測される終端とそのツールでの表示名は、該当するデバイスの 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) [参照 8] および 『UltraScale アークテクチャ SelectIO リ ソース : Advance 仕様ユーザー ガイド』 (UG571) [参照 9] を参照してください。

重要 : Vivado® ツールでは、 CSV ファ イルを読み込む際、 定認識されない列はユーザー定義列と して保持され、 [I/OPorts] ビューに表示されます。

CSV ファイルでの差動ペアCSV ファ イルで差動ペアを定義するプロパティは複数あ り ます。

• [Signal Name]

• [DiffPair Signal]

• [DiffPair Type]

• [I/O Standard]

CSV ファ イルのそれ以外の値は、差動ペアを検証するために使用され、互換性のあるものであるこ とが確認されますが、 ペアを定義するためには使用されません。 CSV ファ イルでは、 差動ペアを次の方法で定義します。

• 2 つのポートで差動ペアを定義 : 差動ペアを構成する 2 つの信号を直接定義します。 2 つのポート エン ト リで、[DiffPair Signal] にお互いの [Signal Name] の値を指定し、 [DiffPair Type] で一方に N、 も う一方に P を指定します。ツールで差動ペアを作成する際、 [I/O Standard] などのほかのプロパティに互換性があるかど うかがチェッ ク されます。

• 1 つのポートのみで差動ペアを リ ンク : 2 つのポート エン ト リの [DiffPair Type] で一方に N、 も う一方に P を指定していますが、 1 つのポートでのみ [DiffPair Signal] にも う一方の [Signal Name] の値を指定します。 この場合、 ほかのすべてのプロパティに互換性があれば、 差動ペアが作成されます。

I/O およびクロック配置 japan.xilinx.com 59UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 60: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

付録 A : CSV ファイル形式の I/O ポート  リス ト

• 差動ペアの 1 つのポートのみを指定 : 1 つのポート エン ト リで、 [I/O Standard] に差動規格、 [DiffPair Type] に値を指定し、 [DiffPair Signal] には CSV ファ イルに含まれない信号を指定します。 この場合、 このポート エン ト リのプロパティに一致する差動ペアのも う一方がツールによ り作成されます。

• 差動ペアを推論 : 2 つのポート エン ト リで、DIFF_HSTL、DIFF_SSTL などの差動 I/O 規格を指定し、[Signal Names]に N 側と P 側が推論されるよ うな名前を指定します。 この場合、すべてのプロパティに互換性があれば、差動ペアが推論されます。

I/O およびクロック配置 japan.xilinx.com 60UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 61: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

付録 B

その他のリソースおよび法的通知

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 次のザイ リ ンクス サポート サイ ト を参照してください。

ソリューシ ョ ン  センターデバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 ト ピックには、 デザイン アシスタン ト 、 アドバイザリ、 ト ラブルシュート ヒ ン ト などが含まれます。

リファレンス1. 『UltraFast™ 設計手法 (Vivado Design Suite 用)』 (UG949)

2. 『Vivado® Design Suite ユーザー ガイ ド : システム レベルのデザイン入力』 (UG895)

3. 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892)

4. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994)

5. 『7 シ リーズ FPGA パッケージおよびピン配置製品仕様』 (UG475)

6. 『Zynq®-7000 All Programmable SoC パッケージおよびピン配置製品仕様』 (UG865)

7. 『UUltraScale UltraScale™ アーキテクチャのパッケージおよびピン配置 : Advance 製品仕様ユーザー ガイ ド』(UG575)

8. 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)

9. 『UltraScale アークテクチャ SelectIO リ ソース : Advance 仕様ユーザー ガイ ド』 (UG571)

10. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896)

11. 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャー テクニッ ク』 (UG906)

12. 『LogiCORE IP Clocking Wizard 製品ガイ ド (PG065)

13. 『Vivado Design Suite ユーザー ガイ ド :合成』 (UG901)

14. 『Vivado Design Suite ユーザー ガイ ド :インプリ メンテーシ ョ ン』 (UG904)

15. 『Vivado Design Suite ユーザー ガイ ド : Vivado IDE の使用』 (UG893)

16. 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)

17. 『Vivado Design Suite プロパティ リ ファレンス ガイ ド』 (UG912)

I/O およびクロック配置 japan.xilinx.com 61UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback

Page 62: Vivado Design Suite...ボードおよびデバイス配置については、『UltraFast 設計手法ガイド (Vivado Design Suite 用) (UG949) [参照1] を参照し てください。

付録 B : その他のリソースおよび法的通知

18. 『Vivado Design Suite ユーザー ガイ ド :プログラムおよびデバッグ』 (UG908)

19. 『制約ガイ ド』 (UG625)

20. 『7 シ リーズ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470)

21. 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド : Advance 仕様』 (UG570)

22. 『7 シ リーズ ク ロ ッ ク リ ソース ユーザー ガイ ド』 (UG472)

23. 『UltraScale アークテクチャ ク ロ ッキング リ ソース Advance 仕様ユーザー ガイ ド』 (UG572)

24. 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894)

25. 『Vivado Design Suite ユーザー ガイ ド : 消費電力の解析と 適化』 (UG907)

26. IBIS オープン フォーラム グループ (www.eda.org/ibis)

27. ザイ リ ンクス ダウンロード

28. 『7 シ リーズ FPGA PCB デザインおよびピン配置ガイ ド』 (UG483)

29. 『Zynq-7000 All Programmable SoC PCB デザインおよびピン配置ガイ ド』 (UG933)

30. 『UltraScale アーキテクチャ PCB デザインおよびピン配置ユーザー ガイ ド』 (UG583)

31. 『Zynq-7000 SoC および 7 シ リーズ FPGA メモ リ インターフェイス ソ リ ューシ ョ ン ユーザー ガイ ド』 (UG586)

32. 『UltraScale アークテクチャ メモ リ リ ソース ユーザー ガイ ド』 (UG573)

33. Vivado Design Suite ビデオ チュート リ アル I/O 配置の概要

34. Vivado Design Suite ビデオ チュート リ アル

35. Vivado Design Suite の資料

法的通知The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extentpermitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES ANDCONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct anyerrors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx’s limited warranty,please refer to Xilinx’s Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and supportterms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any applicationrequiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’sTerms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.

© Copyright 2012-2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brandsincluded herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

本資料は英語版 (v2014.1) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあ り ます。

日本語版は参考用と してご使用の上、 新情報につきましては、 必ず 新英語版をご参照ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] までお知らせください。

いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ま

せん。 あらかじめご了承ください。

I/O およびクロック配置 japan.xilinx.com 62UG899 (v2014.1) 2014 年 4 月 2 日

Send Feedback