370
Tribological, Thermal and Kinetic Characterization of Dielectric and Metal Chemical Mechanical Planarization Processes Item Type text; Electronic Dissertation Authors Sorooshian, Jamshid Publisher The University of Arizona. Rights Copyright © is held by the author. Digital access to this material is made possible by the University Libraries, University of Arizona. Further transmission, reproduction or presentation (such as public display or performance) of protected items is prohibited except with permission of the author. Download date 08/06/2018 02:18:56 Link to Item http://hdl.handle.net/10150/194809

TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

  • Upload
    docong

  • View
    233

  • Download
    0

Embed Size (px)

Citation preview

Page 1: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

Tribological, Thermal and KineticCharacterization of Dielectric and Metal

Chemical Mechanical Planarization Processes

Item Type text; Electronic Dissertation

Authors Sorooshian, Jamshid

Publisher The University of Arizona.

Rights Copyright © is held by the author. Digital access to this materialis made possible by the University Libraries, University of Arizona.Further transmission, reproduction or presentation (such aspublic display or performance) of protected items is prohibitedexcept with permission of the author.

Download date 08/06/2018 02:18:56

Link to Item http://hdl.handle.net/10150/194809

Page 2: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION OF

DIELECTRIC AND METAL CHEMICAL MECHANICAL PLANARIZATION

PROCESSES

by

Jamshid Sorooshian

________________________ Copyright © Jamshid Sorooshian 2005

A Dissertation Submitted to the Faculty of the

DEPARTMENT OF CHEMICAL AND ENVIRONMENTAL ENGINEERING

In Partial Fulfillment of the Requirements For the Degree of

DOCTOR OF PHILOSOPHY

WITH A MAJOR IN CHEMICAL ENGINEERING

In the Graduate College

THE UNIVERSITY OF ARIZONA

2 0 0 5

Page 3: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

2

THE UNIVERSITY OF ARIZONA GRADUATE COLLEGE

As members of the Dissertation Committee, we certify that we have read the dissertation prepared by Jamshid Sorooshian entitled Tribological, Thermal and Kinetic Characterization of Dielectric and Metal Chemical Mechanical Planarization Processes and recommend that it be accepted as fulfilling the dissertation requirement for the Degree of Doctor of Philosophy __________________________________________ Date: 4 – 4 – 05 Farhang Shadman, Ph. D. __________________________________________ Date: 4 – 4 – 05 Toshiro Doi, Ph. D. __________________________________________ Date: 4 – 4 – 05 Srini Raghavan, Ph. D. __________________________________________ Date: 4 – 4 – 05 Brent Hiskey, Ph. D. __________________________________________ Date: 4 – 4 – 05 Leonard Borucki, Ph. D. Final approval and acceptance of this dissertation is contingent upon the candidate’s submission of the final copies of the dissertation to the Graduate College. I hereby certify that I have read this dissertation prepared under my direction and recommend that it be accepted as fulfilling the dissertation requirement. 4 – 4 – 05 Dissertation Director: Ara Philipossian, Ph. D. Date

Page 4: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

3

STATEMENT BY AUTHOR

This dissertation has been submitted in partial fulfillment of requirements for an

advanced degree at the University of Arizona and is deposited in the University Library

to be made available to borrowers under rules of the Library.

Brief quotations from this dissertation are allowable without special permission,

provided that accurate acknowledgement of source is made. Requests for permission for

extended quotation from or reproduction of this manuscript in whole or in part may be

granted by the copyright holder.

SIGNED: Jamshid Sorooshian

Page 5: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

4

ACKNOWLEDGEMENTS

I would like to begin by thanking my advisor Dr. Ara Philipossian for his divine guidance and friendship over the 5 years I have known him. He truly provided me with an experience that very few live through and I will never forget him for that. Thank you for preparing me for the long road ahead. I would also like to extend many thanks to Drs. Farhang Shadman, Srini Raghavan, Toshiro Doi (sen-sai), Len Borucki, Brent Hiskey, Dale Hetherington and David Stein for their terrific guidance over the course of my graduate work.

I would like to also thank my past and present colleagues Daniel “Yella” Rosales-Yoemans, Scott ‘DeLaNoche’ Olsen, Patrick Levy, Erin Mitchell, Leslie Charns, Lateef Mustapha, Benjamin Gray, Masano Sugiyama, Deanna King, Juan “Jesus” Weaver, Kelly Brink, Zhonglin “Z” Li, Hyo-Sang Lee, Yasa Sampurno, Yun Zhuang, Manish Keswani, Yoshiyuki Seike, Jesse Cornely and Yoshiyuki Nishimura. In particular I would like to thank Darren “D2” DeNardis. Through THICK and thin he has been a great friend, colleague and roommate and I wish him the best of luck in his journeys ahead.

To the faculty and staff. I have been in the Department of Chemical Engineering for eight years. Everyone who has come and gone has been a significant help to me. I have had the incomparable chance to interact and know each of you not only as a student, but as friends. I would like to thank Arla Allen, Nina Welch, Joe Leeming, Karen McClure, Alicia Foley, Charlotte Hamilton, Eric Case, Lorenzo Lujan and Cindy Asher for their kind help. I would especially like to thank Rose Myers who has been the kindest and sweetest person to me. I will never forget your friendship. To Drs. Baygents, Saez, Blowers, Ogden, Muscat, Arnold, Ela, Farrell, Peterson, White and Guzman. Thank you for your inspiration, motivation and teaching. I would also like to thank Dr. Jost Wendt for his amazing academic and research guidance during my undergraduate years.

To all my friends: Ashley DeNardis Jeremy Hollingsworth, Felipe Rengifo-Uribe, Michael Cramer, Ahn Quach, Pieter Rowlette, Mike Schmotzer, Worawan “I’m Kay” Maketon, Jun Yan, Paul Safier, Tom Sounart, Bob Timon, Roger Apodaca, Robert Anderson, Alison Olcott, Jessica Finley, Adam Higgins, Scott Lundwall, Michael Rhee, Joe Durgin, Nate Snow, Steve Erickson, Danny “El Camino” Sanchez, Rosemary Galhotra, Jessica Haley, Elizabeth McKey, Jenny Parker, Jenny Gain, Michael & Jill Johnson, Ali Farid, Ali “The Boss” Scotten, Armand “New Guy” Navabi, Behzad “Fun Bobby” Adeli, David “Darkness” Mwewa, Armin “The Gene” Hojati, ‘Jakesh’ James Jones and David “The Led” Eberle. I thank you all for keeping me sane amidst all the stresses and pressures in life. I would also like to thank Roxy Varza. Roxy, although I have no idea what future lies ahead for you, I wish you the happiest of lives. You were the biggest influence in my life and have shaped me in a way no one else has. Thank you.

Finally, I would like to give my greatest thanks to my family. My brother Armin (Claude Bahls), my mother, Shirin (Cheryl) and my father, Soroosh (Sam). You have all collectively inspired and encouraged to get me where I am today and there is nothing in the world that I could do or give that would make up for all the time and energy you have put into me. Thanks for always picking me up when I was down and always making me feel loved and happy. I wish you all the healthiest, happiest and most fruitful years ahead.

Page 6: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

5

TABLE OF CONTENTS

LIST OF FIGURES ............................................................................................................ 9 LIST OF TABLES ........................................................................................................... 23 ABSTRACT ........................................................................................................... 25 CHAPTER 1 – INTRODUCTION............................................................................. 27

1.1 Introduction to Chemical Mechanical Planarization ........................................ 27 1.2 History of Polishing.......................................................................................... 30 1.3 State-of-the-art CMP Processing and its Applications in Semiconductor

Fabrication........................................................................................................ 34 1.4 Equipment and Consumable Design Considerations in CMP.......................... 44

1.4.1 Pads ................................................................................................... 46 1.4.2 Slurries............................................................................................... 52 1.4.3 Diamond Conditioning Discs ............................................................ 60 1.4.4 Conventional and Non-conventional CMP Tools ............................. 65 1.4.5 Wafers ............................................................................................... 69 1.4.6 Endpoint Detection Tools.................................................................. 71

1.5 Motivation and Goals of Study ........................................................................ 72 1.5.1 Role of Applied Wafer Pressure in CMP .......................................... 80 1.5.2 Role of Tool Kinematics and Pad Geometry in CMP ....................... 81 1.5.3 Role of Temperature in CMP ............................................................ 81 1.5.4 Removal Rate Modeling.................................................................... 83 1.5.5 Cost of Ownership and Environmental Impacts................................ 88

CHAPTER 2 – EXPERIMENTAL APPARATUS .................................................... 90

2.1 Innovative Planarization Laboratory Scaled Polisher ...................................... 90 2.1.1 Polisher Scaling................................................................................. 93 2.1.2 Table Top Polishing Platform ........................................................... 95 2.1.3 Wafer Carrier and Polishing Head Mechanism................................. 95 2.1.4 Force Transducer Calibration............................................................ 98 2.1.5 Traverse Calibration ........................................................................ 100 2.1.6 Friction Table .................................................................................. 102 2.1.7 Pad Conditioning System ................................................................ 104 2.1.8 Slurry Distribution System.............................................................. 107 2.1.9 Platen Temperature Control System................................................ 109 2.1.10 Computer Automation..................................................................... 111

2.2 Sandia National Laboratory SpeedFam-IPEC Avanti 472 Platform.............. 111 2.2.1 Pad Conditioning System ................................................................ 114 2.2.2 Luxtron Motor Current Endpoint Detection System....................... 114

Page 7: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

6

TABLE OF CONTENTS - Continued 2.2.3 Platen Temperature Control System................................................ 116

2.3 Metrology Equipment..................................................................................... 116 2.3.1 Thermo-analytical Instruments........................................................ 117

2.3.1.1 Dynamic Mechanical Analyzer ....................................................... 118 2.3.1.2 Thermo-Mechanical Analyzer......................................................... 122

2.3.2 Infra-Red Temperature Measurements............................................ 126 2.3.3 Film Thickness Measurements ........................................................ 129

CHAPTER 3 – APPLIED WAFER PRESSURE EFFECTS DURING CMP ......... 130

3.1 Motivation ...................................................................................................... 130 3.2 Impact of Wafer Geometry and Thermal History on Pressure and

von Mises Stress Non-uniformity During STI CMP...................................... 131 3.2.1 Background ..................................................................................... 131 3.2.2 Experimental Approach................................................................... 133 3.2.3 Results and Discussion.................................................................... 137

3.2.3.1 Stress Simulations ........................................................................... 137 3.2.3.2 Within wafer Pressure and Stress Non-uniformity for

Nominally Flat and Thermally Untreated Wafers ........................... 140 3.2.3.3 Wafer-ring Gap Size versus Within-wafer Pressure

Non-uniformity for the ‘Central Zone’ and ‘Edge Zone’ of Bowed, Thermally Untreated Wafers ......................................... 144

3.2.3.4 Wafer-ring Gap Size versus Within-wafer Pressure Non-uniformity for the ‘Central Zone’ and ‘Edge Zone’ of Bowed, Thermally Treated Wafers ............................................. 148

3.2.4 Concluding Remarks ....................................................................... 153 3.3 Estimating the Effective Pressure on Patterned Wafers During STI CMP .... 155

3.3.1 Background ..................................................................................... 155 3.3.2 Experimental Approach................................................................... 156 3.3.3 Results and Discussion.................................................................... 158 3.3.4 Concluding Remarks ....................................................................... 163

CHAPTER 4 – IMPACT OF TOOL KINEMATICS, PAD GEOMETRY

AND TEMPERATURE ON THE REMOVAL RATE AND PROCESS TRIBOLOGY DURING ILD CMP.............................. 165

4.1 Motivation ...................................................................................................... 165 4.2 Tribology ........................................................................................................ 166

4.2.1 Stribeck-Gumbel Curve................................................................... 168 4.2.2 Sommerfeld Number ....................................................................... 172 4.2.3 Coefficient of Friction ..................................................................... 174

4.3 Freudenberg Pad Study .................................................................................. 174

Page 8: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

7

TABLE OF CONTENTS - Continued 4.3.1 Experimental Approach................................................................... 177 4.3.2 Removal Rate as a Function of Tool Kinematics............................ 180 4.3.3 Tribological Mechanisms ................................................................ 195 4.3.4 IR Process Temperature as a Function of Tool Kinematics ............ 227

4.3.4.1 1.39-mm Pad Thickness .................................................................. 227 4.3.4.2 2.03-mm Pad Thickness .................................................................. 232

4.3.5 Concluding Remarks ....................................................................... 236 CHAPTER 5 – ROLE OF TEMPERATURE DURING CMP ................................ 238

5.1 Motivation ...................................................................................................... 238 5.2 Arrhenius Characterization of ILD and Copper CMP Processes ................... 240

5.2.1 Background ..................................................................................... 240 5.2.2 Theory ............................................................................................. 241 5.2.3 Experimental Approach................................................................... 244 5.2.3 Results and Discussion.................................................................... 246 5.2.4 Concluding Remarks ....................................................................... 252

5.3 Effect of Process Temperature on Coefficient of Friction During CMP ....... 253 5.3.1 Background ..................................................................................... 253 5.3.2 Experimental Approach................................................................... 254 5.3.3 Results and Discussion.................................................................... 256 5.3.4 Concluding Remarks ....................................................................... 260

5.4 Revisiting the Removal Rate Model for Oxide CMP..................................... 261 5.4.1 Objective ......................................................................................... 261 5.4.2 Experimental Approach................................................................... 263 5.4.3 Experimental Results....................................................................... 265 5.4.4 Theory ............................................................................................. 270 5.4.5 Discussion and Conclusions............................................................ 278

5.5 Additional Flash Heating Removal Rate Model Applications....................... 285 5.5.1 Application of Flash Heating Removal Rate Model on

Tungsten CMP................................................................................. 285 5.5.1.1 Results and Discussion.................................................................... 287

5.5.2 Application of Flash Heating Removal Rate Model on the Freudenberg Pad Study ................................................................... 293

5.5.2.1 Selection of an Apparent Activation Energy for Modeling ............ 294 5.5.2.2 Results and Discussion.................................................................... 296

CHAPTER 6 – ENDPOINT DETECTION IN CMP............................................... 329

6.1 Introduction .................................................................................................... 329 6.2 Experimental Approach.................................................................................. 330 6.3 Results and Discussion................................................................................... 332

Page 9: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

8

TABLE OF CONTENTS - Continued 6.4 Concluding Remarks ...................................................................................... 344

CHAPTER 7 – CONCLUSIONS AND FUTURE WORK...................................... 346

7.1 Future Works.................................................................................................. 352 APPENDIX A – ADDITIONAL PROOFS FOR FLASH HEATING

REMOVAL RATE MODEL........................................................... 354

A.1 Modeling Proof (Courtesy of Len Borucki) ................................................... 354 REFERENCES ......................................................................................................... 361

Page 10: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

9

LIST OF FIGURES

Figure 1.1: Growth of the number of components per IC chip (Chang et al., 1996)........ 29 Figure 1.2: Size and price reduction of electronic components (Chang et al., 1996)....... 36 Figure 1.3: Step-by-step schematic of an ideal shallow trench isolation (STI)

silicon dioxide CMP process where t0 < t1 < t2 < t3 ..................................... 38 Figure 1.4: Cross sectional view of a typical interconnect line (not to scale) .................. 38 Figure 1.5: Side profile (with upper layers removed for simplicity) of typical device

isolation technologies. (a) shallow trench isolation (STI) and (b) local oxidation of silicon (LOCOS)...................................................................... 43

Figure 1.6: Side-view schematic of the CMP process for a conventional rotary polisher (not to scale)................................................................................... 45

Figure 1.7: Chemical reaction for the formation of polyurethane (http://islnotes.cps.msu.edu) ........................................................................ 47

Figure 1.8: Cross sectional SEM image of IC-1000TM polyurethane based polishing pad................................................................................................ 48

Figure 1.9: Top view of various polishing pad groove types used in CMP ..................... 51 Figure 1.10: Cross sectional SEM image of IC1400TM polyurethane based

polishing pad (top) with sub pad (bottom)................................................... 51 Figure 1.11: Schematic of a purposed removal mechanism for silicon dioxide

during CMP (Chang et al., 1996)................................................................. 54 Figure 1.12: Pourbaix diagram of a Si-H2O system (Courtesy of S. Raghavan –

University of Arizona). ................................................................................ 56 Figure 1.13: SEM images of silica slurry abrasive particulate types. (a) colloidal

silica courtesy of Fujimi Corporation and (b) fumed silica courtesy of Degussa Corporation ............................................................................... 58

Figure 1.14: Schematic of the electrostatic layer formation of an abrasive particle in a slurry solution ....................................................................................... 59

Figure 1.15: Top view SEM image of IC-1400TM polyurethane based polishing pad with flattening characteristics from a lack of pad conditioning............ 61

Figure 1.16: SEM images of resulting Freudenberg polishing pad topography (a) as received, (b) following 60-grit pad conditioning, (c) following 100-grit pad conditioning and (d) following 200-grit pad conditioning...... 62

Figure 1.17: Available diamond deposition structures for pad conditioners. (a) Electroplated, (b) Sintered grid, (c) Brazed grid and (d) Random grid. (Source: Rohm and Haas Electronics) ......................................................... 63

Figure 1.18: Mesh patterned diamond pad-conditioner (Source: ABT) ........................... 64 Figure 1.19: Top view schematic of pad-wafer geometry for a conventional rotary

polisher (Courtesy of Len Borucki) ............................................................ 67 Figure 1.20: Side view of wafer carrier head assembly digging into soft polishing

pad along leading edge of wafer (not to scale) ............................................ 68 Figure 1.21: (a) Side view of various levels of pattern design density. (b) Top-

view image of high and low density patterned structures............................ 74

Page 11: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

10

LIST OF FIGURES - Continued Figure 1.22: Step-by-step schematic of step height reduction as a function of time ........ 75 Figure 1.23: Schematic of planarization length used to evaluate polishing

performance on a global scale...................................................................... 76 Figure 1.24: Cross section of a 6-level IC design (Source: Intel Corporation) ................ 77 Figure 1.25: Side-view schematic of (a) dishing and (b) erosion during clearing

or over-polish stage of CMP........................................................................ 78 Figure 1.26: Various defect events that occur as a result of CMP

(Source: Sandia National Laboratories)....................................................... 79 Figure 1.27: COO breakdown for a typical CMP module in an IC

manufacturing setting................................................................................... 89 Figure 2.1: Scaled polishing tool at the University of Arizona’s Innovative

Planarization Laboratory.............................................................................. 91 Figure 2.2: (a) Side view schematic and (b) image of sliding friction table design ......... 92 Figure 2.3: Image of wafer carrier with poromeric carrier template ................................ 97 Figure 2.4: DC controller calibration plot for wafer sliding velocity ............................... 97 Figure 2.5: Force transducer calibration apparatus........................................................... 99 Figure 2.6: Force transducer calibration plot.................................................................... 99 Figure 2.7: Drill press with a mounted weight traverse.................................................. 101 Figure 2.8: Traverse calibration plot for applied wafer pressure.................................... 101 Figure 2.9: Side view schematic of friction table calibration set-up .............................. 103 Figure 2.10: Strain gauge calibration plot....................................................................... 104 Figure 2.11: Pad conditioning apparatus during in-situ polishing.................................. 106 Figure 2.12: Calibration plots for diamond pad conditioner (a) rotation motor and

(b) oscillation motor................................................................................... 106 Figure 2.13: Peristaltic pump flow rate calibration plot ................................................. 108 Figure 2.14: Box diagram of temperature controlled water bath system from

experiments conducted in Chapter 4.......................................................... 110 Figure 2.15: Front view of SpeedFam-IPEC 472 rotary CMP tool ................................ 113 Figure 2.16: Block diagram of an integrated Luxtron motor current EPD system......... 115 Figure 2.17: TA Instruments Dynamic Mechanical Analyzer 2980 at the IPL .............. 119 Figure 2.18: Typical DMA results for a polyurethane based polishing pad................... 121 Figure 2.19: TA Instruments Thermo-Mechanical Analyzer 2940 at the IPL................ 124 Figure 2.20: Schematic of TMA internals ...................................................................... 125 Figure 2.21: Typical DMA results for a polyurethane based polishing pad................... 126 Figure 2.22: IR camera positioned during polishing ...................................................... 128 Figure 2.23: IR image of temperature controlled polishing. Spots 1 through 10

indicate the points of temperature detection along the leading edge (SP01 – SP05) and trailing edge (SP06 – SP10) of the wafer ................... 128

Figure 3.1: (a) Diagram and (b) schematic of the Tekscan® pressure measurement sensor ................................................................................... 135

Figure 3.2: Two-dimensional contour pressure image of a flat 100-mm diameter wafer at an applied wafer pressure of 6 PSI .............................................. 136

Page 12: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

11

LIST OF FIGURES - Continued Figure 3.3: (a) Top view schematic of wafer-ring gap and (b) side view schematic

of concave and convex wafer geometries and extent of bow .................... 136 Figure 3.4: Schematic representation of the wafer stack used in the simulation model. 139 Figure 3.5: Measured pressure and simulated von Mises stress for a nominally flat,

thermally untreated wafer at 6 PSI (gap size = 1.4 mm) ........................... 141 Figure 3.6: von Mises stress simulations for 200- and 300-mm wafers at an

applied wafer pressure of 4 PSI and wafer-ring gap size of 0.4 mm......... 142 Figure 3.7: Contour pressure distribution maps for nominally flat wafers using

an as received Rohm and Haas IC-1000 flat pad and a conditioned pad (30 minutes). Note that the pad center is oriented on the top left corner of each image.................................................................................. 143

Figure 3.8: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘central zone’ of a thermally untreated 100-mm wafer (applied wafer pressure of 2 PSI) .................................................... 146

Figure 3.9: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘central zone’ of a thermally untreated 100-mm wafer (applied wafer pressure of 6 PSI) .................................................... 146

Figure 3.10: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘edge zone’ of a thermally untreated 100-mm wafer (applied wafer pressure of 2 PSI) .................................................... 147

Figure 3.11: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘edge zone’ of a thermally untreated 100-mm wafer (applied wafer pressure of 6 PSI) .................................................... 147

Figure 3.12: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘central zone’ of a thermally treated 100-mm wafer (applied wafer pressure of 2 PSI) .................................................... 150

Figure 3.13: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘central zone’ of a thermally treated 100-mm wafer (applied wafer pressure of 6 PSI) .................................................... 150

Figure 3.14: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘edge zone’ of a thermally treated 100-mm wafer (applied wafer pressure of 2 PSI) .................................................... 151

Figure 3.15: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘edge zone’ of a thermally treated 100-mm wafer (applied wafer pressure of 6 PSI) .................................................... 151

Figure 3.16: Simulated von Mises stress for a nominally flat 300-mm wafer at 6 PSI (gap size = 0.4 mm).......................................................................... 152

Figure 3.17: Flexural storage modulus results for as-received IC-1400 K-groove pad. Results were taken at a sampling frequency of 10 Hz ....................... 162

Figure 4.1: Journal bearing-shaft set-up for Stribeck model .......................................... 169 Figure 4.2: Stribeck curve for journal bearing-shaft model............................................ 170 Figure 4.3: Stribeck-Gumbel curve for CMP applications ............................................. 171

Page 13: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

12

LIST OF FIGURES - Continued Figure 4.4: Removal rate plot for 1.39-mm thick Freudenberg flat pad at a

polishing flow rate of 40 cc/min ................................................................ 182 Figure 4.5: Removal rate plot for 1.39-mm thick Freudenberg XY-groove pad at a

polishing flow rate of 40 cc/min ............................................................... 183 Figure 4.6: Removal rate plot for 1.39-mm thick Freudenberg perforated pad at a

polishing flow rate of 40 cc/min ................................................................ 183 Figure 4.7: Removal rate plot for 1.39-mm thick Freudenberg flat pad at a

polishing flow rate of 120 cc/min .............................................................. 184 Figure 4.8: Removal rate plot for 1.39-mm thick Freudenberg XY-groove pad at a

polishing flow rate of 120 cc/min .............................................................. 184 Figure 4.9: Removal rate plot for 1.39-mm thick Freudenberg perforated pad at a

polishing flow rate of 120 cc/min .............................................................. 185 Figure 4.10: Removal rate plot for 2.03-mm thick Freudenberg flat pad at a

polishing flow rate of 40 cc/min ................................................................ 185 Figure 4.11: Removal rate plot for 2.03-mm thick Freudenberg XY-groove pad at a

polishing flow rate of 40 cc/min ................................................................ 186 Figure 4.12: Removal rate plot for 2.03-mm thick Freudenberg perforated pad at a

polishing flow rate of 40 cc/min ................................................................ 186 Figure 4.13: Removal rate plot for 2.03-mm thick Freudenberg flat pad at a

polishing flow rate of 120 cc/min .............................................................. 187 Figure 4.14: Removal rate plot for 2.03-mm thick Freudenberg XY-groove pad at a

polishing flow rate of 120 cc/min .............................................................. 187 Figure 4.15: Removal rate plot for 2.03-mm thick Freudenberg perforated pad at a

polishing flow rate of 120 cc/min .............................................................. 188 Figure 4.16: Predicted removal rate (Å/min) contour plot for the Freudenberg

perforated pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI ........................................................................................... 189

Figure 4.17: Predicted removal rate (Å/min) contour plot for the Freudenberg flat pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI .............. 189

Figure 4.18: Predicted removal rate (Å/min) contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI .............. 190

Figure 4.19: Predicted removal rate (Å/min) contour plot for the Freudenberg perforated pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI ........................................................................................... 190

Figure 4.20: Predicted removal rate (Å/min) contour plot for the Freudenberg flat pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI .............. 191

Page 14: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

13

LIST OF FIGURES - Continued Figure 4.21: Predicted removal rate (Å/min) contour plot for the Freudenberg XY

pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI .............. 191

Figure 4.22: Predicted removal rate (Å/min) contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min at 17°C. Note that velocity is reported in RPM and pressure is reported in PSI ................................... 193

Figure 4.23: Predicted removal rate (Å/min) contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min at 30°C. Note that velocity is reported in RPM and pressure is reported in PSI ................................... 194

Figure 4.24: Predicted removal rate (Å/min) contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min at 47°C. Note that velocity is reported in RPM and pressure is reported in PSI ................................... 194

Figure 4.25: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 13°C and a slurry flow rate of 40 cc/min .......................... 200

Figure 4.26: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 24°C and a slurry flow rate of 40 cc/min .......................... 200

Figure 4.27: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 33°C and a slurry flow rate of 40 cc/min .......................... 201

Figure 4.28: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 43°C and a slurry flow rate of 40 cc/min .......................... 201

Figure 4.29: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 13°C and a slurry flow rate of 120 cc/min ........................ 202

Figure 4.30: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 24°C and a slurry flow rate of 120 cc/min ........................ 202

Figure 4.31: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 33°C and a slurry flow rate of 120 cc/min ........................ 203

Figure 4.32: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 43°C and a slurry flow rate of 120 cc/min ........................ 203

Figure 4.33: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 13°C and a slurry flow rate of 40 cc/min .......................... 204

Figure 4.34: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 24°C and a slurry flow rate of 40 cc/min .......................... 204

Figure 4.35: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 33°C and a slurry flow rate of 40 cc/min .......................... 205

Figure 4.36: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 43°C and a slurry flow rate of 40 cc/min .......................... 205

Figure 4.37: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 13°C and a slurry flow rate of 120 cc/min ........................ 206

Figure 4.38: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 24°C and a slurry flow rate of 120 cc/min ........................ 206

Figure 4.39: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 33°C and a slurry flow rate of 120 cc/min ........................ 207

Page 15: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

14

LIST OF FIGURES - Continued Figure 4.40: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point

temperature of 43°C and a slurry flow rate of 120 cc/min ........................ 207 Figure 4.41: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set

point temperature of 13°C and a slurry flow rate of 40 cc/min ................ 208 Figure 4.42: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set

point temperature of 24°C and a slurry flow rate of 40 cc/min ................. 208 Figure 4.43: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set

point temperature of 33°C and a slurry flow rate of 40 cc/min ................. 209 Figure 4.44: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set

point temperature of 43°C and a slurry flow rate of 40 cc/min ................. 209 Figure 4.45: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set

point temperature of 13°C and a slurry flow rate of 120 cc/min ............... 210 Figure 4.46: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set

point temperature of 24°C and a slurry flow rate of 120 cc/min ............... 210 Figure 4.47: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set

point temperature of 33°C and a slurry flow rate of 120 cc/min ............... 211 Figure 4.48: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set

point temperature of 43°C and a slurry flow rate of 120 cc/min ............... 211 Figure 4.49: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set

point temperature of 13°C and a slurry flow rate of 40 cc/min ................. 212 Figure 4.50: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set

point temperature of 24°C and a slurry flow rate of 40 cc/min ................. 212 Figure 4.51: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set

point temperature of 33°C and a slurry flow rate of 40 cc/min ................. 213 Figure 4.52: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set

point temperature of 43°C and a slurry flow rate of 40 cc/min ................. 213 Figure 4.53: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set

point temperature of 13°C and a slurry flow rate of 120 cc/min ............... 214 Figure 4.54: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set

point temperature of 24°C and a slurry flow rate of 120 cc/min ............... 214 Figure 4.55: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set

point temperature of 33°C and a slurry flow rate of 120 cc/min ............... 215 Figure 4.56: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set

point temperature of 43°C and a slurry flow rate of 120 cc/min ............... 215 Figure 4.57: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set

point temperature of 13°C and a slurry flow rate of 40 cc/min ................. 216 Figure 4.58: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set

point temperature of 24°C and a slurry flow rate of 40 cc/min ................. 216 Figure 4.59: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set

point temperature of 33°C and a slurry flow rate of 40 cc/min ................. 217 Figure 4.60: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set

point temperature of 43°C and a slurry flow rate of 40 cc/min ................. 217

Page 16: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

15

LIST OF FIGURES - Continued Figure 4.61: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set

point temperature of 13°C and a slurry flow rate of 120 cc/min ............... 218 Figure 4.62: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set

point temperature of 24°C and a slurry flow rate of 120 cc/min ............... 218 Figure 4.63: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set

point temperature of 33°C and a slurry flow rate of 120 cc/min ............... 219 Figure 4.64: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set

point temperature of 43°C and a slurry flow rate of 120 cc/min ............... 219 Figure 4.65: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set

point temperature of 13°C and a slurry flow rate of 40 cc/min ................. 220 Figure 4.66: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set

point temperature of 24°C and a slurry flow rate of 40 cc/min ................. 220 Figure 4.67: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set

point temperature of 33°C and a slurry flow rate of 40 cc/min ................. 221 Figure 4.68: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set

point temperature of 43°C and a slurry flow rate of 40 cc/min ................. 221 Figure 4.69: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set

point temperature of 13°C and a slurry flow rate of 120 cc/min ............... 222 Figure 4.70: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set

point temperature of 24°C and a slurry flow rate of 120 cc/min ............... 222 Figure 4.71: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set

point temperature of 33°C and a slurry flow rate of 120 cc/min ............... 223 Figure 4.72: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set

point temperature of 43°C and a slurry flow rate of 120 cc/min ............... 223 Figure 4.73: Predicted COF contour plot for the Freudenberg perforated pad

(2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI ..................... 224

Figure 4.74: Predicted COF contour plot for the Freudenberg flat pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI....................................... 224

Figure 4.75: Predicted COF contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI....................................... 225

Figure 4.76: Predicted COF contour plot for the Freudenberg perforated pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI ..................... 225

Figure 4.77: Predicted COF contour plot for the Freudenberg flat pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI....................................... 226

Figure 4.78: Predicted COF contour plot for the Freudenberg XY pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI....................................... 226

Page 17: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

16

LIST OF FIGURES - Continued Figure 4.79: Mean IR temperature readings for 1.39-mm thick Freudenberg flat

pad at polishing flow rates of 40 and 120 cc/min ...................................... 228 Figure 4.80: Mean IR temperature plot for 1.39-mm thick Freudenberg XY-groove

pad at polishing flow rates of 40 and 120 cc/min ...................................... 229 Figure 4.81: Mean IR temperature plot for 1.39-mm thick Freudenberg perforated

pad at polishing flow rates of 40 and 120 cc/min ...................................... 229 Figure 4.82: Mean IR temperature plots for 2.03-mm thick Freudenberg flat pad at

polishing flow rates of 40 and 120 cc/min................................................. 234 Figure 4.83: Mean IR temperature plots for 2.03-mm thick Freudenberg

XY-groove pad at polishing flow rates of 40 and 120 cc/min................... 234 Figure 4.84: Mean IR temperature plots for 2.03-mm thick Freudenberg

perforated pad at polishing flow rates of 40 and 120 cc/min..................... 235 Figure 5.1: Arrhenius relationship for 1-minute ILD polish on Rohm and

Haas IC-1000 k-groove pad (a) and JSR WSP pad (b). Note that units of m/s were used for the RR term on the y-axis ................................ 247

Figure 5.2: Arrhenius relationship for a 1-minute copper polish on IC-1000 XY-groove pad at a flow rate of 155 cc/min ............................................. 250

Figure 5.3: (a) Removal rate data for a 1-minute copper polish at 25°C, indicating the extrapolated dynamic etch rate across the y-axis. (b) Arrhenius relationship for the theoretically pure chemical activation energy using various dynamic etch rates at various pad temperatures. Note that units of m/s were used for the RR term on the y-axis ................................ 251

Figure 5.4: Dependence of COF as a function of average pad temperature for 90-second ILD and copper polishes at multiple wafer pressures and pad-wafer velocities ................................................................................... 256

Figure 5.5: Flexural storage modulus results for as-received IC-1000 K-groove and XY-groove pads. Tests were performed over the range of temperatures observed during polishing experiments................................ 259

Figure 5.6: Tan δ results for as-received IC-1000 K-groove and XY-groove pads. Tests were performed over the range of temperatures observed during polishing experiments..................................................................... 259

Figure 5.7: Thermal silicon dioxide removal rate data from S&H grouped by pad-wafer sliding velocities (Stein et al., 2002) ........................................ 262

Figure 5.8: Room temperature removal rates for (a) thermal oxide and (b) PE-TEOS.. 266 Figure 5.9: Lim-Ashby contour plot of the PE-TEOS removal rates in Fig. 5.8(b).

The contour interval is 500 Å/min. The grey lines show a triangulation of the individual (p,V) pairs used in the experiment in Fig. 5.8(b). The triangles are used for linear interpolation of the measured rates ............... 267

Figure 5.10: PE-TEOS removal rate as a function of p × V and platen temperature set point. Data were not obtained at 41 and 52 kW/m2 at a platen set point of 13°C.............................................................................................. 268

Page 18: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

17

LIST OF FIGURES - Continued Figure 5.11: PE-TEOS removal rates (see Fig. 5.10) vs. the inverse of the

mean pad temperature (i.e., the average recorded IR pad temperature over the entire duration of a polish) rather than the platen set point. Data are separated by p × V. Adjacent pairs of points at each p × V ............. are replicates .............................................................................................. 269

Figure 5.12: Thermal silicon dioxide removal rate as a function of p × V and platen temperature set point. Data were not obtained at 41 and 52 kW/m2 for a platen set point of 13°C.................................................... 270

Figure 5.13: (a) Least squares fitting error of the augmented Langmuir- Hinshelwood model to the PE-TEOS data in Fig. 5.8. (b) The temperature model velocity exponent, a .................................................... 272

Figure 5.14: (a) The mechanical removal rate coefficient cp and (b) The reaction rate pre-exponential A of the model in this work....................................... 273

Figure 5.15: (a) The temperature increase proportionality constant β and (b) the required reaction temperature rise for the six p × V conditions in the PE-TEOS data ...................................................................................... 273

Figure 5.16: Comparison of the fit of the model of Eqns. (5.10) and (5.11) with room temperature PE-TEOS data at the largest and smallest values of E considered .......................................................................................... 276

Figure 5.17: (a) Plot of the model estimate of the ratio k1/k2 of the chemical rate to the mechanical rate as a function of E for each p × V condition used in the PE-TEOS data in Fig. 5.8(b). (b) Plot of the measured and calculated apparent activation energies for the PE-TEOS data from Fig. 5.11 as a function of the mean of the ratio k1/k2 at each p × V condition .......................................................................................... 276

Figure 5.18: Comparison of the model with PE-TEOS data at different platen temperatures using E from polishing condition pV3 (~31 kW/m2) ........... 277

Figure 5.19: Comparison of the model in this work (solid symbols) with TOX removal rate data at different platen temperature set points (See Fig. 5.12) using the activation energy at the most thermally limited condition (pV3).............................................................................. 277

Figure 5.20: Preston plot of TOX polishing data from S&H (open circles and squares). A theoretical fit to the data with the current model is also shown (solid triangles). See also Table 5.1. The fit was performed using a randomly selected subset of the data (circles) – the match with the remaining data (squares) provides a measure of predictive capability................................................................................... 280

Figure 5.21: Lim-Ashby plot of the thermal oxide polishing data in Fig. 5.20. The grey lines show a triangulation of the individual (p,V) pairs used in the experiment in Fig. 5.20. Contour interval: 500 Å/min .............................. 281

Page 19: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

18

LIST OF FIGURES - Continued Figure 5.22: Lim-Ashby wear plot showing how the data from Fig. 5.21 would

have looked had the removal rate been perfectly Prestonian (i.e., if all points had been on the regression line with no scatter). The contour lines are linear approximations to hyperbolic arcs of the form p × V = const. The triangles are used for linear interpolation of the measured rates. Contour interval: 500 Å/min ...................................... 282

Figure 5.23: Map of the ratio of chemical rate k1 to mechanical rate k2 derived from the best fit of the Langmuir-Hinshelwood model to the data from Stein and Hetherington (see Figs. 5.20 and 5.21). Material removal is severely mechanically limited in the upper left hand corner of the map. Toward the right side of the map, chemical and mechanical rates are more equally balanced.............................................. 283

Figure 5.24: Apparent pressure threshold behavior at constant V and sublinear velocity behavior at constant p in the PE-TEOS data from Fig. 5.8(b) compared with extrapolations from the current model. The upper model extrapolation is performed at constant pressure (7 PSI) and variable speed. The lower model extrapolation is at constant speed (90 RPM) and variable pressure. The isolated point at p × V~44 kW/m2 (6 PSI, 60 RPM) lies on neither extrapolation because the removal rate depends on p and V individually rather than just on the product p × V. At any fixed p × V, a range of rates is possible ......................................... 284

Figure 5.25: Tungsten removal rate as a function of p × V and platen temperature set point. Data were not obtained at 25 kW/m2 for platen set points of 13°C and 24°C, as well as 87 kW/m2 for a platen set point of 24°C ........................................................................................ 288

Figure 5.26: Experimental and theoretical tungsten removal rate as a function of p × V at platen temperature set point of 13°C. The RMS between the experimental and theoretical results was approximately 200 Å/min ... 290

Figure 5.27: Experimental and theoretical tungsten removal rate as a function of p × V at platen temperature set point of 24°C. The RMS between the experimental and theoretical results was approximately 338 Å/min ... 291

Figure 5.28: Experimental and theoretical tungsten removal rate as a function of p × V at platen temperature set point of 33°C. The RMS between the experimental and theoretical results was approximately 377 Å/min ... 291

Figure 5.29: Experimental and theoretical tungsten removal rate as a function of p × V at platen temperature set point of 43°C. The RMS between the experimental and theoretical results was approximately 265 Å/min ... 292

Figure 5.30: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 40 cc/min .................................. 303

Page 20: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

19

LIST OF FIGURES - Continued Figure 5.31: Experimental and theoretical ILD removal rate as a function

of p × V at platen temperature set point of 24°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 40 cc/min .................................. 305

Figure 5.32: Experimental and theoretical ILD removal rate as a function p × V at platen temperature set point of 33°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 40 cc/min .................................. 305

Figure 5.33: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 40 cc/min .................................. 306

Figure 5.34: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 120 cc/min ................................ 306

Figure 5.35: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 120 cc/min ................................ 307

Figure 5.36: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 120 cc/min ................................ 307

Figure 5.37: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 120 cc/min ................................ 308

Figure 5.38: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 40 cc/min .................................. 308

Figure 5.39: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 40 cc/min .................................. 309

Figure 5.40: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 40 cc/min .................................. 309

Figure 5.41: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 40 cc/min .................................. 310

Figure 5.42: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 120 cc/min ................................ 310

Figure 5.43: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 120 cc/min ................................ 311

Figure 5.44: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 120 cc/min ................................ 311

Page 21: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

20

LIST OF FIGURES - Continued

Figure 5.45: Experimental and theoretical ILD removal rate as a function of

p × V at platen temperature set point of 43°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 120 cc/min ................................ 312

Figure 5.46: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 40 cc/min ....................... 312

Figure 5.47: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 40 cc/min ....................... 313

Figure 5.48: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 40 cc/min ....................... 313

Figure 5.49: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 40 cc/min ....................... 314

Figure 5.50: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 120 cc/min ..................... 314

Figure 5.51: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 120 cc/min ..................... 315

Figure 5.52: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 120 cc/min ..................... 315

Figure 5.53: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 120 cc/min ..................... 316

Figure 5.54: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 40 cc/min ....................... 316

Figure 5.55: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 40 cc/min ....................... 317

Figure 5.56: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 40 cc/min ....................... 317

Figure 5.57: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 40 cc/min ....................... 318

Page 22: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

21

LIST OF FIGURES - Continued Figure 5.58: Experimental and theoretical ILD removal rate as a function of

p × V at platen temperature set point of 13°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 120 cc/min ..................... 318

Figure 5.59: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 120 cc/min ..................... 319

Figure 5.60: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 120 cc/min ..................... 319

Figure 5.61: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 120 cc/min ..................... 320

Figure 5.62: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 40 cc/min.................................. 320

Figure 5.63: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 40 cc/min.................................. 321

Figure 5.64: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 40 cc/min.................................. 321

Figure 5.65: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 40 cc/min.................................. 322

Figure 5.66: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 120 cc/min................................ 322

Figure 5.67: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 120 cc/min................................ 323

Figure 5.68: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 120 cc/min................................ 323

Figure 5.69: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 120 cc/min................................ 324

Figure 5.70: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 40 cc/min.................................. 324

Figure 5.71: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 40 cc/min.................................. 325

Page 23: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

22

LIST OF FIGURES - Continued Figure 5.72: Experimental and theoretical ILD removal rate as a function of

p × V at platen temperature set point of 33°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 40 cc/min.................................. 325

Figure 5.73: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 40 cc/min.................................. 326

Figure 5.74: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 120 cc/min................................ 326

Figure 5.75: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 120 cc/min................................ 327

Figure 5.76: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 120 cc/min................................ 327

Figure 5.77: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 120 cc/min................................ 328

Figure 6.1: Raw platen motor current output (Channel A) for an over polish run from STI patterned wafer Set C........................................................... 334

Figure 6.2: Endpoint detection viewing window with specified dimensions ................. 337 Figure 6.3: Typical conditions for endpoint detection using an “Interference”

signal type and End of oscillation stopping point...................................... 338 Figure 6.4: Typical conditions for endpoint detection using a “Falling Slope”

signal type and End of slope stopping point .............................................. 338 Figure 6.5: Motor current signal for polished wafer from Set A with an

applied endpoint recipe (left). Motor current signal for polished wafer from Set B with an applied endpoint recipe (right) ......................... 339

Figure 6.6: Motor current signal for polished wafer from Set C with an applied endpoint recipe (left). Motor current signal for polished wafer from Set D with an applied endpoint recipe (right) ......................... 340

Figure 6.7: Pattern density distribution for STI patterned wafer Set C .......................... 343 Figure 6.8: Pattern density distribution for STI patterned wafer Set D.......................... 343 Figure A.1: Polishing pad scanning profilometry data showing evidence of an

exponential right hand tail (Borucki et al., 2004)...................................... 359 Figure A.2: (a) Pad heat partition factors as a function of sliding velocity and

asperity contact dimension. (b) Pad heat partition factor proportionality constant and velocity exponent......................................... 360

Page 24: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

23

LIST OF TABLES Table 1.1: Characteristic physical properties of IC-1000TM polyurethane

based polishing pad (Oliver, 2004).............................................................. 49 Table 1.2: Material properties for common abrasives in slurries (Oliver, 2004).

*(Source: S. Raghavan, University of Arizona, Tucson, AZ) ..................... 57 Table 2.1: Scaling parameters for 1:2 SpeedFam-IPEC 472 scaled polisher ................... 94 Table 3.1: Wafer stack material properties assumed in the simulation model ............... 139 Table 3.2: Apparent activation energy values for HDP filled STI wafers of

variable pattern density .............................................................................. 159 Table 3.3: Derived effective pressure values for STI polishes at a platen

temperature of approximately 10°C. The values represent an average of four individual polishing experiments................................................... 159

Table 3.4: Derived effective pressure values for STI polishes at a platen temperature of approximately 23°C. The values represent an average of four individual polishing experiments................................................... 159

Table 3.5: Derived effective pressure values for STI polishes at a platen temperature of approximately 35°C. The values represent an average of four individual polishing experiments................................................... 160

Table 3.6: Derived effective pressure values for STI polishes at a platen temperature of approximately 45°C. The values represent an average of four individual polishing experiments................................................... 160

Table 4.1: Statistical regression results for removal rate. Results are listed in ascending order, with the most significance results appearing at the top of the list ........................................................................................ 181

Table 4.2: Statistical regression results for COF. Results are listed in ascending order, with the most significance results appearing at the top of the list... 197

Table 5.1: Modeling parameters extracted in the thermal studies from Sandia National Laboratory study. (*) denotes a parameter whose value was assumed rather than extracted. Two sets of parameters are reported for the thermal oxide data from S&H extracted using eight randomly selected points and values extracted using all of the points....................... 278

Table 5.2: Modeling parameters extracted for the flash heating removal rate model for the results from the Freudenberg pad study .............................. 297

Table 5.3: RMS errors associated with experimental data and theoretical results obtained from several removal rate models. Errors values represent an average of each model against experimental data for a single polishing condition at all platen set point temperatures .................. 298

Table 5.4: Flash heating model fitting parameters for select cases of the Freudenberg pad study with the inclusion of COF. This table also includes a side by side comparison of the relative predictive error associated with the model when including and not including COF........... 302

Table 6.1: Oxide and nitride pattern density statistics for the STI patterned wafers used in this study............................................................................ 331

Page 25: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

24

LIST OF TABLES - Continued Table 6.2: Trench oxide thickness after timed polishing................................................ 335 Table 6.3: Window parameters for EPD of STI patterned wafer Sets A through D....... 336 Table 6.4: Motor current endpoint results for STI patterned wafer Sets A through D... 341

Page 26: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

25

ABSTRACT

This dissertation presents a series of studies that describe the impacts of, among other

things, temperature and kinematics on inter-level dielectric (ILD) and metal chemical

mechanical planarization (CMP) processes. The performance of CMP is often evaluated

in terms of removal rate, uniformity, planarization length, step height, defects and

resulting topography such as erosion and dishing. The assessment of these parameters is

significantly dependent on the selection of tool and consumable set (polishing pad or

slurry type), as well as the kinematics involved in the process. Variations in pressure,

sliding velocity, temperature and slurry flow rate are just a few of the dynamic inputs that

can affect polishing performance. The studies presented in this dissertation focus on some

of these external parameters and how they influence the mechanisms involved with the

CMP process and their overall outcome on performance.

Studies presented in this dissertation include topics such as the effects wafer-ring

configurations and wafer geometries on the applied wafer pressure distribution across a

wafer surface. In addition to this, another study related to understanding applied wafer

pressure investigated the estimation of the effective (envelop) pressure for patterned

shallow trench isolation (STI) wafers during CMP. When considering the regularity of

issues such as changing wafer geometries and wafer feature patterns, these two studies

provided significant insight on the potential issues that could arise during CMP when

dealing with such events, as well as potential solutions for controlling such events.

Page 27: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

26

Another study in this dissertation investigated the effects of polishing pad type on

dielectric CMP performance. Polishing pads varied in thickness and grooving, and tests

were done to characterize the tribological and thermal behavior of the pads under a wide

range of p × V and slurry flow rate conditions. Of key importance in this study was

observing any combined effects between changes in platen set point temperature and pad

type on ILD removal rate.

The greatest contribution to this dissertation involved studies related to the role of

temperature in CMP. These studies implemented variable platen set point temperatures to

further understand the thermal effects on parameters such as removal rate and coefficient

of friction (COF). As a result of these studies, a new removal rate model based on flash

heating was developed to describe observed non-linear trends in removal rate. The

application of this model has shown great utility in removal rate prediction when

compared to prior models.

Page 28: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

27

CHAPTER 1 – INTRODUCTION

1.1 Introduction to Chemical Mechanical Planarization

Originally introduced by the Monsanto Company in 1962, commercial chemical

mechanical planarization (CMP) began as a process used to prepare single-crystalline

silicon wafers for the fabrication of integrated circuits (IC) (Walsh et al., 1965; McIntosh

et al., 1980). Monsanto’s groundwork for achieving a planar and scratch-free wafer

surface has since been improved and expanded upon to meet the demands required by the

rapid evolution of the IC device. In fact within the past 40 years, advancements in IC

technology have driven the number of components present on a single chip by nearly five

orders of magnitude (see Fig. 1.1, Chang et al., 1996). Based on this evidence of growth

and the current production of ultra large scale integrated (ULSI) circuit devices, it should

be established that the performance requirements imposed on the CMP process have

dramatically changed since the early 1960’s. With the accelerating growth of IC

technology and the growing expectations placed on CMP, the need for understanding,

predicting and explaining the science of CMP has become more apparent.

In view of all the current applications of CMP in ULSI technology, the primary

purpose of the CMP process remains the same since its inception: to yield a planar and

defect free (i.e., scratches, dishing, erosion, etc.) die-level and wafer-level surface (i.e.,

local and global scale respectively), using the combined action of chemistry and

mechanics (i.e., applied pressures and sliding velocity). In attaining this goal, CMP in

Page 29: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

28

turn enables the fabrication of multi-level ULSI devices in two respects: (1) Providing a

suitable surface for patterning steps through the reduction of local and global level

topography. (2) Providing an anisotropic approach of removing deposited inter-level

metals in order to yield optimal structural and resistive uniformity among every

individual inlaid interconnect structure (this is also known as a damascene process).

With a rapidly growing IC field and a perpetual demand for CMP in IC fabrication, it

is of critical importance that the fundamental aspects of CMP be investigated. Seeking

out better alternatives to current processes could potentially improve process performance

and reduce both the process costs and the potential impact on human health and the

environment.

Page 30: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

29

1.E+00

1.E+01

1.E+02

1.E+03

1.E+04

1.E+05

1.E+06

1.E+07

1.E+08

1.E+09

1960 1970 1980 1990 2000 2010

Years

Com

pone

nts

per c

hip

SSI

MSI

LSI

VLSI

ULSI

109

108

107

106

105

104

103

102

101

1

(b) Bipolar transistor

(c) MESFET(d) MODFET

(s) MOSFET (DRAM)

1 K

4 K

16 K64 K

256 K

1 M

4 M

256 M

64 M

16 M

1 G

1.E+00

1.E+01

1.E+02

1.E+03

1.E+04

1.E+05

1.E+06

1.E+07

1.E+08

1.E+09

1960 1970 1980 1990 2000 2010

Years

Com

pone

nts

per c

hip

SSI

MSI

LSI

VLSI

ULSI

SSI

MSI

LSI

VLSI

ULSI

109

108

107

106

105

104

103

102

101

1

(b) Bipolar transistor

(c) MESFET(d) MODFET

(b) Bipolar transistor

(c) MESFET(d) MODFET

(s) MOSFET (DRAM)

1 K

4 K

16 K64 K

256 K

1 M

4 M

256 M

64 M

16 M

1 G

(s) MOSFET (DRAM)

1 K

4 K4 K

16 K64 K64 K

256 K256 K

1 M1 M

4 M4 M

256 M256 M

64 M64 M

16 M16 M

1 G

Figure 1.1: Growth of the number of components per IC chip (Chang et al., 1996)

Page 31: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

30

1.2 History of Polishing

Historically, the development of CMP has evolved from two primary practices:

grinding, which was first employed during the Neolithic period, and lapping, which was

first used during the 10th century. Over time, it was the combination and refinement of

these technologies which brought about the current adaptation known as CMP.

As mentioned above, the origins of grinding stem back to the Neolithic period (8000

– 3000 B.C.E.) of human evolution (http://www.britannica.com, 2004). Also known as

the New Stone Age, this period marked the final stage of the cultural and technological

development among prehistoric humans. During this time, prehistoric humans developed

stone tools and weapons with a matte finish by grinding a stone work-piece against other

materials of comparable strength in a deliberate fashion. This method was a significant

advancement compared to the Paleolithic era (approximately 2 million years ago), when

early humans used fortuitous stone chipping techniques to create tools and weapons. The

invention of grinding allowed for more capable tools and weapons for cutting and

hunting. Despite this, the grinding process still lacked the ability to generate precise

work-piece geometries (approximately 5 µm in surface non-uniformity).

With the advent of grinding established thousands of years ago, refinement of the

process progressed through the years using work-piece materials such as copper, bronze

and other metals. It was during this time that grinding techniques were improved to

provide better accuracies to forms and surface finishes. This was primarily done by an

assessment of various grinding materials and ultimately resulted in a process that could

Page 32: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

31

yield a crude mirror-like finish with greater control on the final geometry of the work-

piece (http://www.britannica.com, 2004).

Approaching the early 10th century, the emerging interest and value of precious

jewels created a greater need for a more precise grinding technique. Up until this point,

the application of the previous techniques would result in a significant loss of precious

material and yield an unaesthetic finish. Due to the sheer value of jewels, the need for a

more refined process led towards the second generation of polishing known as lapping.

Lapping describes the process of generating a desired surface geometry by the action of

sliding a work-piece material (i.e.,, a jewel) against a lap (typically cast iron or brass).

However, the distinguishing characteristic lapping has over prior processes is the

inclusion of an aqueous solution of abrasive particles (i.e., slurry) at the contact interface

of the work-piece and lap (Marienescu et al., 2000).

The addition of small particles in the lapping process allowed for finer precision

when conditioning the surface of a work-piece. These small abrasive particles usually

came in the form of sand combined with water or mud-like slurries and were typically 5

to 20 µm in size (Marienescu et al., 2000; Parks, 1990). Similar to a current wood

sanding process, lapping employs abrasive particles by creating small cracks (up to 20

µm in depth) on the work-piece surface through the action of applying a pressure and

sliding the work-piece across the lap (Parks, 1990). The rolling action of the particles

across the material surface gradually chips away at the work-piece surface in a controlled

fashion, thereby enabling users of the process to form desired geometries with accuracy.

Page 33: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

32

To indicate the extreme value of the lapping process, it should be noted that since the

introduction of the process during the 10th century it is still considered a common

practice to this day. In addition to its importance of utility, the lapping process also

provided the foundation for more advanced glass polishing techniques that arose around

the end of the 13th century.

As society approached the dawn of the Renaissance (13th century A.D.), a growing

popularity in religion and advances in general science and cultural arts heeded the need

for optical lenses. Although credit cannot be given to a particular individual for the

invention of the optical lens, it is suspected, through dated paintings, that optical lenses

first appeared in a painting by Tomaso de Modena around the year 1360 and it was not

until the late-1300’s that optical lenses for eyeglasses were considered commonplace

(Twyman, 1955).

The invaluable utility of eyeglasses during this time brought about an ‘unseen’ level

of human dependence on the invention. It can be safely said that the extent to which

society was dependent on eyeglasses presented the first true case in which the grinding/

lapping process found a required need for a suitable final product as demanded by society

at the time. In general, the finished optical lens called for finer precision in geometric

form (less than 5 µm in surface non-uniformity) and a relatively scratch-free surface. If

these criteria were not met, then the functionality of the optical lens would be considered

inadequate by the user.

As a result of these constraints, the lapping technique could not be solely utilized in

creating the final product, but rather to simply wear the starting material down to its near

Page 34: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

33

perfect form. Beyond this however, lapping left undesirable finishes on the lens surface

and needed to be replaced by a more precise process. This led the way for glass polishing.

Compared to grinding, the distinguishing factor of polishing, which permitted finer

geometric precision and a relatively smooth surface finish, was the use of softer lapping

materials. Materials such as wood, cloth and leather allowed for less intrusive scratches

on the work piece surface as a result of a different interfacial contact mechanism between

the lapping material, abrasive particles and work-piece. In lapping, abrasive particles are

pressed against two rigid materials and roll along the surfaces when a sliding motion is

induced. Generally, this process would generate crude subsurface scratch depths of up to

20 µm (Parks, 1990). In polishing however, the abrasive particles are pressed against a

rigid material (i.e., the work-piece) and a soft lapping material. When a sliding motion is

induced, the particles are elastically retained by the soft lapping material and slide across

the work-piece surface creating submicron scratch depths. This action of creating

innumerable submicron scratch depths allows for a finer and more controllable process,

thereby achieving the goals demanded of glass polishing.

Since its application on optical lenses, the polishing process has essentially remained

the same to this day. Over the past 700 years, innovations in technology have brought

about a spanning need for various polishing applications. Depending on the type of

material being polished and its application in science or society, the requirements

expected by consumers have become more rigorous and have driven polishing to

unforeseen levels of accuracy and precision. It has been the driving need for

understanding the underlying science of polishing that has brought the technology to its

Page 35: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

34

current status of a chemical and mechanical process. Prior to the past 45 years, polishing

was predominately considered a mechanical process, however with more recent works by

Cook and Tomozawa, the interactions involved in polishing (specifically glass) have

shown that the role of chemistry is far more significant than once thought (Cook, 1990;

Tomozawa, 1997). The coupled progression of understanding the mechanical and, as of

the past 45 years, the chemical facets of the polishing process, have evolved to make

CMP what it is today.

1.3 State-of-the-art CMP Processing and its Applications in Semiconductor Fabrication

Since the introduction of the first IC device in 1959, the semiconductor industry has

grown to nearly $679.7 billion in global sales (as of 1993), with the United States

comprising 40 percent of the market (Chang et al., 1996; Plummer et al., 2000). Rapid

development of IC technology has been the primary driver for the remarkable economic

growth of the industry. Fundamentally, ICs (i.e., chips) are electronic devices that consist

of many individual components (i.e., transistor, resistor, capacitor, etc.) that are

fabricated on a common substrate (semiconductor) and wired together to perform a

specific function. From approximately ten components in 1959, the number of

components per IC has nearly doubled every two years for the last 40 years. Also known

as Moore’s law, it was Gordon Moore who pointed out in 1965 that IC device complexity

would double every generation, where a generation would constitute about 18 months.

Page 36: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

35

Moore also noted that the cost associated with designing each device generation would

rise by the same approximate rate (Plummer et al., 2000).

Until the 1970’s the parallel trend in IC cost and complexity was a reality, however

the introduction of computer aided design (CAD) programs enabled designers to continue

in the trend of creating complex devices but at a margin of the expected cost. This stage

in IC development also marked a significant revolution with respect to the development

of fabrication tools. Under the forecast set forth by Moore’s law, IC manufacturers were

faced with the reality of having to reduce device feature sizes to meet expectations. In

fact, since the introduction of the medium scale integrated (MSI) circuit in the 1960’s, the

rate of reduction in the minimum device feature length has been 13 percent annually

(Chang et al., 1996; Plummer et al., 2000). As seen from Fig. 1.1, the growth in

components per device has brought IC technology to its current state-of-the-art ULSI

circuit device, which can have up to 109 components per chip.

Smart and efficient device design has not only decreased the relative value of each

chip generation (see Fig. 1.2), but it has concurrently done so by enhancing the

performance of each generation. As it is known, decreases in feature length reduce the

overall device size, thereby increasing the packing density and reducing the overall cost

of function. Furthermore, decreases in device size (feature length) have increased device

speeds and decreased power consumption. As the industry strives towards reaching new

levels of design complexity and feature lengths of nearly 0.13 µm, the tool and process

capabilities that will be required for such fabrication will require extremely high degrees

of repeatability, uniformity and yield (ITRS roadmap, 2003). One such process is CMP.

Page 37: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

36

1E-10

1E-08

1E-06

0.0001

0.01

1

100

10000

1930 1940 1950 1960 1970 1980 1990 2000

Year

Rel

ativ

e Sc

ale

104

102

1

10-2

10-4

10-6

10-8

10-10

Standard tube

Miniature tube

Bipolar transistor

Integrated circuit

MSI

LSI

VLSI

ULSI

Electron tube Semiconductor Devices

1E-10

1E-08

1E-06

0.0001

0.01

1

100

10000

1930 1940 1950 1960 1970 1980 1990 2000

Year

Rel

ativ

e Sc

ale

104

102

1

10-2

10-4

10-6

10-8

10-10

Standard tube

Miniature tube

Bipolar transistor

Integrated circuit

MSI

LSI

VLSI

ULSI

Electron tube Semiconductor Devices

Figure 1.2: Size and price reduction of electronic components (Chang et al., 1996)

Page 38: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

37

As stated by the 2003 edition of the ITRS, “Increasingly, planarization has become an

enabling step for interconnect technology. As materials and structures become less

conventional and demands on planarization tolerances become more exacting,

planarization processes themselves become more closely coupled to the choice of

integration scheme. CMP and near alternatives remain the leading planarization

technologies for current and future manufacturing.” From the above quote, it is clear that

the role of CMP as the leading planarization technology will continue to be critical for IC

fabrication.

In general, the IC fabrication process can involve almost 200 individual steps, each

step building upon a previous step. The precision and accuracy involved in each step

must result in a well-controlled structure for following steps. The above description is

most suited to describe the role of CMP. As seen in Fig. 1.3, following any deposition

step (inter-level dielectric, ILD, metal or metal barrier) during fabrication, CMP must be

employed to eliminate any topography over which the next layer must be processed.

The elimination of topography during CMP has several benefits which are as follows:

(1) higher subsequent photolithography yields and (2) a reduction of inhomogeneous

metallization layer thicknesses. The former is associated with creating a planar surface to

eliminate possible focusing and image transfer issues related to the photolithography step

of fabrication. This is a common expectation after an ILD deposition step. With the drive

towards device size minimization, the smaller wavelength lights required to create

submicron feature patterns has brought more attention to this aspect of planarization and

has set more stringent limits on surface planarity.

Page 39: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

38

Si

SiO2

Si Si

(a) Non-planarized Surface, time = t0 (b) Smoothed Surface, time = t1

(c) Locally Planarized Surface, time = t2 (d) Globally Planarized Surface, time = t3

Si

SiO2

Si

SiO2

Si

SiO2

SiSi SiSi

(a) Non-planarized Surface, time = t0 (b) Smoothed Surface, time = t1

(c) Locally Planarized Surface, time = t2 (d) Globally Planarized Surface, time = t3

Si

SiO2

Si

SiO2

Figure 1.3: Step-by-step schematic of an ideal shallow trench isolation (STI) silicon dioxide CMP process where t0 < t1 < t2 < t3

Figure 1.4: Cross sectional view of a typical interconnect line (not to scale)

d

l

t

ILD

Metal

xy

z

d

l

t

ILD

Metal

xy

zx

y

z

Page 40: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

39

The latter benefit pertains to the dimensions of the many inlaid metal lines following

metal polishing. Based on the general equation used to determine the speed of an

interconnect, Eqn. (1.1), the RC time delay, τ, appears as a function of several

dimensional parameters associated with the inlaid metal interconnect lines.

dtl⋅

⋅⋅=2

ερτ (1.1)

In the above equation ρ and ε are the intrinsic resistivity and permittivity of the metal

respectively, l is the metal line length, t is the adjacent dielectric thickness and d is the

metal line thickness (see Fig 1.4). When one considers the thousands of metal lines on

single IC layer, the importance of planarizing these features into uniform structures is of

extreme importance for product performance. As seen from Eqn. (1.1), if adequate

planarization is not achieved (i.e. dimensional variation of interconnect structure), the

possibility of having individual interconnects with variable RC time delays (likely result

from over polishing) or current leakage issues (likely result from under polishing) would

be catastrophic.

Aside from the above benefits, additional benefits from CMP include (Zantye et al.,

2004):

• Reduction in step coverage issues

• Higher dry etch yields

• Reduction of prior level defects

• Elimination of undesired contacts and electro-migration effects

• Ability to limit the stacking height of metallization layers

Page 41: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

40

As mentioned before, the conventional CMP process was introduced by the Monsanto

Company in 1962 to planarize raw silicon wafers for IC fabrication following the

intrusive and defect causing sawing process for creating a wafer. Monsanto used this

process on silicon substrates as well as other semiconductor materials (i.e., germanium,

sapphire, etc.). The first generation CMP process was suitable for several years, however

as the IC industry approached the late 1960s, the desirable material properties of silicon

propelled the material to become the most favorable for fabrication. By settling on silicon

as a universal base material for IC’s, the industry began a push towards refining the CMP

process for its specific application on silicon.

In 1969 Joseph Regh and a group of engineers from IBM developed and patented a

method for plating and polishing a silicon planar surface (Regh et al., 1969). Unlike the

general approach introduced by Monsanto, Regh et al., established a process solely for

polishing silicon. The key improvement made by Regh et al., was in form of a cupric salt

slurry. Unlike Monsanto’s alkaline-silica based slurry, which proved suitable for a wide

array of semiconductor materials, IBM employed a cupric salt slurry (CuNO3),

exclusively for silicon polishing, and was able to show an improvement of the surface

finish. Specifically, the slurry was customized to reduce defects and surface non-planarity

introduced by preceding etching and deposition steps.

With the development of more complex IC devices during the 1970s and 80s, the

materials and structures used for ICs became less conventional and demands on

planarization tolerances became more challenging. During this time, the CMP process

underwent a series of improvements with respect to the design of tools and consumables

Page 42: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

41

(i.e., polishing pads and slurries) (Goetz et al., 1972; Kushibe, 1976; Nelson, 1986;

Chow, 1988). The application of dielectric materials such as deposited silicon dioxide

and silicon nitride promoted the development of high performance slurries.

Advancements in the fabrication process of abrasive silica particles for slurries provided

the option of using colloidal or fumed silica slurries for various dielectric CMP processes.

Similarly, metals such as tungsten (used for plugs) and aluminum (used for metal lines),

introduced several novel slurry chemistries embracing the reactive tendencies of metals.

In 1988, the first commercial CMP tool was introduced by Cybeq Corporation in Japan

and spawned a drive for other companies to enter this soon-to-be growing market.

Moving towards the 21st century, IC devices became more commonplace in

workplaces and homes. The extent of human dependence on computers and the speed and

reliability of processors drove a demand-based market for better chips. This initiated two

primary shifts in IC fabrication design and consequently CMP. The first was the

continuing strive for feature size shrinkage. In order for a more efficient use of chip

space, shallow trench isolation (STI) technology was developed to replace the previous

local oxidation of silicon (LOCOS) technology. As seen in Fig. 1.5, the STI design

presented a more compact alternative to the preceding LOCOS design. Furthermore, STI

technology had other advantages over LOCOS such as low junction capacitance, near

zero-field encroachment and exceptional latch-up immunity (Gan, 2000). The general

structure and nature of materials used in STI technology generated several complexities

for CMP such as selectivity issues (i.e. oxide vs. nitride removal rates), nitride erosion

and trench oxide dishing. These problems are generally associated to variations in wafer

Page 43: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

42

pattern density and the overall material properties (i.e., Young’s Modulus) needed for

STI fabrication. Despite this however, CMP technology progressed forward with several

potential solutions. These came in the form of smart consumable designs such as non-

porous pad applications, selective slurry chemistries and endpoint technologies.

The second shift in IC fabrication design brought CMP to the current state-of-the-art.

In order to keep up with demands for performance speeds and reduced energy

consumption, the IC industry needed an alternative to aluminum as the sole interconnect

material. The intrinsic properties of aluminum make it such that it cannot handle high

power density applications. This is primarily due to aluminum’s low resistance to

electromigration, or the process of metal atoms diffusing (metal thinning) as a result of

bombarding electrons carried by transferred currents (http://semiconductorglossary.com,

2005). During the late 1990s, copper was selected as the most suitable alternatives to

aluminum. Compared to aluminum, copper was shown to possess a high resistance to

electromigration effects and low electrical resistivity. In addition to these desired

properties the implementation of copper also meant a reduction in fabrication cost. This

was due to the fact that copper techniques required fewer (approximately 25 percent)

processing steps (Singer, 1998).

Coupled with the higher device density, the copper CMP process presented several

new challenges. These were, and still are, related to polishing selectivity and associated

issues such as dishing and erosion. To date, several plausible solutions have been

developed including novel pad designs and slurry chemistries, as well as low-stress and

multi-step polishing techniques. Although the CMP process has shown exceptional

Page 44: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

43

robustness and reliability, it is an ever-growing technology that continues to face many

challenges. The continuing drive towards improving IC technology and performance will

bring forth more complex and delicate materials for fabrication, thereby heeding the

improvement of CMP technology. Along these same lines, new technologies such as

micro-electro mechanical systems (MEMS) bring about new and diverse issues for CMP.

Adapting CMP to a variety of materials, designs, structures, and processes will continue

the effort of refining and improving the process towards the goal of meeting future issues.

Figure 1.5: Side profile (with upper layers removed for simplicity) of typical device isolation technologies. (a) shallow trench isolation (STI) and (b) local oxidation of silicon (LOCOS)

Si(a)

Si(b)

Silicon Dioxide Silicon Dioxide

SiSi(a)

Si(b)

Silicon Dioxide Silicon Dioxide

Page 45: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

44

1.4 Equipment and Consumable Design Considerations in CMP

As mentioned earlier, the CMP process occurs as a wafer surface is moved across a

polishing pad with an applied pressure, in the presence of slurry. The polishing pad,

usually polyurethane based, provides a surface with small rough points known as

asperities. These asperities make contact with the wafer to sweep away material on the

surface of the wafer. The slurry imparts abrasive particles and an appropriate chemical

environment for a well-controlled removal mechanism. As the polishing process ensues,

the mechanical contact by the wafer on the polishing pad wears away existing asperities,

thereby requiring the regeneration of a rough, asperity rich pad surface. An abrasion

process known as diamond conditioning is employed to restore asperities on the pad

surface. Figure 1.6 shows a general schematic of the CMP process for a conventional

rotary tool.

As described in the previous section, a majority of advances in CMP technology have

come in the form of the consumables (pads and slurries) and tools. The subsequent

sections will discuss some general design considerations for polishing pads, slurries,

CMP tools, and associated tool equipment.

Page 46: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

45

Rotating Platen

SlurryRotating Wafer

Polishing Pad

Diamond Conditioner

Rotating Platen

SlurryRotating Wafer

Polishing Pad

Diamond Conditioner

Figure 1.6: Side-view schematic of the CMP process for a conventional rotary polisher (not to scale)

Page 47: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

46

1.4.1 Pads

There are several types of polishing pads used for ILD and metal CMP.

Considerations in pad design are geared towards meeting the goals of providing optimal

polishing results, while efficiently utilizing slurry and maintaining a long pad lifetime.

Polishing pads can vary in material type, porosity, pad thickness, grooving type, density

and hardness. The conventional industrial CMP process occurs in two stages, each

performed on an exclusive polishing platen. The first is a primary polish that removes the

majority of the surface material. This process is usually done with a urethane-based pad,

which is harder and more capable of generating polished surfaces with longer ranges of

planarization. The second polish is intended for buffing and is usually done on soft,

poromeric pads. The rest of this section will focus on the harder urethane based pads used

for bulk material removal.

When selecting a base material for pads one must consider several mechanical and

chemical requirements. Mechanical properties include a high strength to resist tearing

during polishing, suitable levels of hardness based on the material being polishing and an

adequate abrasion resistance to avoid excessive pad wear. Chemically, one desires a

material that is resistive to aggressive slurry chemistries and is sufficiently hydrophilic.

Based on these criteria, the polymeric form of urethane is considered to be the best

material for CMP (Oliver, 2004). As seen in Fig. 1.7, polyurethane is formed by the

reaction of polyol and isocyanate (either the di- or poly-functional group of isocyanate)

(Lenz, 1967).

Page 48: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

47

Figure 1.7: Chemical reaction for the formation of polyurethane (http://islnotes.cps.msu.edu)

The molecular structures of polyurethane can vary from rigid cross-linked polymers

to linear elastomers. This variation in morphology gives polyurethane the unique feature

of having ‘soft’ and ‘hard’ segments. Soft segments are comprised of high molecular

weight diol chains of the urethane and comprise of regions with increased flexibility,

toughness and resistance to wear. Hard segments are cross-linked portions of the pad and

are regions of the pad with increased strength and stiffness. The relative amount of these

segments is a significant determinant of the controlling properties of the pad and can

somewhat be controlled by the annealing conditions of the polymer reaction itself.

Typical CMP pads are comprised of closed pore structures with spherical diameters

ranging between 30 to 50 µm (see Fig. 1.8). On average, the pores take up approximately

one third of the total pad volume and facilitate the transport of slurry to the pad-wafer

interface (Oliver, 2004). The extent of pad porosity also dictates the extent to which

properties such as pad density, pad hardness and pad modulus are effected (the extent of

R = R = R =

Page 49: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

48

pad porosity is inversely proportional to the aforementioned properties). Table 1.1 shows

the typical physical properties of the polyurethane based Rohm and Haas IC-1000TM

polishing pad, which has an approximate porosity of 880 ± 120 cells per unit area.

50 um50 um

Figure 1.8: Cross sectional SEM image of IC-1000TM polyurethane based polishing pad

Polishing pads have also been designed to be non-porous. Non-porous pads are not

commonly used in industrial applications due to the high extent of material hardness and

a poor response to diamond conditioning, however in cases where high removal rates and

high global planarization results are required non-porous pads may be utilized (i.e., first

level STI trench oxide CMP). One example of a non-porous pad is the JSR water soluble

particle (WSP) pad. This pad is non-porous in nature but contains embedded, micron size,

particles that dissolve upon contact with water. Through slight agitation of the pad

Page 50: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

49

surface with diamond conditioning, the WSP are exposed and dissolve away to create

voids on the surface of the pad. This in turn creates pores on the pad which resemble

those of traditional polyurethane based CMP pads. The amount of WSP embedded into

the pad can vary from none to ‘high’ based on the requirements of the CMP application.

Furthermore, the polymeric composition of the pad can also vary such that the pad can

have soft or hard material properties. CMP characteristics of the JSR WSP pads are

detailed elsewhere (Charns, 2003).

Table 1.1: Characteristic physical properties of IC-1000TM polyurethane based polishing pad (Oliver, 2004)

The thickness of a polishing pad determines the overall properties of a pad. Based on

the Machinery’s Handbook, pad stiffness is proportional to the product of pad Young’s

modulus and the pad thickness to the third power (McCauley et al., 2004). This design

consideration proves to be important when one considers that pad stiffness affects

Property ValueDensity (g/cm3) 0.748 ± 0.051Hardness (Shore D) 52.2 ± 2.5Shear Strength (MPa) 51.2 ± 4.1Proportional Limit (MPa) 9.1 ± 1.3Tensile Strength (MPa) 21.6 ± 2.8Elongation to Break (%) 175.0 ± 20.0Storage Modulus (MPa) 310.0 ± 40.0Loss Modulus (MPa) 28.0 ± 4.5Tan Delta 0.090 ± 0.005

Page 51: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

50

parameters such as wafer- and die-level planarity. Conventional CMP pads such as the

IC-1000TM are 1.3 mm thick, as received, however when one considers the progressive

wear of the pad from the impacts of polishing and diamond conditioning, the resulting

decrease in pad stiffness corresponds to slight changes in polishing parameters such as

removal rate and uniformity. This design consideration is the focus a study done as part

of this dissertation and will be discussed in a later chapter.

Groove design is another significant consideration regarding polishing pads. Pad

grooves are regarded as macro-features, whereas pad asperities, pores and furrows

created by diamond conditioning are considered micro-features. Grooves provide a

channel for efficient and uniform slurry distribution across the pad surface and the pad-

wafer interface (Sohn et al., 2000), as well as providing uniform pressure distribution

during CMP. Furthermore, grooves prevent hydroplaning at the pad-wafer interface by

creating disruptions in the continuous layer of fluid, which could exist when using a flat

pad. Finally, pad grooves provide an effective channel for the removal of entrained debris

and the subsequent replacement with fresh slurry. Figure 1.9 shows the most typical pad

groove types used in industry to date. The selection of a pad groove type depends on the

material being polished, tool type and slurry type being used.

On a final note, many industrial CMP processes implement the use of softer base pads

in order to improve polishing uniformity. These cushion-like sub-pads enable better

contact between the pad and wafer through improved pad flexibility. As seen in Fig. 1.10,

the polymeric, foam-type, base is much different in structure when compared to the

harder top layer. The improved flexibility encountered by the wafer on the pad has shown

Page 52: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

51

to improve wafer-level uniformity at the cost of planarity, however industrially it has

proven enough value for its implementation.

Figure 1.9: Top view of various polishing pad groove types used in CMP

Figure 1.10: Cross sectional SEM image of IC1400TM polyurethane based polishing pad (top) with sub pad (bottom)

100 µm

Top pad

Sub pad

100 µm100 µm

Top pad

Sub pad

(a) Flat pad (b) Perforated pad (c) XY pad (d) K-groove (concentric) pad

(e) Logarithmic spiral positive pad

(f) Leminscate(a) Flat pad (b) Perforated pad (c) XY pad (d) K-groove (concentric) pad

(e) Logarithmic spiral positive pad

(f) Leminscate

Page 53: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

52

1.4.2 Slurries

Slurry is the primary agent in the removal of ILD and metal during CMP.

Specifically, silicon dioxide is polished when an abrasive particle from the slurry is

forced against the wafer surface by an asperity tip of the pad. Although the exact

mechanism involved in the removal of the material is not exactly understood, several

models have been proposed to describe and predict this event. These will be discussed in

a later chapter.

Slurry that is applied to ILD CMP is an aqueous solution of metal oxide abrasive

particles, which can range from 10 nm to 200 nm in mean diameter. Particle size and type

are only a few of the design considerations regarding polishing slurries. Other

considerations include slurry pH, viscosity, particle surface charge and solids content.

There are two principal components of slurry, which simultaneously act in the removal of

material: (1) the abrasive particle and (2) the solution. As described above, the abrasive

particle impacts the surface of the wafer and abrades the chemically treated surface of the

wafer material, thereby exposing a new fragment of material for chemical attack.

Depending on the material being polished, the abrasive particle can play different roles.

In ILD CMP, the removal process requires both chemical activity from the abrasive

particle, as well as mechanical abrasion. However, in metal CMP, it is believed that

chemical activity is not required from the abrasive particle, only mechanical abrasion is

required (Oliver, 2004).

Page 54: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

53

The solution (chemistry and pH) provides chemical agents that attack the polished

surface and imparts an electrostatic balance for adequate abrasive particle suspension.

Mechanically speaking, the solution provides a lubricating layer at the pad-wafer

interface, controls thermal rises resulting from frictional interactions and aids in the

transport of debris and waste.

Focusing on the removal mechanism of silicon dioxide during CMP, the most

common reaction mechanism used to describe the process was postulated by L.M. Cook

(Cook, 1990). Equation (1.2) shows the generalized reaction between the siloxane bonds

on the surface of a silicon dioxide wafer and water as they would occur during CMP.

( ) ( ) ( )41222 2 OHSiSiOOHSiO xx +↔+ − (1.2)

From the above equation it is apparent that water plays a critical role in the removal

process of silicon dioxide. When polishing a blanket ILD wafer in an aqueous

environment, the oxide surface exhibits a termination arrangement as shown in Fig.

1.11(a). The reason in which water becomes a critical player in this process comes from

the fact that water molecules continuously cover and diffuse into the oxide, thereby

weakening the oxide surface structure in a hydrolyzing process. Furthermore, as this

hydrolyzing process continues, the pH of the local aqueous environment increases with

the increasing formation of hydroxide ions at the surface. It is then suspected that the

increase in hydroxide concentration further weakens the oxide structure through further

diffusion and eventually enables the silica slurry particles to form hydrogen bonds with

the surface of the wafer (see Fig. 1.11(b)). At this point in the removal mechanism, the

slurry particle forms a direct Si-O-Si bond with the wafer surface by releasing a water

Page 55: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

54

molecule (see Fig. 1.11(c)). Finally, a fragment of wafer surface is removed by way of

the molecular bond with the slurry particle and the subsequent mechanical removal of

that particle from the pad-wafer interface (see Fig. 1.11(d)).

Figure 1.11: Schematic of a purposed removal mechanism for silicon dioxide during CMP (Chang et al., 1996)

(a) Aqueous silicon dioxide surface

Si Si Si Si Si Si Si Si

O O O O O O O O

H H H H H H H H

SilicaO

H H

OSi

H

(b) Hydrogen bond formation between abrasive and wafer surface

Si Si Si Si Si Si Si Si

O O O O O O O O

H H H H H H H H

SilicaO

H H

OSi

H

(c) Si-O bond formed between abrasive and wafer surface. Water molecule is released

Si Si Si Si Si Si Si Si

O O O O O O O

H H H H H H H

SilicaO

H H

O

Si

O

H H

(d) Removal of a surface Si atom via attachment to an abrasive particle

Si Si Si Si Si Si Si

O O O O O O O

H H H H H H H

SilicaO

H H

O

Si

Si

(a) Aqueous silicon dioxide surface

Si Si Si Si Si Si Si SiSi Si Si Si Si Si Si Si

O O O O O O O O

H H H H H H H H

SilicaO

H H

O

H HH H

OSi

H

(b) Hydrogen bond formation between abrasive and wafer surface

Si Si Si Si Si Si Si SiSi Si Si Si Si Si Si Si

O O O O O O O O

H H H H H H H H

SilicaO

H H

O

H HH H

OSi

H

(c) Si-O bond formed between abrasive and wafer surface. Water molecule is released

Si Si Si Si Si Si Si SiSi Si Si Si Si Si Si Si

O O O O O O O

H H H H H H H

SilicaO

H H

O

H HH H

O

Si

O

H H

O

H HH H

(d) Removal of a surface Si atom via attachment to an abrasive particle

Si Si Si Si Si Si Si

O O O O O O O

H H H H H H H

SilicaO

H H

O

H HH H

O

Si

Si

Page 56: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

55

Again, the issue of solution pH is of extreme importance in slurry design.

Specifically, as pH increases from approximately 2.2 (point of zero charge) to about 12,

the rate of silicon dioxide dissolution follows proportionally. In fact, at a pH of 12, or

greater, the rate of hydroxide diffusion into the oxide surface weakens the surface

structure to the point that the oxide begins to chemically dissolve into the solution, thus

resulting in an isotropic etch (Oliver, 2004). For this reason, most slurries are designed to

be water-based (slightly above neutral pH and below a pH of 11.5). Further evidence for

this can be seen in the Pourbaix diagram for the Si-H2O system (see. Fig. 1.12). This

diagram enables one to determine voltage potential and pH conditions needed for the

formation of stable and unstable Si-H2O species. Figure 1.12 shows three areas of

stability with four distinct regions of silicon species formation with water: (1) The area of

stability labeled by Si(s), (2) the area of metastability labeled by SiO2(s), and (3) the area

of instability labeled by Si(OH)3O- and Si(OH)2O2-. Since crystalline silica is not being

polished, only the metastable and unstable regions are considered. If one considers a

constant potential (above approximately -1.5 volts) during polishing, it is clearly apparent

that as the solution pH approaches around 11, unstable species of Si-H2O are formed and

dissolved into solution.

To date, the most common materials used as slurry particles are silica, alumina and

ceria. The selection of the abrasive material type has typically come from experiential

CMP results. Depending on the structural design and material being polished, abrasive

types are selected on the basis of the type which has historically shown optimal removal

Page 57: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

56

rate and surface defect results. Table 1.2 shows particle properties for the three most

common abrasive types.

Figure 1.12: Pourbaix diagram of a Si-H2O system (Courtesy of S. Raghavan – University of Arizona).

Silica abrasives are most commonly used for ILD CMP applications. Abrasive

particles in silica slurries often come either in a colloidal form or in a fumed form. These

two types of slurries result in different polishing outcomes based on their size and

structure. Figure 1.13 shows scanning electron microscope (SEM) images of each type of

silica particulate. As mentioned earlier, colloidal particles are typically smaller in mean

diameter (approximately 10 to 50 nm) and fumed silica particles are larger due to their

structural formation (approximately 90 to 200 nm). Colloidal particles are made in

solution through the nucleation of sodium silicate in silicic acid and can be described as

Page 58: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

57

singular spherical entities, whereas fumed silica particles are made via a combustion

process and are comprised of an aggregate of many nano-sized silica particle chains

(Microelectronics and the Environment, Class notes, 2002). Both types of particles are

amorphous. In general, the primary design consideration regarding these two types of

abrasive types comes in the form of particle size. An increase in mean particle size results

in greater removal rates, thus making fumed silica slurries more desirable for ILD CMP

applications, as long as it does not compromise the defect results following a polish.

Table 1.2: Material properties for common abrasives in slurries (Oliver, 2004). *(Source: S. Raghavan, University of Arizona, Tucson, AZ)

On a final note, when designing a slurry, regardless of particle size or abrasive type, a

great deal of consideration is given to abrasive suspension and shelf-life. Since slurries

are sold in large volumes, the possibility of particle settling and agglomeration during

storage or usage is of critical concern to many users. In the event of particle settling or

agglomeration, the possibility of inconsistent polishing rates or defects such as of surface

micro-scratches arise (Basim et al., 2002). The principal parameter that controls this

aspect of CMP slurry is electrostatic stabilization. Electrostatic stabilization is the

Property Silica Alumina CeriaParticle Structure Amorphous Poly-crystalline Poly-crystallineCrystal Structure Orthorhombic CubicDensity (g/ml) 2.2 - 2.6 3.9 7.1Hardness (Mohs) 6.0 - 7.0 9.0Point of Zero Charge (pH) 2.2 9.0 7.0Isoelectric Point (pH)* 2.0 - 3.0 8.0 - 9.0 6.5 - 7.0

Page 59: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

58

application of repulsive electrical fields between abrasive particles to sustain separation

while in solution. Figure 1.14 considers a single particle in an ionic solution (typical of

most CMP slurries). As shown in the figure, the particle will show signs of several

defining layers near its surface. The Stern layer describes the region surrounding the

particle that could incur molecular adsorption with various ionic species in solution. The

shear layer describes the fluid boundary layer surrounding the particle. The electric

double layer describes the net electric field emitted by the particle.

Figure 1.13: SEM images of silica slurry abrasive particulate types. (a) colloidal silica courtesy of Fujimi Corporation and (b) fumed silica courtesy of Degussa Corporation

In order to assess the behavior of a slurry with regards to possible agglomeration

tendencies, many slurry manufacturers and users evaluate zeta potential. By definition,

the zeta potential of a slurry particle is the electrical charge of the particle at the shear

layer surface. Zeta potential is dependent on the particle type and solution pH and can

vary from 0 mV at the point of zero charge (point of zero charge, PZC, is the point where

(a) Colloidal Silica (b) Fumed Silica(a) Colloidal Silica (b) Fumed Silica

Page 60: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

59

the charge of a surface changes from a positive value to a negative value) to the true

surface charge of the particle under vacuum conditions.

Figure 1.14: Schematic of the electrostatic layer formation of an abrasive particle in a slurry solution

When using zeta potential to evaluate the stability of a slurry, an increase in the

magnitude of zeta potential from the slurry PZC generally indicates greater particle

dispersion within the slurry system. If the zeta potential of a slurry system approaches the

PZC, there is a tendency for the particles to agglomerate and settle due to prevailing van

Slurry Particle

––

–––

––

–+

+

+

+

++

+

Distance from Particle

Electric Double Layer

Shea

r Lay

er

Ster

n La

yer

Bulk FlowFluid

Boundary Layer

Surf

ace

of S

hear

(zet

a po

tent

ial)

Slurry Particle

––

–––

––

–+

+

+

+

++

+

Distance from Particle

Electric Double Layer

Shea

r Lay

er

Ster

n La

yer

Bulk FlowFluid

Boundary Layer

Surf

ace

of S

hear

(zet

a po

tent

ial)

Page 61: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

60

der Waal and ionic forces. Based on this reasoning, it is critical that the selection of

solution pH and particle type be such that a stable, non-agglomerating slurry is applied

during CMP at all times.

1.4.3 Diamond Conditioning Discs

Pad conditioning is critical in creating an asperity rich surface for optimum polishing.

Pad asperities are essential in material removal during CMP. The mechanical contact of

the wafer on the polishing pad during CMP continuously wears away at the surface of a

polishing pad, removing and flattening any existing asperities on the pad surface. In the

event of pad flattening (seen in Fig. 1.15), removal rates dramatically decrease and wafer

level uniformity becomes poor. Pad conditioning enables abrasion of polishing pad

surface to prevent pad flattening and potential clogging of existing pad pores.

Conventional pad conditioning involves contact between the surface of a polishing pad

and a diamond-conditioning disc. Depending on the pad type and application of CMP

(i.e., ILD, metal, STI, etc.), pad conditioning may be done in-situ (during polishing) or

ex-situ (between polishes) and the conditioning settings will vary with respect to disc

rotational speeds, disc pressure and disc sweeping rates. It should be noted that

conditioning settings are also selected in a compromising manner. Although aggressive

conditioning are likely to produce the best polishing results, the impact on the extent of

pad wear is significant and ultimately proves costly. For this reason, conditioning settings

are selected in order to optimize pad life and polishing performance.

Page 62: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

61

Figure 1.15: Top view SEM image of IC-1400TM polyurethane based polishing pad with flattening characteristics from a lack of pad conditioning

Effective aggravation of the pad surface is commonly done using an array of fine grit

diamonds embedded on nickel plated or steel discs. There are three levels of design

consideration for diamond-conditioner discs. The first level is the microstructure of the

diamonds, which is commonly described by grit size. Grit size refers to the average size

of the diamond abrasives. As the grit size number increases, the average size of the

abrasives decreases thus becoming finer and finer. Typical diamond conditioning discs

can range in grit sizes from 60 to 200. This grit size values correspond to mean abrasive

diameters of approximately 270 to 66 µm respectively (McCauley et al., 2004). Based on

the grit size system, diamond conditioners with lower grit sizes abrade the surface of a

pad in a more aggressively when compared to the finer abrasives of higher grit size

100 microns100 microns100 microns

Page 63: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

62

conditioners. Figure 1.16 shows the variation of polishing pad surface topography when

conditioning with various diamond conditioner grit sizes.

Figure 1.16: SEM images of resulting Freudenberg polishing pad topography (a) as received, (b) following 60-grit pad conditioning, (c) following 100-grit pad conditioning and (d) following 200-grit pad conditioning

The second level of consideration regards the arrangement of the diamonds over the

area of the conditioning disc. Diamonds can be deposited in several forms. Figure 1.17

shows surface topographies of four various diamond deposition types (electroplated,

sintered grid, brazed grid and random grid). Based on the deposition structure of the

50 um

(a) As received pad

50 um

(b) 60-grit conditioner

50 um

(c) 100-grit conditioner50 um

(d) 200-grit conditioner

50 um

(a) As received pad

50 um50 um

(a) As received pad

50 um

(b) 60-grit conditioner

50 um50 um

(b) 60-grit conditioner

50 um

(c) 100-grit conditioner50 um50 um

(c) 100-grit conditioner50 um

(d) 200-grit conditioner50 um50 um

(d) 200-grit conditioner

Page 64: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

63

diamonds on the disc, the wear rate of the pad and the abrasion mechanism on the pad

surface will vary in a non-predictive manner.

Figure 1.17: Available diamond deposition structures for pad conditioners. (a) Electroplated, (b) Sintered grid, (c) Brazed grid and (d) Random grid. (Source: Rohm and Haas Electronics)

The final level of consideration concerns the macrostructure of the diamonds on the

disc. Beyond selecting a grit size and deposition structure, the diamond conditioning

discs may have various macro patterns for which the diamonds may be deposited. The

most common of these patterns is a simple mesh pattern (see Fig. 1.18), which is a basic

blanketing of diamonds along the entire area of the metal disc. Other types of patterns

include spiral diamond layouts and honeycombed layouts. Different diamond patterns can

provide better slurry transport at the conditioner-pad interface or provide differing

(a) Electroplated (b) Sintered grid

(c) Brazed grid (d) Random grid

(a) Electroplated (b) Sintered grid

(c) Brazed grid (d) Random grid

Page 65: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

64

magnitudes of conditioning contact area (mesh layouts provide the greatest area of

contact).

Figure 1.18: Mesh patterned diamond pad-conditioner (Source: ABT)

Since pad conditioning is as much of a random mechanism at the actual contact

interface as polishing, the evaluation of diamond conditioners is usually marked by their

overall impact on polishing performance and pad wear. When designing diamond

conditioners, the three levels of consideration are generally selected with only a general

conception of the their actual impact on the CMP process. By empirically analyzing

polishing results from conditioners with differing grit sizes, deposition types, pattern

layouts and process settings, one ultimately makes the selection of a conditioner based on

an optimization of pad wear rate, removal rate and wafer-level uniformity.

Page 66: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

65

1.4.4 Conventional and Non-conventional CMP Tools

Conventional state-of-the-art CMP equipment evolved from tools used for glass and

less sophisticated semiconductor polishing. Since the first generation of tools around

1984, tools have become more sophisticated with multiple features and process

capabilities. The importance of effectively integrating consumables such as pads, slurries

and conditioners into the design of a polishing tool ultimately determines the overall

performance of a CMP process. Furthermore, designing a CMP tool with the capability of

performing efficient and stable polishing results wafer-to-wafer is critical for effective

high volume IC manufacturing.

To date there have been four primary CMP tool designs. Each tool design is classified

based on the type of kinematics. The most common and original tool design is the rotary

polisher. Non-conventional kinematic tool designs include orbital, carousel, linear,

planetary and elliptical types. Regardless of the tool type, all CMP tools have several

common design requirements. These requirements include the robotics, mechanical drive

system, down force system, thermal management system, pad-conditioning system, slurry

distribution system, wafer carrier system, wafer cleaning system, metrology system,

waste system and overall control system (Oliver, 2004).

Since tools are classified based on their kinematics, tool selection is specifically

dependent on the various performance results achieved by each type of polishing motion.

Because of this, several types of kinematics have been designed with the objective of

being the best at obtaining the same average velocity at every point on the wafer, while

Page 67: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

66

limiting the range of velocity across the wafer. A top view schematic of a conventional

rotary polisher seen in Fig. 1.19, shows the complexity in achieving the above goal.

Based on the geometric dimensions of the wafer and pad, one can position the center

point of the wafer a specific distance away from the pad center in order to achieve a

nearly identical pad and wafer rotational velocity along every point across the wafer. A

proof of this can be shown in the following fashion. First, one must assume the rotation

rates for the pad and wafer are Ωp and Ωw respectively, and that both rotate in the same

direction. Then if one places the center of the wafer at x= wc and let rv and ricR wvvv

+=

be vectors to a point under the wafer from the wafer center and pad center respectively.

Then the relative sliding velocity is

rkRkV wpvvvvv

×Ω−×Ω= (1.3)

rkrick wwpvvvvv

×Ω−+×Ω= )( (1.4)

rkjc wpwpvvv

×Ω−Ω+Ω= )( (1.5)

Thus, when Ωp = Ωw, the sliding velocity is jcV wp

vvΩ= everywhere under the wafer

(Borucki, 2004).

Since rotary polishers come in several dimensions, comparisons in rotational

velocities are often incomparable and conceptually more difficult. For this reason

rotational velocities are often converted and described as linear velocities. Equation (1.6)

shows the mathematical conversion of rotational velocity (Ω) to linear velocity (v),

( )sec60min12 ⋅⋅⋅Ω= πpp rv , (1.6)

Page 68: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

67

where Ωp is the rotational velocity of the pad in rpm, rp is the distance between the

pad center and wafer center.

Figure 1.19: Top view schematic of pad-wafer geometry for a conventional rotary polisher (Courtesy of Len Borucki)

The other principal differentiator of CMP tool design that will be discussed is the

wafer carrier system. Wafer carrier design is important because an effective carrier

ensures that the wafer remains in place during the polishing process and inter-tool

transfers. In many ways the wafer carrier system is interrelated with the down force

system of many tools because they work together towards applying uniform pressure

across the entire area of the wafer during polishing. This proves difficult when one

considers the rotational, frictional and fluid film interactions that occur during the

process. Furthermore, a non-rigid polishing pad presents a soft surface for the rigid wafer

Pad center

Wafer center

-

-

Pad center

Wafer center

-

-

Page 69: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

68

to dig into. As seen from Fig. 1.20, the induced tilting action varies the pressure

distribution, and consequently the removal rate from the leading edge of the wafer to the

trailing edge. This effect is particularly seen along the edge of the wafer and as it will be

discussed later, is shown to be enhanced due to imperfect wafer geometries. In order to

dampen some of these effects, wafer carriers have evolved from rigid backed, fixed plate,

carriers to membrane backed and zone pressure controlled carriers.

Figure 1.20: Side view of wafer carrier head assembly digging into soft polishing pad along leading edge of wafer (not to scale)

Rigid backed carriers are designed with a retaining ring and compressible, poromeric

insert for the wafer. These carriers depend on mechanical means to transmit applied

forces to the wafer. These carrier types exhibit a high extent of pad digging and poor

pressure distribution due to an inability to conform to the intrinsic and varying wafer

CL

Polishing Pad

Applied Wafer Pressure

Carrier Head Assembly

CLCL

Polishing Pad

Applied Wafer Pressure

Carrier Head Assembly

Page 70: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

69

geometries. To eliminate these effects, rigid carriers were slowly replaced by flexible

membrane backings. These membranes allowed for pneumatic pressure control

capabilities, thus significantly improving pressure distributions and removal rate

uniformity.

With the ongoing drive towards high IC manufacturing volumes and increasing

polishing requirements, CMP tools are continuously changing in order to provide more

stable, efficient and accurate processes. One essential and arising concern with tool

design has come from increasing wafer sizes. As wafer sizes increase and feature sizes

decrease, overall wafer geometries have a tendency to change considerably and have an

impact on CMP stability.

1.4.5 Wafers

Wafers used in semiconductor manufacturing and CMP are formed from single-

crystalline silicon ingots. Sawed slices from the ingot are finished such that the wafers

are ready for subsequent deposition and processing steps. Wafer diameters can vary

based on the process conditions used for creating the silicon ingots. In the course of IC

development, wafer diameters have gone from 50 mm to 100 mm to 150 mm to 200 mm

to the current 300 mm wafer. In order to promote a high volume manufacturing (HVM)

environment and maintain low production costs, IC companies have long pushed for

larger diameter wafers. This enables more chips to be designed per wafer, thus yielding a

greater throughput.

Page 71: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

70

One characteristic of the wafer that is essential for optimal IC fabrication is flatness.

This is aspect of the wafer is particularly critical for lithography and CMP processes.

Wafer warping, or bending, can occur as a result of deposition or thermal annealing.

Variations in the properties of dissimilar deposition materials such as silicon dioxide,

polysilicon or metals can create non-conformal bonding with prior surface layers. This

can cause tensile bending or expansion between the dissimilar materials. This effect is

enhanced when wafers undergo thermal treatments such as annealing. The structural

effects of deposition and annealing cause wafer geometries to take on convex or concave

shapes. Furthermore, such wafer geometries in CMP can be considered catastrophic to

the process. Although wafer carrier systems are designed to compensate for slight

deviations in wafer geometry, they are not capable of handling such dramatic wafer

shapes. As a result, warped wafers can cause excessive pad wear and significant global-

level non-uniformity (commonly at the edge of the wafer).

On a final note, it should be mentioned that despite the push for larger wafer

diameters for IC manufacturing, a major drawback to increasing wafer diameter is its

tendency to warp and bow more easily through processing stages. Although the payoffs

may out number the drawbacks, the demand for larger wafers has placed more

restrictions and design considerations on critical process steps such as CMP. This will be

discussed further in Chapter 3.2.

Page 72: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

71

1.4.6 Endpoint Detection Tools

The implementation of a robust in-line monitoring system during CMP presents

significant advantages to development and manufacturing environments. An effective

endpoint detection (EPD) system has the potential of improving yield, increasing

throughput, reducing wafer-to-wafer variability and improving planarity (Hetherington et

al., 2001).

Many forms of EPD have been implemented in CMP. These include thermal, optical,

acoustic, electrochemical, electrical and frictional monitoring. Each method presents an

advantage for a given circuit pattern or type of material being polished. For example, in-

line monitoring for STI CMP has most commonly been achieved by optical methods

(Bakin et al., 1998; Moriyama et al., 1996; Chan et al., 1998; Ushio et al., 1999; Dunton

et al., 1999). In a technique presented by Chan et al., in-situ optical EPD for patterned

wafers was performed using laser interferometry to detect film thicknesses on the front

side of the wafer (Chan et al., 1998). Other such attempts have also been made, however,

patterned wafers present difficulty during optical in-line monitoring due to diffraction

and scattering effects caused by wafer movement over the detector and light source.

Frictional monitoring, or motor current EPD, has recently emerged as a viable method

for endpointing. It is suspected that frictional effects generated by the pattern structures

and various layered materials on the wafer will create distinct and characteristic

responses for determining an appropriate endpoint. One problem typically associated

with motor current detection is poor signal-to-noise ratio due to extraneous frictional

Page 73: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

72

effects. These are commonly caused by in-situ conditioning, similarities in the frictional

signals between like materials such as silicon nitride and silicon dioxide (Hetherington et

al., 2001), tool hardware, or other physical defects associated with consumables used in

the process (Lin et al., 1999; Kim et al., 2001; Kim et al., 2003). In order to achieve

effective motor current EPD, a high degree of noise filtration is required (one method of

reducing some of this superfluous noise is by performing polishes ex-situ). It should also

be mentioned that motor current EPD is highly dependent on the set of consumables

being used during CMP. Consequently, endpoint recipes must be matched to a certain

type of pad or slurry.

1.5 Motivation and Goals of Study

The performance of CMP is evaluated in terms of removal rate, uniformity,

planarization length, step height, defects and resulting topography such as erosion and

dishing. The assessment of these parameters is significantly dependent on the selection of

tool and consumable set, as well as the kinematics involved in the process. Variances in

pressure, sliding velocity, temperature and slurry flow rate are just a few of the dynamic

inputs that can affect polishing performance. The following section and sub-sections will

discuss the evaluation parameters used to determine polishing performance and the

dynamic factors that were studied for the fulfillment of this dissertation.

Material removal in CMP is measured in terms of rates. Since early glass polishing it

was apparent that as the applied pressure or rate of motion were increased, more material

Page 74: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

73

would be removed in a given time. The first effort towards modeling and predicting

material removal rates was by F. W. Preston in 1927. Preston’s empirical, mechanically-

based removal rate model for plate glass polishing has been the traditional approach and

benchmark for describing the polishing of materials in CMP (Preston, 1927). As seen in

Eqn. (1.7), Preston observed that removal rate (RR) was directly proportional to the

applied pressure (p) and rotational velocity (V) of the substrate.

pVkRR ⋅= (1.7)

Removal rate is a critical factor for determining polishing performance for several

reasons. In a manufacturing setting, high removal rates are desired because it translates

into higher production throughputs. Depending on the structural pattern design on a wafer

and the material polished, removal rates will vary greatly. Selection of process pressures

and velocities are tailored to provide reasonable removal rates without compromising the

other evaluation parameters (i.e., uniformity, defects, etc.). This becomes especially

critical when one considers patterned wafer CMP.

Figure 1.21 shows a side-view schematic and top-view microscope image of the

observed variations in IC pattern design density. As designs are patterned on a wafer,

their structural landscapes will often vary with respect to density. According to the

Preston’s model, it is apparent that areas with low-density features will polish at faster

rates than areas with high-density features. This is simply due to the high local pressure

experienced over low-density areas (pressure is inversely proportional to area). In CMP,

these local variations in pressure and removal rate are evaluated by the parameter of step

height. As seen in Fig. 1.22, step height describes the vertical height of a surface feature

Page 75: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

74

from its lowest planar level. As polish time continues, the magnitude in step height

reduction decreases, thereby reaching approximately zero when a nearly planar surface is

achieved. For effective CMP processing, one would desire as much step height reduction

as possible at the end of CMP without excessive over-polish. This parameter is used to

evaluate polishing on a local scale (defined on a micron scale) since most feature patterns

on this scale can be considered similar enough to deem comparable planarization

characteristics.

Figure 1.21: (a) Side view of various levels of pattern design density. (b) Top-view image of high and low density patterned structures

When one approaches global scales (defined on a millimeter scale or on a die level)

feature patterns can change dramatically enough to produce different planarization

characteristics. Furthermore, when one attempts to evaluate polishing performance on the

10 um

Low Density Region

High Density Region

Low Density Medium Density High Density

(a)

(b)10 um

Low Density Region

High Density Region

10 um10 um

Low Density Region

High Density Region

Low Density Medium Density High DensityLow Density Medium Density High Density

(a)

(b)

Page 76: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

75

global level, step height measurements become extremely difficult. For this reason,

polishing is evaluated with the parameter of planarization length. By definition,

planarization length is the distance at which all aspects of the CMP process no longer

interact with step height and do not act in specially removing material from raised areas.

A pictorial representation of this can be seen in Fig. 1.23.

Figure 1.22: Step-by-step schematic of step height reduction as a function of time

Step height

Si

SiO2

Step height

Si

Si

Step height

Si

Local Planarity

Step height

Si

SiO2

Step height

Si

Si

Step height

Si

Local Planarity

Page 77: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

76

Figure 1.23: Schematic of planarization length used to evaluate polishing performance on a global scale

Planarization length can be determined through direct measurements or modeling.

Direct measurements often involve the use of profilometry techniques and despite

quicker results, it is not considered the method of choice because the required test

structures needed for planarization length characterization are rather large (i.e.,

millimeter scale). For an effective CMP process, one would desire planarization lengths

that approach zero, however most ILD CMP planarization lengths are on the order of 3 to

5-mm (Oliver, 2004).

In order to relate all of the above described evaluation parameters with CMP in a

manufacturing setting, the performance of a polish is usually judged on parameters such

as removal rate, dishing, erosion and defects, since these parameters ultimately affect

production yields and throughput. These factors combine pattern dependencies, material

property dependencies and all facets involved in CMP together. IC design involves

Metal lines

Planarization Length

SiO2

Metal lines

Planarization Length

SiO2

Page 78: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

77

structural levels of various patterns, which, as mentioned, can significantly vary in

pattern density over the area of a die (see Fig. 1.24). Above that, IC design also requires

the use of multiple materials that range considerably in terms of their structural properties

(i.e. ILD and metals). In CMP processing, these elements of IC fabrication have a

dramatic effect on the rate of removal during the polish.

Figure 1.24: Cross section of a 6-level IC design (Source: Intel Corporation)

Dishing and erosion occur as the CMP process approaches the clearing and over-

polish stage. Figure 1.25 shows the events of dishing and erosion during the clearing

stage of a damascene process. Dishing, or thinning, occurs from differences in polishing

rates of two exposed materials such as silicon dioxide and copper. During CMP, the

removal rate of ILD is much lower than metal, thereby creating a recession in the amount

Oxide

Copper

Tungsten

Oxide

Copper

Tungsten

Page 79: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

78

of metal removed when both materials are present on the polishing surface. By definition,

dishing refers to the amount of material recessed in a local metal feature such as a trench.

Erosion is more dependent on a pattern layout and is defined as the recession of both the

supporting material (ILD) and inlaid material (metal) with respect to the edge of an array

of features. Dishing or erosion are considered catastrophic events and lead to the ultimate

failure of the IC.

Figure 1.25: Side-view schematic of (a) dishing and (b) erosion during clearing or over-polish stage of CMP

Defects may also be ‘show-stoppers’ in IC manufacturing. CMP defects are generated

during polishing in a multitude of ways. Figure 1.26 shows common examples of defects

CopperDishing

SiO2

(a)

SiO2

CopperErosion

(b)

CopperDishing

SiO2

(a)

CopperDishing

SiO2

(a)

SiO2

CopperErosion

(b)SiO2

CopperErosion

(b)

Page 80: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

79

that occur as a result of over-polishing, slurry abrasive particle scratching and poor post

polishing cleaning. Defect prevention is a common practice in CMP and maintaining a

stable, agglomeration-free slurry or consistent pad surface are some routes to defect

prevention. Furthermore, some defects may be eliminated following CMP via post

cleaning steps (mega-sonic wet baths or brush scrubbing).

Figure 1.26: Various defect events that occur as a result of CMP (Source: Sandia National Laboratories)

To provide the best polishing performance for the various CMP applications in IC

manufacturing, understanding the effects of various consumable parameters and dynamic

process conditions on the polishing process is essential. The studies done in this

dissertation drive towards the understanding of an array of mechanical, chemical and

consumable variables and their ultimate effect on the CMP of ILD and copper polishing.

The studies were empirical and theoretical in nature and investigated the impacts of wafer

pressure, sliding velocity and temperature on the removal rate and tribological

characteristics of CMP. The results and conclusions acquired from this work can be

considered towards implementation in conventional CMP processing in a manufacturing

(a) Dishing (b) Micro-scratch (c) Residue (d) Killer Particle (e) Pattern Tearout(a) Dishing (b) Micro-scratch (c) Residue (d) Killer Particle (e) Pattern Tearout

Page 81: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

80

setting with the goals of providing higher yields, lowering environmental impacts, and

reducing cost of ownership.

1.5.1 Role of Applied Wafer Pressure in CMP

Applied wafer pressure was investigated in two ways. The first was to understand the

effect of wafer-ring configurations and various wafer geometries on the applied wafer

pressure distribution across a wafer surface. Specifically, this aspect of pressure was an

empirical analysis of previously observed, and modeled, applied wafer pressure

distributions that showed unusual rises in pressure along the edge regions of perfectly flat

wafers. The study expanded beyond the empirical verification of this edge pressure

phenomena by showing the potential impacts of altered wafer configurations (wafer-ring

gaps) and geometries on edge pressure. The extension of the study was novel and critical

since wafer geometries are not perfectly flat in industry and often progressively deviate

from flatness due to thermal treatment.

The second aspect of applied wafer pressure that was investigated pertained to the

estimation of the effective (envelop) pressure for patterned STI wafers during CMP. As

was described above, variations in pattern density cause dissimilarities in contact area at

the pad-wafer interface during polishing. In such cases, the areas with lower pattern

densities polish faster than higher pattern density areas, and ultimately have an impact on

step height reduction rates and planarization length. In order to better understand the

effect of patterns on the actual pressure during CMP, tests were done to estimate the

Page 82: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

81

actual pressure of patterned wafers during CMP. The results from these analyses would

provide insight towards how much pressure was effectively being applied during a

patterned wafer polish and how much this effective pressure estimate deviated from the

applied wafer pressure set by the CMP tools.

1.5.2 Role of Tool Kinematics and Pad Geometry in CMP

This study focused on the combined effects of applied wafer pressure, sliding velocity

and pad geometry on the removal rate, tribology and observed temperature during ILD

CMP. Polishing tests were done with a variety of Freudenberg polishing pads and

considered the possible impacts of pad thickness and pad grooving on the CMP process.

This study was also comprised of dynamic mechanical and thermo-mechanical analyses

of each pad type and attempted to correlate these results with possible removal rate or

tribological trends.

1.5.3 Role of Temperature in CMP

To date, the role of temperature during CMP has not been a major focus of literature

studies in CMP. As is the case with all lapping, grinding or polishing processes, the

dynamic contact between the work-piece and the lapping surface generate heat as a result

of friction at the interfacial surface. This heat is then absorbed or dissipated by the

Page 83: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

82

various components involved in the CMP (i.e., the pad, slurry or wafer). As this process

takes place, the impact of the heat had on the consumables and wafer during CMP are

reflected on the resulting polishing performance. Frictional heat generated during

polishing may hinder or promote the chemical activity at the surface of a wafer, thereby

directly effecting removal rates and selectivity. This is especially important in metal

polishing. Furthermore, this heat may also change the material properties of polishing

pads and the wafer, thus impacting removal rates, pad conditioning, uniformity and

defect levels. For a process that is expected to be as consistent as possible, the

management and understanding of thermal effects during CMP is of extreme importance

to the reliability and performance of the process.

The studies performed in this chapter investigate the impacts of variable process

temperatures on the removal rates and tribology during CMP. In order to mimic the

generation of frictional heat, tests done in these studies deliberately applied controlled

thermal environments during the polishing. Results from the studies attempt to introduce

temperature as a parameter in predicting and modeling removal rate for ILD and metal

polishing. Moreover, these results also provide the groundwork for a more powerful and

novel removal rate model based on the concept of interfacial flash heating.

Page 84: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

83

1.5.4 Removal Rate Modeling

Removal rate modeling is critical tool in IC manufacturing. The ability to predict

polishing rates in an accurate fashion enables CMP to progress in a consistent and

efficient manner. Specifically, it may be utilized to explain possible issues that may arise

such as dishing. To date, the precision of removal rate models have certainly had some

room for improvement. Scatter and deviation of empirical data with existing removal rate

models have given rise to more complex models that attempt to predict removal rate via

physical and chemical phenomena.

Since the introduction of plate glass polishing theory by F. W. Preston in 1927,

Preston’s empirical (see Eqn. (1.7)), mechanically-based removal rate equation has been

a traditional approach and benchmark for describing the polishing of materials in CMP

(Preston, 1927). Measured rates that follow this law are called Prestonian while those that

do not are termed non-Prestonian. In many cases, theories have been constructed to

explain observed non-Prestonian behavior.

Much subsequent work has focused around the specific microscopic mechanisms

responsible for material removal in SiO2 polishing. Cook and Tomozawa discussed

diffusion of water into an amorphous SiO2 layer and the sequential dissolution of that

layer under compressive and tensile loading (Cook, 1990; Tomozawa, 1997). Their work

described the dissolution of the surface SiO2 layer via breakage of oxygen and silicon

bonds to form hydroxyl-terminated silicon. This hydrolyzed surface layer is then easily

Page 85: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

84

removed by mechanical abrasion by the polyurethane pad and by slurry abrasive

particles.

Despite evidence of a chemical basis for oxide CMP, many modifications of

Preston’s model that are designed to explain non-Prestonian behavior do not explicitly

incorporate a reaction mechanism. For example, Zhang and Busnaina developed a

removal rate model that went beyond previous elastic models by considering both

electrostatic particle adhesion and plastic deformation (Zhang et al., 1998). This model

was novel in that it identified the overall force responsible for removal as a combination

of the externally applied force from the wafer and the Van der Waals force between

slurry particles and the oxide surface. Their removal rate model,

21

)( pVkRR ⋅= (1.8)

has a sublinear square root dependence on p × V. Using copper removal rate data

produced with an alumina-based slurry, Zhang and Busnaina’s model provided an

adequate fit to non-Prestonian behavior.

Tseng and Wang proposed a mechanical model based on the normal and sheer

stresses occurring during CMP (Tseng et al., 1997). The Tseng and Wang model predicts

that the removal rate is

21

65

VpKRR ⋅⋅= . (1.9)

This model is also non-Prestonian and was intended to link fluid motion and material

wear. They provide the following description of material removal: “The abrasive particles

are first being indented into the polished wafer(s) to cause plastic deformation. The

Page 86: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

85

residues from the indentation are then carried away by the flowing slurry to complete a

removal cycle.”

A later mechanical model proposed by Zhao and Shi considered rolling and

embedding of slurry particles at the pad-wafer interface (Shi et al., 1998; Zhao et al.,

1999; Zhao et al., 1999). A modified version of the Zhao and Shi model included a

threshold pressure term, pthreshold. An abrasive particle would slide against the wafer

surface and contribute to removal only if the particle were held firmly by the pad.

Embedding would be possible only when the applied pressure, p, is greater than or equal

to the threshold pressure. If p is less than pthreshold, then the abrasive particles in the slurry

roll between the pad and wafer surface and do not remove material. Zhao and Shi’s

model is

<

≥−⋅=

)(0

)()()( 32

32

threshold

thresholdthreshold

pp

ppppVKRR (1.10)

where K(V) a function of the relative velocity. In the above model, the pressure

dependence arises from a mechanical model in which the contact area between an

indenting spherical slurry particle and the wafer surface varies as p2/3. As a consequence

of this model, and specifically because of the threshold pressure, Zhao and Shi were able

to explain why extrapolations of experimental data to zero pressure sometimes produce

negative rates.

The above models all provide different mechanical explanations for observed removal

rate trends, specifically non-Prestonian trends. In an extensive comparison of these

models, Stein and Hetherington showed that each of the models fits experimental

Page 87: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

86

removal rate data about equally well for processes that do not involve chemically active

slurries or films (Stein et al., 2002). Although these models have, to some extent, sufficed

in describing non-Prestonian behaviors, it is evident that they do not consider all of the

mechanisms involved in CMP, specifically the energetic and chemical attributes.

Recent attempts at describing removal rates using energy and friction include work by

Homma et al. (Homma et al., 2003). Homma et al. derived a linear relationship between

removal rate and frictional force that describes processes run with nonlinear characteristic

slurries. Equation (1.11) shows the proposed removal rate relationship, which was

deduced from energy conservation laws:

chnpVRR += (1.11)

In the above equation, h is a removal efficiency coefficient, n is a dynamic frictional

coefficient and c is a constant used to generalize the model. The friction coefficient in

this model poses some difficulty in applications because frictional data acquisition has

not become a mainstream technique on existing industrial tools.

In order to include all aspects of CMP, some recent models have combined chemical

and mechanical approaches. Borst, Gill and Gutmann proposed a general two-step

mechanism for surface removal during CMP (Borst et al., 2002). When initially

introduced, the model was intended to describe low-k (i.e., SiLK) removal during

polishing. However, based on similarities with the removal mechanisms of Cook and

Tomozawa, the model was applied to oxide.

As proposed by Borst et al., a two-step mechanism for surface removal rate

(including oxide) can be described by a subset of the Langmuir-Hinshelwood model. As

Page 88: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

87

seen in Eqn. (1.12), in the first of two steps n moles of an unspecified reactant R in the

slurry react at rate k1 with the oxide film on the wafer to form a hydrolized layer, S*ox, on

the surface,

*1ox

kox SnRS →+ . (1.12)

The reacted layer is then removed by mechanical abrasion with rate k2,

AbradedS kox →∗ 2 (1.13)

The abraded material is carried away by the slurry and is not redeposited. The local

removal rate in this sequential mechanism is then

2

1

1

1kCk

CkMRR w

+=

ρ, (1.14)

where Mw is the molecular weight of oxide, ρ is the density, and C is the local molar

concentration of reactant. If it is assumed that there is little reactant depletion (i.e., the

slurry flow rate does not create a reactant-limited process), then C is a constant that may

be absorbed into k1. In Eqn. (1.14), the rate of the chemical reaction is then expressed as

k1= Aexp(-E/kT) with C = 1 (A is the Arrhenius pre-exponential factor, E is the apparent

activation energy of the process, k is the Boltzmann constant and T is the process

temperature). Assuming that the mechanical removal rate is proportional to p × V, then

pVck p µ=2 where cp is a constant and µ is the friction coefficient. In the mechanically-

limited extreme, the polish rate is ( ) pVcMRR pw µρ/= and the classical Preston

coefficient is ρ/pwcMk = . In the opposite limit the polish rate is ( ) 1/ kMRR w ρ= .

Page 89: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

88

The work presented Chapters 5.4 and 5.5 investigate the non-Prestonian behavior

seen through a series of temperature controlled polishes of blanket thermal silicon

dioxide, thermally annealed PECVD tetraethoxysilane-sourced silicon dioxide (TEOS)

and tungsten wafers. A novel removal rate model based on flash-heating is proposed,

which shows better utility in predicting removal rates for these materials.

1.5.5 Cost of Ownership and Environmental Impacts

It has been established that CMP is an essential and integral process for IC

manufacturing. The continuous development of the process have led to many great

accomplishments, however the advantages brought forth by these improvements have

also led to several drawbacks. The major drawback to CMP has been its high cost of

ownership (COO). COO is defined as the cost of implementing a given process including

costs for equipment, maintenance, materials, operation, etc. (Browne et al., 2002). The

main factors that give CMP a high COO are polishing pads and slurry. Within the CMP

module of a typical IC manufacturer, slurry and pad usage per wafer roughly account for

more than 33 and 16 percent of the total COO (Stavreva et al., 1997). Figure 1.27 shows

the approximate COO breakdown for a typical CMP module.

The unique aspect about CMP is that most attempts at reducing COO have a positive

impact on the environment as well. For example, two primary approaches in reducing

CMP COO are reducing or creating more efficient slurry usage methods, and prolonging

pad life. It is apparent that with these strives comes the obvious reduction in overall

Page 90: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

89

waste. Other COO and environmental concerns associated with CMP include water

consumption (Browne et al., 2002). It has been estimated that the CMP process accounts

for as much as one-half of the entire ultra-pure water (UPW) consumption in an IC

factory. Efforts at reducing water costs and consumption are continuously being

attempted via water reclamation and re-use.

A majority of the work done in CMP is motivated by the ultimate goal of creating

smarter and more efficient processes. This means lowering COO and environmental

impacts. Several studies in this dissertation consider the possible impacts on these critical

and relevant issues.

Figure 1.27: COO breakdown for a typical CMP module in an IC manufacturing setting

Equipment16%

Pad22%

Slurry45%

Labor8%

Other9%

Page 91: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

90

CHAPTER 2 – EXPERIMENTAL APPARATUS

2.1 Innovative Planarization Laboratory Scaled Polisher

The CMP tool used for polishing experiments at the University of Arizona’s

Innovative Planarization Laboratory (IPL) is a scaled down version of a SpeedFam-IPEC

472 rotary tool. The scaled down version of this tool was originally designed and

fabricated at Tufts University (Coppeta, 1999; Lu, 2001). Figure 2.1 shows this tool and

most of its accessories. The scaled polishing tool uses a Struers Rotopol-35 tabletop

polishing platform with a 12-inch diameter platen.

A drill press is employed as the 4-inch wafer carrier system for the tool. The drill

press provides the ability for the wafer to engage with the surface of the Struers platform

with both rotation and force. A sliding traverse designed with a weighted carriage is

positioned on top of the drill press and enables the application of variable pressures on

the wafer. By adjusting the magnitude of weight and the location of the weights on the

traverse, variable down forces could be passed onto a gimbaled wafer carrier (the carrier

did not have capabilities for independent control of wafer and ring pressures). It should

be noted that the traverse is positioned such that the pivot point is located directly above

the drill press supporting column. This allowed the transfer of force without creating a

moment about the drill press.

Pad diamond conditioning is done using a removable assembly that is mounted over

the Struers polisher using a simple bolt attachment. The conditioner disc has a diameter

Page 92: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

91

of 2-inches and is positioned such that it can be spring loaded onto the polishing pad

platform at specific pressures. Applied conditioner pressures were calibrated using

various spring lengths and a Tekscan® pressure mapping sensor. The Tekscan system

will be discussed in further detail in Chapter 3.2.2. Two stepper motors allowed the

conditioning disc to rotate and sweep independently across the pad.

Figure 2.1: Scaled polishing tool at the University of Arizona’s Innovative Planarization Laboratory

TraverseWeighted Carriage

Isolation Table

Drill Press

Polisher

Friction Table

Slurry Delivery

Conditioner

TraverseWeighted Carriage

Isolation Table

Drill Press

Polisher

Friction Table

Slurry Delivery

Conditioner

Page 93: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

92

In order to characterize the frictional effects during CMP, the polisher is placed on

top of a friction table consisting of two parallel plates. Figure 2.2 shows a detailed

schematic and image of this design. The bottom plate of the friction table is bolted to an

approximately 400-lbs isolation table to ensure no movement. The top plate has the

ability to move in a single axis of direction (relative to the bottom plate) via two slider

rods positioned between the plates. A strain gauge mounted between the plates measures

the lateral force applied by the top plate on the bottom plate during polishing. This was

done through a calibration relating voltage output and force.

Figure 2.2: (a) Side view schematic and (b) image of sliding friction table design

Having both the normal force (i.e., normal force for a polish is defined by the product

of the applied wafer pressure and the wafer surface area) and lateral force (i.e., shear

force) during a polish, the parameter of coefficient of friction (COF) was quantifiable

using the relationship shown in Eqn. (2.1).

Strain Gauge

Slider

PolisherDiamond Grit Platewith Rotation & Translation

Applied Wafer Pressure

Sliding Friction TableStrain Gauge

(a) (b)

Strain Gauge

Slider

Polisher

Strain Gauge

Slider

Polisher

Strain Gauge

Slider

PolisherDiamond Grit Platewith Rotation & Translation

Applied Wafer Pressure

Sliding Friction TableStrain Gauge

Diamond Grit Platewith Rotation & Translation

Applied Wafer Pressure

Sliding Friction TableStrain Gauge

(a) (b)

Page 94: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

93

n

s

FFCOF = (2.1)

In Eqn. (2.1), Fs is the magnitude of the shear force and Fn is the magnitude of the

normal force for a given polish.

2.1.1 Polisher Scaling

As described earlier, the polishing tool seen in Fig. 2.1 is a 1:2 scaled version of a

SpeedFam-IPEC 472 rotary CMP tool. The SpeedFam-IPEC 472 tool was selected as the

basis for the scaled down tool due to its rotary kinematics and its reputation as a stable

and reliable tool in industry. Furthermore, results obtained on a scaled down version of

this tool could be used comparatively for industrial purposes. To scale, or match, the two

polishers appropriately the following parameters were taken into consideration: applied

wafer pressure, platen and wafer sliding velocities, platen and wafer diameters and slurry

flow rate. Table 2.1 shows these scaling parameters and the respective scaling factors

used for each.

The scaling factor used for applied wafer pressure was unity. Since applied wafer

pressure settings on CMP tools already account for difference of wafer contact area (i.e.,

differences in wafer diameter), this implies that an applied wafer pressure setting on an

industrial grade tool is equal to that of IPL’s scaled down tool.

Page 95: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

94

Table 2.1: Scaling parameters for 1:2 SpeedFam-IPEC 472 scaled polisher

The scaling factor used to proportion platen and wafer sliding velocities was

Reynolds number, µ

ρ⋅⋅=

vLRe (where L is a characteristic length, v is the sliding

velocity and (ρ/µ) is the kinematic viscosity). In order to use Reynolds number as a

scaling factor between the tools one had to assume that the kinematic slurry viscosity and

fluid film thickness at the pad-wafer interface were identical between the two polishers.

This assumption made it such that conversions in tool velocities only required the simple

conversion shown in Eqn. (1.6) from described in Chapter 1.4.4.

The ratio of platen to wafer diameter was used as the scaling factor for platen and

wafer sizes. Slurry flow rate was scaled using the ratio of slurry flow rate to the platen

surface area.

Parameter Scaling Factor Speedfam-IPEC 472 Scaled PolisherDown Pressure (PSI) 1 4 4

Platen Speed Reynolds Number Relative pad-wafer velocity of 0.5 m/sec (30 rpm)

Relative pad-wafer velocity of 0.5 m/sec (55 rpm)

Platen Diameter / Wafer Diameter

Dplaten / Dwafer 51 cm / 20 cm 31 cm / 12 cm

Slurry Flow Rate (cc/min)

Platen Surface Area 175 65

Page 96: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

95

2.1.2 Table Top Polishing Platform

The Struers tabletop polisher was manufactured with several features that were used

in the polishing experiments for this research. The polisher was pre-calibrated for

variable platen rotation rates by the manufacturer, however the as-received settings were

manually verified using a tachometer. The other primary feature of the polisher that was

used in several experiments from this research was the inline water-channeling feature,

which allowed externally heated or cooled water to be channeled through the polisher and

be radially dispersed below the platen surface. This enabled heating or cooling of the

platen for temperature specific polishing studies. Further details of this system are

discussed in Chapter 2.1.9.

2.1.3 Wafer Carrier and Polishing Head Mechanism

As seen in Fig. 2.1, a modified industrial drill press with the ability to rotate and

apply an appropriate amount of down pressure was used as the wafer carrier. A weight

carriage mounted on a traverse provided variable pressure onto a gimbaled wafer carrier.

The chuck of the drill press was used to position and hold the brass post of the wafer

carrier, seen in Fig. 2.3, such that the carrier would be positioned at a height upon pad

contact which would ensure the traverse being parallel with ground (this would guarantee

an accurate applied wafer pressure based on traverse calibrations).

Page 97: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

96

The rigid-backed wafer carrier shown in Fig. 2.3 was comprised of a flat 4.5-inch

aluminum disc with a poromeric backing film template glued onto the disc. The carrier

template contained a retaining ring which excluded 0.25 inches of the total aluminum

disc diameter, thus allowing 4-inch diameter wafers to fit within the template. The wafer

was held onto the backing of the carrier with water adhesion. The retaining ring depth

was less than the thickness of the wafer such that the wafer could make sole contact with

the pad surface during the polishing.

A DC controller integrated into the drill press controlled the wafer sliding velocity.

By changing the input voltage of the controller, sliding velocities could be varied to

specific settings. Figure 2.4 shows the calibration curve that was used to adjust wafer

sliding velocities with dial settings on the DC controller. Figure 2.4 shows a linear trend

of velocities with dial settings.

89.27int)(84.1 −⋅= posetdialRPM (2.2)

The linear fit for this trend is shown in Eqn. (2.2). Note the negative y-intercept of

Eqn. (2.2), which indicates that below a dial setting of approximately 20, no rotation

would occur.

Page 98: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

97

Figure 2.3: Image of wafer carrier with poromeric carrier template

Figure 2.4: DC controller calibration plot for wafer sliding velocity

Wafer

Brass Post

Retaining Ring

Wafer

Brass Post

Retaining Ring

0

20

40

60

80

100

120

140

160

0 10 20 30 40 50 60 70 80 90 100

Dial Set Point

Waf

er S

lidin

g Ve

loci

ty (R

PM)

Page 99: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

98

2.1.4 Force Transducer Calibration

Figure 2.5 shows the apparatus constructed for force transducer calibrations. An

accurate force transducer calibration was critical for a reliable traverse and applied wafer

pressure calibration. As seen in Fig. 2.5, a metal rod was inserted into a slot on the

apparatus and a metal plate above that. A set of weights would then be placed on top of

this plate such that the position of the weights would be directly centered over the

transducer.

Calibration of the transducer began by recording an initial voltage with no weights. In

theory, the observed voltage of the transducer with no weights should read zero, however

any deviations from this were corrected by re-zeroing the reading within the computer

program used for this calibration. The transducer used for these calibrations was accurate

to within ± 0.5 volts.

The calibration process would continue with the incremental addition of more weight

(0 to 70 lbs) on the calibration apparatus. Corresponding voltage readings for each weight

set can be seen in Fig. 2.6. Equation (2.3) represents the linear calibration trend seen in

Fig. 2.6.

37.2)(66.3 −⋅= weightVoltage (2.3)

Page 100: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

99

Figure 2.5: Force transducer calibration apparatus

Figure 2.6: Force transducer calibration plot

Force Transducer

Weights

Rod

Supports

Force Transducer

Weights

Rod

Supports

0

50

100

150

200

250

300

0 10 20 30 40 50 60 70 80

Weight (lbs)

Volta

ge (m

V)

Page 101: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

100

2.1.5 Traverse Calibration

To calibrate the traverse shown in Fig. 2.7, a brass rod was placed into the chuck of

the drill press and positioned on top of a force transducer. The height and position of the

brass rod was such that the traverse would lie parallel with the ground (a level was used

to verify this) upon contact with the transducer. At this point, the weighted carriage was

shifted along the traverse via a stepper motor, which was mounted to the end of the

traverse. Based on the position of the weight carriage on the traverse, various

measurements would be recorded from the force transducer.

The initial traverse position, or zero position, was set at the point that would be

closest to the pivot point of the drill press without tipping the traverse and weights

backwards. When a weight of 65 lbs was mounted on the carriage, the pressure for a 4-

inch wafer at the zero point was 1.8 PSI. This indicates the lowest applied wafer pressure

of the tool. To determine the exact applied wafer pressure as a function of traverse

position, the mean pounds encountered by the force transducer was plotted against the

number of steps away from the zero point (see Fig. 2.8). This calibration also showed a

linear relationship and can be represented in the form of Eqn. (2.4).

57.22)(25.0 +⋅= stepsWeight (2.4)

Conversions to applied wafer pressure simply required one to divide the given weight

values by the wafer area.

Page 102: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

101

Figure 2.7: Drill press with a mounted weight traverse

Figure 2.8: Traverse calibration plot for applied wafer pressure

0

20

40

60

80

100

0 500 1000 1500 2000 2500 3000

Steps

Wei

ght (

lbs)

Page 103: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

102

2.1.6 Friction Table

Figure 2.9 is a side view schematic of the polisher setup used for friction table

calibrations. As mentioned earlier, a strain gauge positioned between two steel plates

measured the shear force at the pad-wafer interface during polishing. The strain gauge

used in this research could withstand up to 75 lbs of shear force. Figure 2.9 shows a

pulley system that joined one end of the movable top plate with a set of weights that hung

over the edge of the isolation table. This setup allowed for variable lateral forces to be

applied to the strain gauge of the friction table by changing of amount of weight hanging

over the edge of the isolation table.

Calibration of the friction table began by recording the voltage of the strain gauge

with no weights. This would ensure that a zero voltage would be read under zero weight

conditions. The calibration process would continue with the incremental addition of

weights on the pulley and the recording of corresponding voltage readings for each

weight set. A calibration curve for the strain gauge voltage can be seen in Fig. 2.10.

Equation (2.5) represents the linear calibration trend seen in Fig. 2.10. Based on this

calibration, real-time voltage readings taken during polishing by the strain gauge could

directly be corresponded to a shear force and thus COF (see Eqn. (2.1)).

03.0)(48.0 −⋅= weightVoltage (2.5)

It should be noted that the strain gauge was also calibrated such that the pulley was

positioned normal to the direction of sliding. This calibration was performed to ensure

that frictional readings were only being generated in the direction of sliding and were not

Page 104: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

103

lost to an alternate axis. Results showed that less than one percent of the total force was

experienced in the normal direction, thus ensuring that nearly 99 percent of the total

frictional signal was accurately detected in the shear direction (Charns, 2003).

On a final note, stain gauge (i.e., frictional) readings taken during polishing were

subject to many sources of signal error resulting from tool vibration. High applied wafer

pressures, sliding velocities or dilute slurry concentrations were common sources of

unintentional tool vibration. In order to eliminate these unnecessary frictional events,

frictional baselines were taken prior to polishing experiments. Baselines were taken such

that every component of the polisher would be in motion with the exception of the wafer

on the pad. These baselines would then later be used to subtract out any frictional noise

not associated with the pad and wafer contact mechanism.

Figure 2.9: Side view schematic of friction table calibration set-up

Sliding Friction Table

Strain Gauge

Isolation Table

Tabletop Polisher

Weight

Sliding Friction Table

Strain Gauge

Isolation Table

Tabletop Polisher

Weight

Page 105: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

104

Figure 2.10: Strain gauge calibration plot

2.1.7 Pad Conditioning System

The pad conditioning system consisted of a 2-inch diamond-conditioning disc, which

was spring-loaded onto the pad. The diamond-conditioning disc was held in place with a

chemically and mechanically resistive polyphenylene-based housing (this design was

similar to the wafer-carrier mount described before). The spring length and spring

constant were selected such that the applied down pressure was approximately 0.5 PSI on

the pad. The pressure calibration of the spring was performed using a Tekscan® pressure

mapping system and will be discussed in detail in Chapter 3.2.2. Figure 2.11 shows the

0

0.5

1

1.5

2

2.5

3

3.5

0 10 20 30 40 50 60 70

Weight (lbs)

Volta

ge (V

)

Page 106: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

105

pad conditioning apparatus during polishing. As seen in the figure, the conditioner was

designed to perform in-situ or ex-situ. Alternate conditioning schemes were possible by

removing or attaching the conditioner apparatus on pre-positioned brackets installed on

each side of the polisher.

96.4)(11.69 −⋅= voltsRPM (2.6)

23.10)(21.70min/ −⋅= voltsosc (2.7)

Two stepper motors allowed the conditioning disc to rotate and sweep independently

across the pad. The calibration of the stepper motor involved a trail and error procedure

of estimating rotation and oscillation rates (per minute) with various input voltages.

Figure 2.12 (a) and (b) show the calibration plots for the rotation and oscillation of the

conditioner motors respectively. The observed linear trends could also be described by

Eqns. (2.6) (rotation calibration) and (2.7) (oscillation calibration).

Page 107: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

106

Figure 2.11: Pad conditioning apparatus during in-situ polishing

Figure 2.12: Calibration plots for diamond pad conditioner (a) rotation motor and (b) oscillation motor

(a) (b)

0

10

20

30

40

50

60

70

0 0.2 0.4 0.6 0.8 1 1.2

Voltage (V)

Rota

tion

Velo

city

(RPM

)

0

10

20

30

40

50

60

70

0 0.2 0.4 0.6 0.8 1 1.2

Voltage (V)

Osc

illat

ions

per

Min

ute

(a) (b)

0

10

20

30

40

50

60

70

0 0.2 0.4 0.6 0.8 1 1.2

Voltage (V)

Rota

tion

Velo

city

(RPM

)

0

10

20

30

40

50

60

70

0 0.2 0.4 0.6 0.8 1 1.2

Voltage (V)

Osc

illat

ions

per

Min

ute

Page 108: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

107

2.1.8 Slurry Distribution System

As seen in Fig. 2.11, slurry was distributed with Tygon® tubing onto the center of the

pad. In order to maintain a consistent slurry distribution position during polishing, the

tubing was run through a semi-rigid aluminum casing, which was specifically crafted to

resist movement. The drive for slurry distribution was provided by a Masterflex®

peristaltic pump. The pump would transport slurry from a pre-formulated slurry source

(i.e., beaker) to the pad.

As-received, the pump was calibrated through simple flow rate tests. If a specific

flow rate setting on the pump resulted in more or less than what was expected, then

positive and negative adjustments to the pump rotation rate would be made to correct the

issue. These adjustments would then be re-verified to ensure accurate pump rates. Figure

2.13 shows the calibration plot for the pump used in this research.

Page 109: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

108

Figure 2.13: Peristaltic pump flow rate calibration plot

0

100

200

300

400

500

600

0 50 100 150

Flow Rate (cc/min)

Pum

p R

otat

ion

(RPM

)

Page 110: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

109

2.1.9 Platen Temperature Control System

To control the platen temperature for polishing, the platen-cooling feature of the

Struers polisher was used to introduce high flow rates of water, at varying reservoir

temperatures, through a radial channel located beneath the platen. Various experiments

performed in this research required reservoir temperatures that were controlled such that,

during CMP, the polishing pad could reach a desired steady state temperature. This meant

maintaining relatively consistent reservoir temperatures. Experiments conducted in

Chapters 5.2 and 5.3 involved water reservoirs whose temperatures were controlled with

hot plates. The temperature of water reservoirs used in the experiments of Chapter 4

involved the application of a semi-circulating heat-exchanger bath (this proved slightly

more thermally stable). Figure 2.14 shows a box diagram of the temperature controlled

water bath system used in the latter experiments. The semi-closed-loop system seen in

Fig. 2.14 allowed heated or cooled water to pass beneath the platen radially outwards,

thus combining with the slurry waste stream and leaving the polisher in a single pass. All

experiments using below room temperature platen conditions implemented ice baths.

For each respective experiment, the desired polishing temperatures were defined by

thermal readings taken on the pad surface by an Infra-Red (IR) camera. Details of this

temperature recording system will be discussed in further detail in Chapter 2.3.2. Based

on the deviation between the desired pad temperature (set-point temperature) and the

observed IR reading, temperature adjustments were made by increasing or decreasing the

Page 111: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

110

flow rate of reservoir fluid to the polisher, or by adjusting the reservoir temperature. The

latter was less favorable due to long heating and cooling times.

Figure 2.14: Box diagram of temperature controlled water bath system from experiments conducted in Chapter 4

Polisher Waste

Water Bath at Temperature, T

Pump

Polisher Waste

Water Bath at Temperature, T

PumpPump

Page 112: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

111

2.1.10 Computer Automation

All of the described calibrations for each individual component of the polisher were

incorporated into a computer interface created in National Instrument’s LabVIEW

software. This polishing interface program was originally developed at Tufts University.

With the exception of wafer speed control, all other components of the polisher could be

automated by this computer interface. The LabVIEW program developed for this polisher

allowed for data acquisition (i.e., shear force) as well as data analysis.

The general setup within LabVIEW entailed the real-time acquisition of voltage

signals from the polishing tool components via a National Instruments connector block.

Each voltage-based calibration was programmed, by code, into the LabVIEW interface.

In turn, the LabVIEW interface enabled users of the polisher to input and read polishing

parameters such as sliding velocity and slurry flow rate in their actual metrics and not in

terms of voltage.

2.2 Sandia National Laboratory SpeedFam-IPEC Avanti 472 Platform

Several studies performed in the course of this research were done on the SpeedFam-

IPEC Avanti 472 rotary polisher. The polisher was located at Sandia National

Laboratory’s (SNL) Microelectronics Development Laboratory (MDL) in Albuquerque,

New Mexico. Figure 2.15 provides a frontal view of the industrial scale tool.

Page 113: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

112

Since the introduction of the commercial CMP tools, the SpeedFam-IPEC rotary tool

has long been regarded as the most stable and reliable, especially with ILD applications.

It was for this reason that the polisher used at the IPL was scaled based on this industrial

tool. The polishing tool was a single spindle, single wafer processing system. The wafers

polished on the polishing tool were 6-inches in diameter and were held to the back of the

wafer carrier system using an in-line vacuum system. The rigid carrier head was also

designed with a poromeric backing for additional adhesion of the wafer.

The polishing tool was also designed with a secondary platen intended for buffing

following the primary removal step. This step in polishing varied significantly with the

primary polishing step in terms of processing parameters (high velocity and low

pressures) and consumables (soft felt-like polishing pads).

Controls for changing polishing parameters such as applied wafer pressure, sliding

velocity and slurry flow rate were done through the polisher’s internal computer

interface. Weekly tool calibrations were performed by SNL technicians to ensure reliable

tool kinematics and polishing performance.

Page 114: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

113

Figure 2.15: Front view of SpeedFam-IPEC 472 rotary CMP tool

Page 115: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

114

2.2.1 Pad Conditioning System

The pad conditioning system on the SpeedFam-IPEC 472 was an Advanced Pad

Profiler (APP-1000) diamond conditioning system. Diamond conditioning discs were

101-mm in diameter and varied in grit size and design. The conditioner track was

designed on the tool such that conditioning could be done in-situ or ex-situ. Conditioning

pressure, rotation rate and sweep rate were held constant during processing, however

could be changed using the polishers internal computer interface.

2.2.2 Luxtron Motor Current Endpoint Detection System

Luxtron’s Optima 9300 CMP endpoint system was used for detecting motor current

signals from the polishing tool. The Optima 9300 was supplied with four current sensors,

of which only two were used. One sensor was attached to the carrier-head and the other

to the platen. Both sensors were capable of acquiring current signals at a frequency of 10

Hz, and could accommodate direct or alternating currents of up to 25 A. The sensors

were also capable of detecting current changes of less than 2 mA. As motor current

signals were detected during polish, the real-time controller (RTC) of the Optima 9300

allowed the system to record and recognize endpoint conditions as they occurred on the

polishing tool. Once the system detected an endpoint, a relayed signal was sent to the

polishing tool via a serial I/O interface (Luxtron Corporation, 1999).

Page 116: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

115

Figure 2.16 is a block diagram of the set-up. Further details about the Luxtron system

will be discussed in Chapter 6.

Figure 2.16: Block diagram of an integrated Luxtron motor current EPD system

IPEC-AVANTI 472

Luxtron Optima 9300

Console

Endpoint Signal Relay

Real Time Controller

From Platen

From Carrier-Head

IPEC-AVANTI 472

Luxtron Optima 9300

Console

Endpoint Signal Relay

Real Time Controller

From Platen

From Carrier-Head

Page 117: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

116

2.2.3 Platen Temperature Control System

To control the primary platen temperature on the SpeedFam-IPEC 472 tool, an

internal heat exchanging system was used for platen heating and an external chiller was

used for platen cooling. Temperature settings were adjusted using the computer interface

of the polishing tool. Unlike the scaled down version of the tool, the platen temperature

control system on the polishing tool was completely re-circulating, thereby creating fewer

thermal fluctuations. This closed-loop system allowed heated or cooled fluid to pass

beneath the platen radially outwards, be captured without slurry contamination, pass

through the exchanger system and return to the platen.

The pad surface temperature was monitored using an IR camera prior to and during

each polish. Details of this temperature recording system will be discussed in further

detail in Chapter 2.3.2. As a final note, it should be mentioned that the thermal stability

on the polishing tool was superior to that of the scaled down version of the tool at the

IPL.

2.3 Metrology Equipment

To evaluate pad material properties and the results from thousands of polishing

experiments several metrology instruments were utilized. Since certain studies were

conducted at different locations (IPL and SNL), the same analysis was regularly done

Page 118: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

117

using different make and model metrology tools. The underlying operation principles of

these tools are similar.

2.3.1 Thermo-analytical Instruments

CMP is very sensitive to temperature, both chemically and mechanically. As

mentioned before, the frictional interactions that occur at the pad-wafer interface alone

can create fluctuations of heat that can promote or hinder the removal process during

CMP. Understanding the material property changes as a result of this heat could provide

insight towards various phenomena that occur during polishing. Based on this premise,

studies were performed to determine the dynamic physical properties of polishing pads.

The term dynamic should be highlighted because it declares a differentiation between

the isothermal and non-isothermal conditions used for determining these properties.

Material properties such as Young’s modulus, or elongation, are reported based on tests

done at an isotherm (commonly ambient, 24°C). Dynamic material properties such as

storage modulus, or loss modulus, take into consideration the effects of changing

temperature as part of the reported result.

Since CMP is a thermally dependent process, characterizing the material properties as

a function of temperature is an appropriate means of describing the possible effects

during polishing. Performing dynamic analysis of polishing pads yields the mechanical

properties of the pad material while taking into account the energy dissipation (as heat)

Page 119: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

118

during deformation. Performing traditional material tensile analysis occurs only at

specific isotherms and would not properly describe the events that occur during CMP.

2.3.1.1 Dynamic Mechanical Analyzer

Dynamic pad properties such as storage modulus and loss modulus were found using

TA instrument’s dynamic mechanical analyzer (DMA) 2980 (see Fig. 2.17). The DMA

measures the viscoelastic response of a pad sample as a function of a constant sinusoidal

stress and changing temperature. To achieve these testing conditions, polishing pad

samples were cut as rectangles (approximately 17.2 mm × 13.3 mm × 1.3 mm) and

placed into a single cantilever system within an isolated chamber. Within the chamber,

the long ends of the pad sample were clamped with a torque wrench (approximately 6

lbs), where one end was fixed in position and the other was free to oscillate sinusoidally.

During testing, the chamber would be closed off and an internal heating/cooling system

would change the temperature at a designated rate.

The experimental conditions used for CMP pad testing included a thermal ramping

rate of 5°C/min from -110°C to 200°C. The oscillation rate of the pad was held at

approximately 70 µm/min. Resulting mechanical responses were detected by the tool and

reported as a function of temperature.

Page 120: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

119

Figure 2.17: TA Instruments Dynamic Mechanical Analyzer 2980 at the IPL

The following are definitions of the analytical results obtained from the DMA:

Glass Transition Temperature (Tg) – The temperature at which a material changes

from glass-like properties to rubber. When analyzing this parameter with the DMA, Tg

can either be detected by a distinct onset of the storage modulus vs. temperature curve, or

a distinct peak on the loss modulus vs. temperature curve (see Fig. 2.18). The Tg range for

most polyurethane based polishing pads is approximately -20°C to -5°C.

Storage Modulus – A term used to quantify the dynamic elastic energy stored in a

specimen due to an applied strain and is usually described in units of MPa. In general, it

is considered a measure of a material’s bulk softening with changing temperature and can

also be an indicator of a specimen’s glass transition temperature (Tg). Figure 2.18 shows

the indicating point for the storage modulus Tg of a polishing pad and the slope range that

is often considered for determining the extent of pad softening. Storage modulus has a

Page 121: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

120

flexural (E’) and shear component (G’), which are dependent on the direction of phase

angle during testing. Equations (2.8) and (2.9) define these parameters

')1(2' GE ⋅Σ+= (2.8)

δσ cos'o

o

eG = (2.9)

In the above equations, Σ is a poison ratio constant for isotropic materials, σo is stress,

eo is strain and δ is the phase lag angle obtained from specific testing conditions. The

phase angle of the pad samples in DMA are such that the flexural component of storage

modulus is obtained.

Storage modulus, although different from Young’s modulus in principle, is often used

to define a materials mechanical strength. As it has been described above, the two

analytical parameters have distinctly different physical meanings, however in the CMP

research arena, both parameters are often used to define polishing pads. Since these

parameters are often interchanged and compared, it is important to realize their

relationship with one another.

Storage modulus can be related to Young’s modulus in the following manner. As seen

in Eqn. (2.10), Young’s modulus is defined as a measure of longitudinal strain (σ), or the

ratio of normal stress (ε) to the corresponding strain for tensile or compressive stresses

less than the proportional limit of the material.

εσ

=E (2.10)

Page 122: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

121

Figure 2.18: Typical DMA results for a polyurethane based polishing pad

If one considers the fact that the DMA provides the flexural storage and loss modulus

components for a material, then one can calculate a complex modulus (E*) defined as

"'* iEEE += , (2.11)

where E” is flexural loss modulus. As the flexural storage modulus increases such

that the magnitude of (E”/E’) approaches zero, only then can one consider the complex

modulus equal to the Young’s modulus (i.e., E* = E). In practical terms, this dynamic

limit is indicative of a material being purely elastic.

Loss Modulus – A term used to quantify the dissipation of energy of a specimen as a

function of temperature and is usually described in units of MPa. In a general sense, this

-51.91°C

-38.90°C

-34.03°C

0.05

0.10

0.15

0.20

Tan

Del

ta

0

50

100

150

200

Loss

Mod

ulus

(M

Pa)

0

500

1000

1500

2000

2500S

tora

ge M

odul

us (

MP

a)

-150 -100 -50 0 50 100 150 200

Temperature (°C) Universal V3.8B TA Instruments

E’

E”

Tan δ

Onset point, Tg

Loss modulus peak, Tg

Storage modulus decrease (20°C – 45°C)

Tan δ peak, Tg

-51.91°C

-38.90°C

-34.03°C

0.05

0.10

0.15

0.20

Tan

Del

ta

0

50

100

150

200

Loss

Mod

ulus

(M

Pa)

0

500

1000

1500

2000

2500S

tora

ge M

odul

us (

MP

a)

-150 -100 -50 0 50 100 150 200

Temperature (°C) Universal V3.8B TA Instruments

E’

E”

Tan δ

Onset point, Tg

Loss modulus peak, Tg

Storage modulus decrease (20°C – 45°C)

Tan δ peak, Tg

Page 123: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

122

parameter is an indicator of a material’s glass transition point and is identified by a signal

peak over the tested temperature range. Loss modulus has a flexural (E’’) and shear

component (G’’), which is dependent of the direction of phase angle during testing.

Equations (2.12) and (2.13) define these parameters.

")1(2" GE ⋅Σ+= (2.12)

δσ

sin"o

o

eG = (2.13)

Tan δ – A parameter that is calculated as the ratio of flexural loss modulus to storage

modulus (see Eqn. (2.14)). This term is used to indicate the toughness of a specimen

(based on area calculations underneath the resulting signals) and can only be compared

relative to comparable signal results. This parameter can also be used as an indicator of

the glass transition for a specimen (see Fig. 2.18).

'"tan

EE

=δ (2.14)

2.3.1.2 Thermo-Mechanical Analyzer

Dynamic pad properties such as coefficient of thermal expansion (CTE), Tg and the

extent of pad compressibility (softening) were found using TA Instrument’s thermo-

mechanical analyzer (TMA) 2940 (see Fig. 2.19). The TMA measures the thermal

expansion and contraction responses of a pad sample as a function of height displacement

under a constant applied force and changing temperature (i.e., the TMA measures height

Page 124: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

123

displacements). To achieve these testing conditions, square centimeter polishing pad

samples were cut and placed on a quartz platform within an isolated chamber. Within the

chamber, a quartz expansion probe (diameter of 2.8-mm) would press against the sample

surface with a constant force. During testing the chamber would be closed off and an

internal heating/cooling system would change the temperature at a designated rate.

At the initial point of testing, the probe would be positioned on top of a pad sample

and calibrated such that the initial height of the probe would be considered zero. As the

TMA chamber temperature would rise, the pad sample would undergo physical

expansion and contraction. These effects would consequently alter the height of the

probe, thus generating results which would indicate a pads compressive reaction to

changes in temperature.

The most critical result that was generated from TMA testing was the calculation of

the extent of compression, or softening, over the range of temperatures commonly

experienced in CMP (approximately 20°C – 45°C). Figure 2.21 shows typical TMA

results for a conventional polyurethane based polishing pad. The figure shows the region

in which this softening parameter is calculated. Ideally, one would desire a polishing pad

whose dimensional change (y-axis) would be unaltered over typical polishing

temperatures (i.e., flat curve segment). This would ensure consistent pad mechanical

properties during polishing, regardless of temperature. However, as it can be seen from

Fig. 2.21, the polishing pad tested does not exhibit this type of ideal behavior, which

could indicate potential pad deformation during polishing thus compromising

performance. Figure 2.21 also shows the acquisition points for CTE and Tg.

Page 125: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

124

The experimental conditions used for CMP pad testing included a thermal ramping

rate of 6°C/min from -110°C to 180°C. The constant load applied on the pad sample

throughout testing was 0.1 N.

Figure 2.19: TA Instruments Thermo-Mechanical Analyzer 2940 at the IPL

Page 126: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

125

Figure 2.20: Schematic of TMA internals

Platform with Probe

Removable Isolation Chamber

Platform with Probe

Removable Isolation Chamber

Page 127: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

126

Figure 2.21: Typical DMA results for a polyurethane based polishing pad

2.3.2 Infra-Red Temperature Measurements

IR thermography was needed for temperature specific polishing studies. Ideally one

would desire knowing the temperature events directly underneath the wafer during

polishing, however the design of such sensors would prove difficult when considering the

dynamic intricacies during CMP. IR thermography was the next best solution for the task

of thermal detection. IR data was obtained using an Agema® Thermovision 550 IR

camera. The camera was calibrated as-received from the manufacturer and was verified at

IPL by measuring surfaces of known temperatures.

-2.29°C(I)

-51.47°C

4.11°C

14.13°C

107.28°CAlpha=114.9µm/m°C

-60

-40

-20

0

20

40

60

80

Dim

ensi

on C

hang

e (µ

m)

-150 -100 -50 0 50 100 150 200

Temperature (°C) Universal V3.8B TA Instr

Onset point, Tg

Coefficient of Thermal Expansion, CTE

Extent of Pad Softening (20°C – 45°C)

-2.29°C(I)

-51.47°C

4.11°C

14.13°C

107.28°CAlpha=114.9µm/m°C

-60

-40

-20

0

20

40

60

80

Dim

ensi

on C

hang

e (µ

m)

-150 -100 -50 0 50 100 150 200

Temperature (°C) Universal V3.8B TA Instr

Onset point, Tg

Coefficient of Thermal Expansion, CTE

Extent of Pad Softening (20°C – 45°C)

Page 128: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

127

As seen in Fig. 2.22, the camera was mounted on an adjustable arm stand and

positioned such that the camera would capture nearly all of the wafer-head

circumference. The camera was connected to a local computer, which provided an

interface for camera control and recording. Once in position, recording would begin just

before the start of a polishing experiment. The camera recorded thermal images at

frequency of 5 Hz at ten points around the leading and trailing edges of the wafer (i.e.,

five points along each side of the wafer, see Fig. 2.23). Since direct thermal readings

could not be acquired in the pad-wafer interface, the above ten points along the periphery

of the wafer allowed for a suitable estimation of the mean process temperature

experienced during polishing. Based on this postulation, the mean process temperature

was calculated as the average value of the ten points taken over the entire duration of the

polish.

The IR camera was also used to monitor pad temperatures prior to polishing. Since

certain studies in this research required polishes to occur at specific thermal set points, IR

was used to monitor this temperature. Based on the IR readings, pad temperatures could

be adjusted such that the desired set point temperature for a polish could be reached (see

Chapters 2.2.3 and 2.1.9).

The only limiting aspect about IR was the fact that it could not record through

transparent or non-transparent materials. IR emission from any materials is only a surface

phenomena, thus limiting the extent to which the device could be used for determining

the true polishing temperature.

Page 129: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

128

Figure 2.22: IR camera positioned during polishing

Figure 2.23: IR image of temperature controlled polishing. Spots 1 through 10 indicate the points of temperature detection along the leading edge (SP01 – SP05) and trailing edge (SP06 – SP10) of the wafer

22.3°C

31.6°C

24

26

28

30SP01

SP02

SP03

SP04

SP05

SP06

SP07

SP08

SP09

SP10

Page 130: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

129

2.3.3 Film Thickness Measurements

Film thickness measurements were performed following all ILD polishes in order to

determine the removal rates and uniformity associated with a certain polishing process.

This analysis was done on an ellipsometer. The basic principle of an ellipsometer is to

measure the thickness of light-transparent material films by measuring the polarization

state of a light beam following its reflection on the material. This light source can be

varied in wavelength and is usually projected on the film sample at an oblique incidence

(Edwards, 2004). As film thickness on a wafer changes, so does the polarization

sensitivity of the reflected light. This change in polarization is then converted into a

specific film thickness that can measured on order of Angstroms.

Copper polishes performed in experiments from Chapter 5.2 were analyzed

differently. As it will be discussed in Chapter 5.2, the copper substrates used in those

experiments were copper discs. As a result of this, film thickness measurements were not

possible to determine removal rates. A substitute method for determining copper

polishing results was weight measurements. An OHAUS Analytical Plus® scale was

used to determine the amount of material removal to within a thousandths of a gram.

Tungsten polishes performed in experiments from Chapter 5.5.2 were analyzed using

a four-point probe at SNL. Four point probes determine material thickness on the

principle of electrical conduction through the metal sample. In the most basic sense, the

metal thickness varies inversely with the rate of conduction.

Page 131: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

130

CHAPTER 3 – APPLIED WAFER PRESSURE EFFECTS DURING CMP

3.1 Motivation

As described in Chapter 1, the process parameters of pressure and velocity affect the

rate of material removal in a proportional manner. For an effective CMP process, it is

essential that the pressure applied on the wafer be distributed uniformly along the entire

area. This becomes difficult when one considers the dynamic intricacies of CMP as well

as possible structural issues with the wafer such as thermally induced bowing and feature

patterning. Advances in wafer carrier technology have alleviated some of these issues,

but have yet been able to correct pressure distribution issues in the case of significantly

warped wafers or wafers with diverse pattern density structures. Understanding the

fundamental impact of pressure in such cases could lead towards solutions for more ideal

CMP processing, specifically in the form of novel carrier designs or more stringent

polishing conditions. In the course of this research, the effects of wafer geometry (i.e.,

warping or bowing) and wafer pattern density on pressure were investigated.

Page 132: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

131

3.2 Impact of Wafer Geometry and Thermal History on Pressure and von Mises Stress Non-uniformity During STI CMP

3.2.1 Background

One area of CMP that can potentially impact the mechanical attributes of the process

is the variation in wafer geometry as measured by the overall shape (i.e., extent and

direction of bow), and the nominal diameter of the wafer. The latter becomes more

critical as one considers normal variations in the inside diameter of the retaining ring and

the size of the wafer-ring gap resulting from such dimensional differences. Assuming the

above variations to follow Gaussian behavior, the probability of encountering wafer-ring

gap sizes as low as nominally zero mm or as high as 2 mm is calculated to be

approximately 0.004. This is significant since in high volume IC manufacturing facilities,

the number of CMP polishes can exceed 250,000 per month. Moreover, as wafers

progress through the manufacturing line, they undergo a series of high temperature

processes. Given the reported effects of heat treatment on the mechanical properties of

silicon wafers, (Senkader et al., 2001; Fukuda, 1995) it would be of interest to establish

potential correlations between the thermal history of wafers and within-wafer material

removal uniformity during CMP.

As 300-mm wafers are becoming mainstream, variations in wafer shape, wafer-ring

gap size and bulk properties (resulting from variations in the thermal history of each

wafer) are becoming more pronounced thus further necessitating a fundamental

Page 133: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

132

investigation of their impact on polish performance. The importance of such a study is

justified given the direct relationship between removal rate and pressure as described by

Preston’s equation (see Eq. 1.7).

According to Preston’s equation, at any given region of the wafer, local removal rate

is directly proportional to local pressure. Moreover, since pressure and stress are related

to one another, variations in the overall stress experienced by the wafer are expected, to

some extent, affect the polishing outcome (Preston, 1927).

Several studies have focused on the extent of within wafer removal rate non-

uniformity resulting from wafer curvature (Zhang et al., 1996; Tseng et al., 1999; Chen et

al., 2002; Shaw et al., 2001; Sorooshian et al., 2003). Tseng et al., developed a

theoretical model that simulates pressure distribution occurring from wafer curvature

during CMP. The study demonstrated reasonable agreement between simulated pressure

data and oxide removal rate results from experimentation. Deviations between the

proposed model and the removal rate data are postulated to result from the stress induced

by slurry flow, local variations in wafer shape and pad surface properties (Tseng et al.,

1999).

Additionally, Chen et al. and Shaw et al. presented results of analytical studies

involving the kinematics and pressure distributions developed at the pad-wafer interface

during CMP. Overall, the results are in good agreement with their’ purposed numerical

simulations and clearly demonstrate that wafer curvature and non-uniformities in pressure

distribution affect the slurry film thickness in the pad-wafer region, the lubricity of the

system and the overall efficiency of the process (Chen et al., 2002; Shaw et al., 2001).

Page 134: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

133

Until now, there have been no published data relating the effect of minor variations in

wafer diameter on within wafer removal rate non-uniformity. In general, no two wafers

or retaining rings have identical geometries, or undergo identical thermal cycles. In spite

of the fact that such variations may fall within the manufacturers’ product specifications,

tighter manufacturing control may be required in order to minimize within wafer non-

uniformity issues during CMP. This study employs actual pressure measurements and

von Mises stress simulations over the entire surface of the wafer in order to examine the

effect of the wafer-ring gap size, the extent and direction of wafer bow and the effect of

thermal history on within wafer non-uniformity (WIWNU) (Fujita et al., 2001; Wang et

al., 1997).

3.2.2 Experimental Approach

The pressure measurement experiments performed for this study were done at the IPL

and incorporated the use of the scaled polisher described in Chapter 2.1.

Pressure measurements were obtained with an automated Tekscan® pressure

mapping sensor. This pressure measurement technique was employed based on the

success of a study done by Fujita and Doi, who successfully employed this system for

analyzing pressure distribution across a 200-mm wafer supported by a novel air float

carrier (Fujita et al., 2001). The pressure sensor consisted of two thin, flexible polyester

sheets on which electrically conductive electrodes were deposited in varying patterns (see

Fig. 3.1). The inside surface of one sheet was patterned in the form of rows while the

Page 135: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

134

inner surface of the other employs a columnar pattern. The spacing between rows or

columns was approximately 0.5 mm. At each node (i.e. the point where a row intersected

a column), an electrical resistance was provided courtesy of a thin semi-conductive ink

coating, which acted as an intermediate layer between the electrical contacts. When the

two polyester sheets were placed on top of each other, a grid pattern was formed, creating

a sensing location at each node. By measuring the changes in current flow at each node,

the applied force distribution pattern could be measured.

Wafer pressure distribution data was taken on a Rohm and Haas IC-1000 flat pad.

Prior to data acquisition, the pad was conditioned for 30-minutes in ultra pure water with

a 100-grit diamond disc at a pressure of 0.5 PSI, rotational velocity of 30 RPM and disk

sweep frequency of 20 per minute. Pad conditioning was followed by a 5-minute pad

break-in with a dummy wafer. Pressure mapping was performed under static conditions

with the sensor placed directly between the pad and the 100-mm wafer. Figure 3.2 is an

example of the resulting pressure contour map from which various pressure profiles could

be extracted.

The following parameters were investigated:

• Applied wafer pressures of 2 and 6 PSI

• Wafer-ring gap sizes of zero, 0.4, 1.0 and 1.4 mm (see Fig. 3.3)

• Extent of wafer bow (defined as the difference in vertical distance between the

center and edge of the wafer) at values of zero (i.e. nominally flat) and 15 µm (see

Fig 3.3). Noted that all non-thermally treated wafers were simply bare silicon

Page 136: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

135

• Direction of wafer bow in terms of its concave or convex shape (applies only to

wafers having a nominal bow of 15 µm)

• Extent of heat treatment of the wafer prior to CMP. The control samples were not

exposed to high temperature processing, whereas the thermally treated samples

had approximately 1500 Å of nitride deposited on top of the bare silicon and

underwent a 5-hour nitrogen anneal at 1000°C

Figure 3.1: (a) Diagram and (b) schematic of the Tekscan® pressure measurement sensor

pressure resistive sheet

X-wires

Y-wires

protective laminate film

(a) (b)

pressure resistive sheet

X-wires

Y-wires

protective laminate filmpressure resistive sheet

X-wires

Y-wires

protective laminate film

(a) (b)

Page 137: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

136

Figure 3.2: Two-dimensional contour pressure image of a flat 100-mm diameter wafer at an applied wafer pressure of 6 PSI

Figure 3.3: (a) Top view schematic of wafer-ring gap and (b) side view schematic of concave and convex wafer geometries and extent of bow

Wafer

Carrier Ring

Wafer-ring gap

Convex wafer Extent of wafer bow

Concave waferExtent of wafer bow

Polishing pad

Polishing pad

(a) (b)

Wafer

Carrier Ring

Wafer-ring gap

Wafer

Carrier Ring

Wafer-ring gap

Convex wafer Extent of wafer bow

Concave waferExtent of wafer bow

Polishing pad

Polishing pad

Convex wafer Extent of wafer bow

Concave waferExtent of wafer bow

Polishing pad

Polishing pad

Convex wafer Extent of wafer bow

Concave waferExtent of wafer bow

Polishing pad

Polishing pad

(a) (b)

Page 138: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

137

3.2.3 Results and Discussion

3.2.3.1 Stress Simulations

The von Mises stress was selected as the output parameter of the simulations for this

study. Proposed by Ludwig von Mises in 1913, von Mises stress (Eq. 3.1) describes a

single stress component (σo) containing a combination of normal stresses (σx,y,z) and shear

forces (τx,y,z) acting on a body in their respective directions.

( ) ( ) ( ) ( )[ ]21

222222 62

1xzyzxyxzzyyxo τττσσσσσσσ +++−+−+−= (3.1)

The basic concept of the above equation was the following: Stress is defined as the

internal resistance per unit area of a body to an external applied force. For a 3-

dimensional system, stress can be accounted for in three directions (i.e., x, y and z). When

the combination of these principle stresses exceeds the yield stress of uni-axial tension

for the body, then yielding of that body occurs.

Further details regarding the definition and utility of von Mises stress in CMP

applications may be found elsewhere (Wang et al., 1997; Dieter, 1986).

The simulations for this study were completed assuming a 2-dimensional, axis-

symmetric model (i.e., x and z) representing directions normal and tangential to wafer

surface. It should be noted that only the normal component of the von Mises stress

simulations can be related to pressure measurements taken in the experimental stage of

this study. However based on prior studies by Wang et al., relationships showing

similarities between the normal and tangential components of the von Mises stress

Page 139: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

138

distributions and removal rate profiles were presented. Based on this prior relationship,

results from this study will show close similarities between the normal and tangential

components of the von Mises stress and the experimental pressure profiles taken from

this study. Analysis assumed that the wafer stack was comprised of five distinct layers as

shown in Fig. 3.4. The entire system was considered to be static and dry, with a specified

pressure applied to the top of the wafer stack. The polishing pads were assumed to be

continuous with isotropic physical properties. For each simulation, the von Mises stress

was calculated as a function of position for a specified applied wafer pressure and wafer

stack property (see Table 3.1). It should be noted that wafers with varying diameters, and

hence varying wafer-ring gap sizes, could be specified as input parameters to the

simulator. It should also be noted that simulations did not take into account the extent or

direction of wafer bow. As such, all simulations were performed assuming a perfectly flat

wafer.

Page 140: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

139

Figure 3.4: Schematic representation of the wafer stack used in the simulation model

Table 3.1: Wafer stack material properties assumed in the simulation model

Carrier

Carrier Film

Wafer

Polishing Pad

Platen

Retaining Ring

Wafer-ring gap sizeCenter line

x

z

Carrier

Carrier Film

Wafer

Polishing Pad

Platen

Retaining Ring

Wafer-ring gap sizeCenter line

Carrier

Carrier Film

Wafer

Polishing Pad

Platen

Retaining Ring

Wafer-ring gap sizeCenter line

x

z

Material Elastic Modulus (MPa) Poisson Ratio Density (kg/m3)Carrier 194,000 0.330 7920Carrier Film 4.13 0.400 1140Wafer 130,000 0.279 2330Retaining Ring 194,000 0.330 7920Pad 250 0.400 800Platen 194,000 0.330 7920

Page 141: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

140

3.2.3.2 Within wafer Pressure and Stress Non-uniformity for Nominally Flat and Thermally Untreated Wafers

Once a contour pressure map was generated for a given set of conditions, the pressure

profile across the line segment originating from the center of the wafer and terminating at

the wafer edge was obtained. The line segment was selected such that it ran parallel to the

100-mm wafer’s primary flat. The actual pressure profile was then divided into two

regions as follows: A ‘central zone’ extending from the center of the wafer to a radial

distance of 34-mm, and an ‘edge zone’ continuing from a radial position of 35-mm up to

the edge of the wafer.

Figure 3.5 is an example of von Mises stress and pressure profiles obtained for a

wafer-ring gap size of 1.4-mm and applied wafer pressure of 2 and 6 PSI respectively.

Focusing first on the ‘central zone’ of the wafer (as described above), average pressure

remains constant at 1.2 ± 0.1 PSI for an applied wafer pressure of 2 PSI and 4.6 ± 0.3 PSI

for an applied wafer pressure of 6 PSI. It is apparent that these results are in qualitative

agreement with the von Mises stress simulations. As for the edge region, both measured

and simulated metrics exhibit a sharp peak approximately 6 mm from the edge followed

by a sharp drop at the wafer’s edge.

This indicates that simulated von Mises stress profiles are able to qualitatively

describe pressure non-uniformities in the wafer-pad region and can be used, albeit with

caution, to predict stress, and hence pressure, non-uniformities for larger size wafers. It

Page 142: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

141

must be noted that the shapes of the stress profiles of Fig. 3.5 are consistent with previous

reports (Fujita et al., 2001; Wang et al., 1997).

Figure 3.5: Measured pressure and simulated von Mises stress for a nominally flat, thermally untreated wafer at 6 PSI (gap size = 1.4 mm)

Figure 3.6 shows examples of von Mises stress profiles for 200- and 300-mm wafers

under an applied pressure of 4 PSI and a wafer-ring gap size of 0.4 mm. Both profiles

show a gradual stress increase along the ‘central zone’ of the wafer, followed by large

stress variations near the edge. The stress peak for 100-mm wafers (Figs. 3.5 and 3.6)

occurs roughly 6 mm from the edge (at 2 and 6 PSI applied pressure), while those

corresponding to 200- and 300-mm wafers occur roughly 9 and 21 mm from the wafer

edge (at a 4 PSI applied pressure), respectively.

0.0

2.0

4.0

6.0

8.0

0.0 0.2 0.4 0.6 0.8 1.0

Relative Radial Position

Pres

sure

(PSI

)

0.0

2.0

4.0

6.0

8.0

0.0 0.2 0.4 0.6 0.8 1.0

Relative Radial Pos ition

von

Mise

s stre

ss (P

SI)

0.0

2.0

4.0

6.0

8.0

0.0 0.2 0.4 0.6 0.8 1.0

Relative Radial Position

Pres

sure

(PSI

)

0.0

2.0

4.0

6.0

8.0

0.0 0.2 0.4 0.6 0.8 1.0

Relative Radial Pos ition

von

Mise

s stre

ss (P

SI)

Page 143: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

142

Figure 3.6: von Mises stress simulations for 200- and 300-mm wafers at an applied wafer pressure of 4 PSI and wafer-ring gap size of 0.4 mm

As edge exclusion specifications for measuring within-wafer non-uniformities trend

towards values less than 3 mm, above simulations indicate that understanding the extent

of within wafer pressure and stress non-uniformities will be essential as 300-mm wafers

become mainstream.

It should be noted that there has been some reported concerns regarding the realness

of the pressure distribution results from the experimental portion of this study due to pad

wear resulting from conditioning. By performing a 30-minute condition of the pad prior

to testing, it has been estimated that the resulting wear track on the pad could generate up

to 30 µm of height variation (approximately 10 µm/min) from the center to the edge of

the pad (Borucki, 2005). As a result of this potential wear, pressure measurements on the

0.0

2.0

4.0

6.0

8.0

10.0

0.0 0.2 0.4 0.6 0.8 1.0

Relative Radial Position

von

Mis

es S

tress

(PSI

)0.0

2.0

4.0

6.0

8.0

10.0

0.0 0.2 0.4 0.6 0.8 1.0Relative Radial Position

von

Mis

es S

tress

(PS

I)

200 mm 300 mm

0.0

2.0

4.0

6.0

8.0

10.0

0.0 0.2 0.4 0.6 0.8 1.0

Relative Radial Position

von

Mis

es S

tress

(PSI

)0.0

2.0

4.0

6.0

8.0

10.0

0.0 0.2 0.4 0.6 0.8 1.0Relative Radial Position

von

Mis

es S

tress

(PS

I)

200 mm 300 mm

Page 144: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

143

outside edge of the wafer will vary relative to the center. This would lead to misleading

pressure distribution results due to the pad curvature and not the wafer. In order to verify

that conditioning did not have a significant effect on the experimental pressure results, a

set of identical tests were performed using an as-received IC-1000 flat pad, which is

assumed to have little topographical variation. By showing the pressure distribution

results have similar profiles between the two pads, it could be ensured that the original

results were generated as a result of the wafer geometry and not an artifact of the pad.

Figure 3.7: Contour pressure distribution maps for nominally flat wafers using an as received Rohm and Haas IC-1000 flat pad and a conditioned pad (30 minutes). Note that the pad center is oriented on the top left corner of each image

Pad Center

2 PSI

6 PSI

Pad Center

As-received pad

6 PSI

Pad Center

Conditioned pad

Pad Center

2 PSI

Pad Center

2 PSI

6 PSI

Pad Center

As-received pad

6 PSI

Pad Center

Conditioned pad

Pad Center

2 PSI

Page 145: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

144

Figure 3.7 shows a side by side comparison of pressure distribution for two identical

wafer sets on a conditioned and non-conditioned pad. Based on the hypothetical wear

track generated on the pad, one would expect to see a ridge of pressure along the bottom

right corner of the pressure maps. As seen from the results however, the pressure

distributions for the conditioned pad do not indicate this suspected rise. Moreover, the as-

received pad also does not show this result. The consistency of such results was shown

throughout the span of wafers and applied pressures tested. From this analysis, it can be

said that the experimental pressure distribution results from this study are a function of

the wafers and wafer-ring gaps and not the possible wear track from conditioning.

3.2.3.3 Wafer-ring Gap Size versus Within-wafer Pressure Non-uniformity for the ‘Central Zone’ and ‘Edge Zone’ of Bowed, Thermally Untreated Wafers

As stated previously, each measured pressure profile was divided into a ‘central zone’

and an ‘edge zone’. In order to simplify visualization and interpretation of the data, the

average and the standard deviation of all data points within each zone were computed.

Figures 3.8 through 3.11 summarize the effect of wafer-ring gap size on pressure non-

uniformity. For a given set of process conditions, each bar represents measured pressure

values ranging from one standard deviation below the mean to one standard deviation

above the mean.

Page 146: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

145

Figures 3.8 and 3.9 represent pressure results along the ‘central zone’ of the wafer for

applied wafer pressures of 2 and 6 PSI, respectively. Regardless of the wafer-ring gap

size, the extent of wafer bow has a large impact on pressure variability. Nominally flat

wafers exhibit low variability, whereas concave and convex wafers exhibit 2.5 to 7 times

greater pressure variations. The trends are independent of applied wafer pressure.

First, at a nominal gap size of zero mm, average pressure is lowest for concave, and

highest for convex wafers. This is due to the negligible gap size, which prevents bowed

wafers from conforming in response to the applied load. Eliminating the wafer-ring gap

allows the wafer to maintain its shape and its associated pressure profile in spite of the

external load.

Second, at larger gap sizes (0.4 to 1.4 mm), average pressure remains constant

regardless of wafer shape at 1.27 ± 0.27 PSI and 4.76 ± 0.74 PSI for applied wafer

pressures of 2 and 6 PSI respectively. This is due to the fact that larger gaps make

provisions for convex and concave wafers to relax their shapes in response to an applied

load.

Figures 3.10 and 3.11 represent pressure results along the ‘edge zone’ of the wafer at

pressures of 2 and 6 PSI, respectively. Results indicate that the extent and direction of

wafer bow has no effect on average pressure and variability. However, wafer-ring gap

size appears to have a strong effect on average pressure and variability. At a nominal

wafer-ring gap size of 0 mm, the average pressure is roughly 25 percent less than the

average pressure at larger gap sizes (0.4 to 1.4 mm). Furthermore, the pressure variability

at the 0-mm gap is approximately 30 percent lower than those at larger gaps.

Page 147: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

146

Figure 3.8: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘central zone’ of a thermally untreated 100-mm wafer (applied wafer pressure of 2 PSI)

Figure 3.9: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘central zone’ of a thermally untreated 100-mm wafer (applied wafer pressure of 6 PSI)

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mmAver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mmAver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mmAver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mmAver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

Page 148: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

147

Figure 3.10: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘edge zone’ of a thermally untreated 100-mm wafer (applied wafer pressure of 2 PSI)

Figure 3.11: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘edge zone’ of a thermally untreated 100-mm wafer (applied wafer pressure of 6 PSI)

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mm

Aver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mm

Aver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mm

Aver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mm

Aver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

Page 149: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

148

3.2.3.4 Wafer-ring Gap Size versus Within-wafer Pressure Non-uniformity for the ‘Central Zone’ and ‘Edge Zone’ of Bowed, Thermally Treated Wafers

Figures 3.12 through 3.15 summarize the effect of wafer-ring gap size on pressure

non-uniformity for thermally treated wafers. Figures 3.12 and 3.13 represent pressures

along the ‘central zone’ of the wafer for applied wafer pressures of 2 and 6 PSI,

respectively. Similar to thermally untreated wafers, regardless of the wafer-ring gap size,

the extent of wafer bow has a large impact on pressure variability. Nominally flat wafers

exhibit low variability, whereas concave and convex wafers exhibit 2.5 to 4.5 times larger

pressure variations. Again, trends are independent of applied wafer pressure.

Unlike thermally untreated wafers, heat treatment reduces or masks the effect of gap

size on average pressure in the ‘central zone’ of the wafer. Regardless of wafer-ring gap

size, average pressure in the ‘central zone’ remains at 1.32 ± 0.33 PSI and 4.28 ± 0.74

PSI for applied wafer pressures of 2 and 6 PSI respectively. Increases in the intrinsic

stress of the silicon wafer due to thermal annealing (Senkader et al., 2001; Fukuda, 1995)

are believed to reduce the effect of wafer-ring gap size on pressure variability.

Consistent with the above argument, at the ‘edge zone’ of the wafer, Figs. 3.14 and

3.15 indicate that wafer-ring gap size as well as extent and direction of wafer bow have

no effect on pressure and variability. Regardless of wafer-ring gap size, average pressure

in the ‘edge zone’ remains at 1.82 ± 1.15 PSI and 4.52 ± 2.20 PSI for applied wafer

pressures of 2 and 6 PSI respectively.

Page 150: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

149

As seen in Figs. 3.10, 3.11, 3.14 and 3.15, a major effect of thermal treatment is the

increase in overall pressure variability at the ‘edge zone’ of the wafer for thermally

treated wafers. On the average, pressure variability is 17 percent higher for thermally

treated samples compared to the control samples.

Figure 3.16 is a qualitative illustration of how higher intrinsic wafer stresses may

result in an increase in the overall pressure variability at the ’edge zone’ of thermally

treated wafers. For purposes of illustration, the elastic modulus of a thermally treated

200-mm wafer has been increased from the original value of 130 to 260 GPa, while

maintaining values of the other parameters cited in Table 3.1. The choice of 260 GPa is

quite arbitrary since no published values of the elastic modulus for thermally treated

wafers have been found. In the case of Fig. 3.16, applied wafer pressure was set to 6 PSI

with a wafer-ring gap size of 0.4 mm. Results of the von Mises simulation are in

qualitative agreement with the observed experimental trends, thus indicating that

measured increases of pressure variability at the ‘edge zone’ as a result of heat treatment

may be explained by variations in the wafer elastic modulus and the interfacial stress in

this region.

Page 151: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

150

Figure 3.12: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘central zone’ of a thermally treated 100-mm wafer (applied wafer pressure of 2 PSI)

Figure 3.13: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘central zone’ of a thermally treated 100-mm wafer (applied wafer pressure of 6 PSI)

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mmAver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mmAver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mmAver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mmAver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

Page 152: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

151

Figure 3.14: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘edge zone’ of a thermally treated 100-mm wafer (applied wafer pressure of 2 PSI)

Figure 3.15: Effect of wafer-ring gap size and extent of wafer bow on pressure distribution along the ‘edge zone’ of a thermally treated 100-mm wafer (applied wafer pressure of 6 PSI)

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mm

Aver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm

0

1

2

3

4

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mm

Aver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mm

Aver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0.4 mm0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.0 mm0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 1.4 mm0

2

4

6

8

10

12

15(concave)

0 15(convex)

Extent and Direction of Wafer Bow (Micron)

Wafer-Ring Gap ~ 0 mm

Aver

age

Pres

sure

Plu

s &

Min

us 1

-Sig

ma

(PSI

)

Page 153: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

152

Figure 3.16: Simulated von Mises stress for a nominally flat 300-mm wafer at 6 PSI (gap size = 0.4 mm)

0

2

4

6

8

10

0 20 40 60 80 100Radial Position (mm)

von

Mis

es S

tress

(PSI

)

Wafer Modulus = 130,000 MPa

Wafer Modulus = 260,000 MPa

0

2

4

6

8

10

0 20 40 60 80 100Radial Position (mm)

von

Mis

es S

tress

(PSI

)

Wafer Modulus = 130,000 MPa

Wafer Modulus = 260,000 MPa

Wafer Modulus = 130,000 MPa

Wafer Modulus = 260,000 MPa

Page 154: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

153

3.2.4 Concluding Remarks

The above results demonstrate that variations in wafer geometry, as measured by the

overall shape (i.e., extent and direction of bow), nominal diameter and thermal treatment

of the wafers, can significantly affect the extent of pressure experienced by the wafer

during CMP. By applying Preston’s equation to a sampling of the experimental average

pressure and variability data presented above, it may be shown that removal rate can vary

significantly across the wafer surface depending on the extent and direction of wafer

bow, the wafer-ring gap size and the thermal history of the wafer (Preston, 1927).

Solving for removal rate using pressure data obtained from a stationary system can be

justified since pressure data presented in this work mimics those obtained using a

dynamic pressure mapping apparatus as demonstrated by Fujita and Doi (Fujita et al.,

2001).

To provide an example, assuming a Preston’s constant of 1.2 × 10-13 Pa-1 for ILD

CMP using IC-1000 pads, removal rate in the ‘edge zone’ can range from 400 to 800

Å/min for a concave, thermally untreated wafer having a wafer-ring gap size of 1.0 mm

(applied pressure of 2 PSI, and a wafer-pad velocity of 0.62 meters per second) (Olsen,

2002). Removal rate variations are expected to increase by approximately 20 percent for

thermally treated silicon wafers. Additionally, a two-fold increase in removal rate would

be expected at an applied pressure of 6 PSI with all other polishing parameters held

constant.

Page 155: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

154

It has been shown that wafer-ring gap size, wafer shape, and thermal history cause

significant variations in pressure within a given wafer. This fact, couple with the

dependence of pressure on removal rate (i.e., in accordance with Preston’s equation),

implies that each wafer will experience a different local removal rate depending on the

pressure observed at a given location on the wafer. The generalized definition of

WIWNU is as follows (Sugimoto et al., 1995):

100×=average

RR

RRWIWNU σ

(3.2)

In the above equation, for a given number of film thickness measurements, σRR

represents the standard deviation of the removal rate and RRaverage represents the average

removal rate. Based on the results presented in this study, one can expect within wafer

non-uniformity values to range from 10 to 50 percent when considering the pressure non-

uniformities measured at 2 PSI applied pressure for the thermally untreated wafers

described above. Similarly, when applied pressure is increased to 6 PSI one would expect

within wafer removal rate non-uniformity for thermally treated wafer to be as high as 74

percent.

The above results demonstrate that variations in wafer geometry can significantly

affect the extent of WIWNU and ILD removal rate. In addition, these results draw

attention to the importance of adopting tighter manufacturing control limits in order to

minimize WIWNU issues during CMP.

Page 156: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

155

3.3 Estimating the Effective Pressure on Patterned Wafers During STI CMP

3.3.1 Background

It is critical to establish a problem-free approach for STI CMP because STI

technology presents several advantages over the previous LOCOS technology such as

low junction capacitance, near zero-field encroachment and exceptional latch-up

immunity (Gan, 2000). The general structure and property of materials used in STI

technology has caused selectivity issues (i.e., oxide vs. nitride removal rates), nitride

erosion and trench oxide dishing. The aforementioned problems are generally associated

with the overall material properties (i.e., Young’s modulus) of the various STI layers, as

well as variations of local removal rates resulting from the effects of patterned structures

on the actual wafer pressure experienced during CMP.

Patterned wafers pose uniformity problems due to inconsistent planarization times

resulting from variations in die-level pattern density. It is known that for a set of identical

CMP process conditions and wafers with no variations in pattern density (i.e., uniform

and repeated patterns), more material is removed from a wafer with a lower pattern

density than from one with a higher pattern density. When considering a wafer with

significant variations in pattern density, typical polishing processes yield dramatic

variations in local removal rate (Tugbawa et al., 2001). As a result, determining local and

global removal rates becomes difficult, especially when considering that little is known

Page 157: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

156

about the contact mechanisms at the pad–wafer interface. This limitation reduces the

capability of accurately determining the actual pressure experienced by the structures on

the wafer surface.

This study attempts to determine the effective pressure (i.e., the actual pressure

exerted on the structures of a patterned wafer, also known as the envelop pressure) during

STI CMP through a series of controlled temperature STI polishes. Using removal rate

results in conjunction with a simplified Langmuir-Hinshelwood kinetics mechanism for

material removal, this study derives the effective pressure experienced for STI wafers

ranging in pattern densities of 10 to 90 percent (Li et al., 2003).

3.3.2 Experimental Approach

The experiments performed for this study were done at the SNL and incorporated the

use of the SpeedFam IPEC-472 polisher described in Chapter 2.2.

The STI wafers used in the study had pattern densities of 10, 50 and 90 percent and

did not exhibit any die level pattern density variation. On the primary platen, polishing

experiments were performed in-situ at a conditioning pressure of 0.5 PSI. Cabot’s D7300

fumed silica slurry (approximately 12.5 percent by weight) was used in conjunction with

a Rohm and Haas IC-1400 K-grooved pad. The slurry flow rate was maintained at 270

cc/min for all tests and the slurry pH was approximately 11. Polishing tests were done at

applied wafer pressures of 3 and 7 PSI with a back-pressure of 0.2 PSI. Platen and carrier

speeds were set at 30 RPM. The polishing time for all experiments was 90 seconds.

Page 158: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

157

All polishing conditions were repeated at platen temperatures of 10, 23, 35 and 45°C.

The primary platen temperature was controlled using the polisher’s internal heat

exchanger system for platen heating and an external chiller for platen cooling (described

in Chapter 2.2.3). The pad surface temperature was monitored prior to and during each

polish using the IR camera system described in Chapter 2.3.2. Before polishing, the pad

temperature was monitored until a steady state temperature was reached for at least 2

minutes. Pad surface temperature measurements during polishing were acquired using the

technique described in Chapter 2.2.3.

On the secondary platen (intended for buffing), wafer pressure was set to 5 PSI with

the carrier and platen rotating at 10 and 100 RPM, respectively. The buffing step was 30

seconds long and involved the use of Fujimi’s Surfin SSW1 pad and ultra-pure water.

Following each polish, wafers were mechanically scrubbed using PVA brush rollers on

an OnTrak DSS-200 scrubber. Silicon dioxide films were measured for pre- and post

thicknesses using a KLA-Tencor UV-1250 ellipsometer.

All of the STI wafers used in this study began with 100 Å of a thermally grown pad

oxide on a p-type silicon substrate. This was then followed by a 1500 Å silicon nitride

deposition. All wafer sets were then patterned and etched to obtain a trench depth of 3100

Å at pattern densities of 10, 50 and 90 percent. The wafers were then subjected to a

sidewall oxide layer growth of 250 Å via dry oxidation. This was followed by high-

density plasma (HDP) oxide trench fill of 10000 Å. As mentioned before, the reticles

used for the wafers in this study exhibited no variation in oxide density on the die and

Page 159: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

158

wafer level for a given pattern density set (i.e., 10, 50 or 90 percent). This reduced the

possibility for variation in local planarization times on the wafer and die level.

3.3.3 Results and Discussion

Due to the thermal dependence of the Langmiur-Hinshelwood removal rate model

used in this investigation (this model will be described in greater detail in Chapter 5), the

first step towards determining the effective pressure of patterned wafers during CMP was

to ensure that process temperature was independent of pattern density (Li et al., 2003)

Based on the temperature results obtained from polishing experiments for each of the

pattern density sets, the calculated apparent activation energy, E, indicated no

dependence on pattern density. Table 3.2 shows the E values for all three pattern density

sets and it can inferred that the standard error in the activation energies is no more than

2.6 percent. This indicates that despite a nine-fold increase in pattern density (i.e., from

10 to 90 percent), the thermal variations during polishing were not impacted by the

increase in wafer topography. As a result, it can be assumed that there exists only one

apparent activation energy to describe the polishing tests from this study (approximately

0.18 eV) (Sorooshian et al., 2004).

By assuming a single E for this process and using the constants for HDP oxide for all

other necessary terms in Eqn. (1.14), a root finding technique was used to calculate the

effective pressure values for all pattern density polishes at platen temperatures of 10, 23,

35 and 45°C (the values in Tables 3.3 through 3.6 represent an average of four polishes).

Page 160: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

159

Table 3.2: Apparent activation energy values for HDP filled STI wafers of variable pattern density

Table 3.3: Derived effective pressure values for STI polishes at a platen temperature of approximately 10°C. The values represent an average of four individual polishing experiments

Table 3.4: Derived effective pressure values for STI polishes at a platen temperature of approximately 23°C. The values represent an average of four individual polishing experiments

Pattern Density

Apparent Activation Energy (eV)

Standard Deviation (eV)

10% 0.172 0.01850% 0.172 0.06190% 0.182 0.087

Pattern Density

Derived Effective Pressure (PSI) at an Applied Wafer Pressure of 3 PSI

Derived Effective Pressure (PSI) at an Applied Wafer Pressure of 7 PSI

10% 8.15 ± 1.31 13.37 ± 2.3650% 5.12 ± 0.58 10.57 ± 1.3490% 2.90 ± 0.60 8.03 ± 0.65

Pattern Density

Derived Effective Pressure (PSI) at an Applied Wafer Pressure of 3 PSI

Derived Effective Pressure (PSI) at an Applied Wafer Pressure of 7 PSI

10% 8.01 ± 1.36 15.21 ± 4.8950% 4.64 ± 0.34 11.42 ± 0.9490% 3.50 ± 0.17 8.65 ± 0.67

Page 161: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

160

Table 3.5: Derived effective pressure values for STI polishes at a platen temperature of approximately 35°C. The values represent an average of four individual polishing experiments

Table 3.6: Derived effective pressure values for STI polishes at a platen temperature of approximately 45°C. The values represent an average of four individual polishing experiments

Results from Tables 3.3 through 3.6 are summarized as follows:

• Effective pressure values do not represent a perfect contact mechanism between

the wafer and pad (i.e., the product of expected contact area and derived effective

pressure does not match the applied wafer pressure). This is to be expected

considering the multitude and complexity of interactions at the pad-wafer

Pattern Density

Derived Effective Pressure (PSI) at an Applied Wafer Pressure of 3 PSI

Derived Effective Pressure (PSI) at an Applied Wafer Pressure of 7 PSI

10% 8.58 ± 1.47 15.77 ± 1.9150% 4.66 ± 1.94 11.98 ± 1.1090% 3.66 ± 0.18 8.96 ± 0.74

Pattern Density

Derived Effective Pressure (PSI) at an Applied Wafer Pressure of 3 PSI

Derived Effective Pressure (PSI) at an Applied Wafer Pressure of 7 PSI

10% 12.73 ± 0.35 17.80 ± 0.5650% 7.96 ± 0.14 14.14 ± 0.4590% 4.91 ± 0.06 10.19 ± 0.26

Page 162: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

161

interface during CMP. Factors such as interfacial fluid pressure, pad grooving and

process tribology could be some of the main causes for such deviations from an

ideal contact situation between the pad and wafer (Shan et al., 2000; Vlassak et

al., 2004; Zhou et al., 2002).

• Effective pressure converges to the applied wafer pressure as pattern density

increases. As expected with an increase in pattern density, more patterned

structures come into contact with the pad during polishing thus increasing the area

of contact and reducing the pressure to the applied processing value.

• As the platen temperature increases from 10 to 45°C, effective pressure values for

a given pattern density and applied wafer pressure have an increasing trend.

Although the average increase in effective pressure for all the conditions in Tables

2 through 5 is approximately 31 percent, the slight increase can be explained by

considering the effect of heat on pad properties during CMP. Figure 3.17 shows

results from a DMA analysis of an as-received IC-1400 K-grooved pad. The

flexural storage modulus of the pad decreases by approximately 30 percent (from

93 MPa to 65 MPa) as the pad temperature is increased from 10 to 45°C. Since

flexural storage modulus is a means of describing pad softening, it is suspected

that the observed softening allows for a more aggressive conditioning of the pad

surface, thus leading to greater asperities. This in turn, is believed to cause a drop

Page 163: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

162

in the contact area at the pad-wafer interface, thereby creating the shift in the

derived effective pressure.

Figure 3.17: Flexural storage modulus results for as-received IC-1400 K-groove pad. Results were taken at a sampling frequency of 10 Hz

The effective pressure values derived from the above model present some insight

towards the actual prediction of the pressure occurring during CMP for wafers with

patterned structures. The main result of this study was that, regardless of applied wafer

pressure and platen temperature, the ratio of the derived effective pressure to applied

wafer pressure was relatively consistent. Ratios for all patterned wafers studied were

0

10

20

30

40

50

60

70

80

90

100

0 10 20 30 40 50Pad Temperature (°C)

Flex

ular

Sto

rage

Mod

ulus

(MP

a)

Page 164: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

163

approximately 2.2, 1.7 and 1.3 for 10, 50 and 90 percent density wafers respectively. The

stability of these ratios indicated that in cases of a five-fold (i.e., from 10 percent to 50

percent) or nine-fold increase in pattern density (i.e., from 10 percent to 90 percent), the

effective pressure experienced during polishing was not impacted by the pattern density

in a proportionate manner. This result can be a critical contribution in establishing

possible contact mechanisms between the pad and wafer during CMP. Furthermore, with

recent efforts in modeling CMP removal rates and uniformity with blanket and patterned

wafers, the results from this study can provide insight towards initial pressure estimates

for application in such models

3.3.4 Concluding Remarks

This study presents a first generation approximation of the effective pressure

experienced by STI patterned wafers during CMP. Using a simplified Langmuir-

Hinshelwood kinetics mechanism for ILD removal, results from a series of temperature

controlled HDP-filled STI polishes (pattern densities of 10, 50 and 90 percent) indicated

that process temperature was independent of pattern density, thus implying that any

observed differences in removal rate should be a result of pressure differences among

wafers with various pattern densities. As a result of this, a root finding technique enabled

the calculation of effective pressures from the removal rate mechanism used in this study.

Results showed that regardless of applied wafer pressure and platen temperature, the ratio

of the derived effective pressure to applied wafer pressure was relatively consistent. The

Page 165: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

164

stability of these ratios indicated that in cases of a five-fold (i.e., from 10 percent to 50

percent) or nine-fold increase in pattern density (i.e. from 10 percent to 90 percent), the

effective pressure experienced during polishing was not impacted by the pattern density

in a proportionate manner. These findings are believed to have significant implications in

all CMP processes where shear force needs to be controlled or minimized (i.e., for copper

or low-k applications) for a wide range of pattern densities.

Page 166: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

165

CHAPTER 4 – IMPACT OF TOOL KINEMATICS, PAD GEOMETRY AND TEMPERATURE ON THE REMOVAL RATE AND PROCESS TRIBOLOGY DURING ILD CMP

4.1 Motivation

The Freudenberg pad study was developed to provide a complete understanding and

characterization of ILD CMP with respect to changes in pad grooving, pad thickness,

platen set point temperature, slurry flow rate and kinematic process conditions. The

impact of these parameters was investigated with respect to variations in material

removal rate, changes in pad temperature as a function of changing p × V, changes in

mean COF as a function of pad temperature and the tribological mechanisms occurring

during each condition.

One of the primary highlights of this study was to understand the effect of platen set

point temperature on material removal rate and specifically developing an understanding

of the competing thermo-chemical and mechanical involved in the ILD CMP process.

The analyses regarding this aspect of the Freudenberg pad study is presented in Chapter 5

and will apply a new removal rate model based on flash heating to further describe the

above effects.

Page 167: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

166

4.2 Tribology

By definition, tribology is the study of friction, wear and lubrication at interacting

surfaces in relative motion (Merriam Webster Dictionary, 2005). One of the origins of

tribology began in 1886 by Osborne Reynolds. Reynolds began his study of tribology by

developing equations for a flooded bearing with no lubricant flow out of either end of the

bearing. Reynold’s observed that the action of the lubricant surrounding the rotating shaft

creates a fluid pressure buildup via the pulling action of the fluid in the bearing contact

region. Assuming an incompressible fluid (i.e., the fluid density ρ is constant), Reynolds

defined the fluid flow as

( ) )(126)(633

obbobo vvuux

hxhuu

yph

zxph

x−+−

∂∂

+∂∂

−=

∂∂

∂∂

+

∂∂

∂∂

µµ (4.1)

where µ is the bulk fluid viscosity, h is the fluid film thickness, xp

∂∂ and

zp

∂∂ are the

pressure gradients, ua and va are the lower surface velocity components, and ub and vb

represent the upper surface velocity components. It should be noted that Eqn. (4.1) is

derived by the simplification of the Navier-Stokes equations. In the above equation, the

first two terms are named the Poiseuille terms and are used to define the net flow rates

caused by pressure gradients in the area of lubrication. The terms on the right hand side

of Eqn. (4.1) are called the Couette terms. The Couette terms describe the net flow rates

Page 168: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

167

caused by surface velocities. On the right hand side of Eqn. (4.1), the first three

expressions describe the net fluid flow rate resulting from the compressive action at the

bearing surface. The final term in Eqn. (4.1) is an expression represents the net fluid flow

rate caused by local compression (Szeri, 1999).

In order to reach the above form of the Reynolds equation, several assumptions must

be made. The assumptions are as follows:

• Incompressible and Newtonian fluid

• Using dimensional scaling, the length scale of the fluid film thickness is

negligible

• Gravitational and inertial forces are neglected

• No-slip boundary condition at the liquid-solid or gas-solid interface

When the above conditions are applied to Navier-Stokes equations, the resulting

equation is called the Reynolds equation, Eqn. (4.1). Through this derivation, a non-

dimensionless term called the Reynolds number is acquired and it describes the ratio of a

fluid’s inertial forces by a fluid’s viscous forces (Bird et al., 2002). The Reynolds number

is often used to characterize fluid flow as either laminar (Re ≤ 2200) or turbulent (Re >

2200) based on its absolute value. Equation (4.2) is the simplified expression for the

Reynolds number.

2

Re

⋅⋅

=LhLu

µρ (4.2)

Page 169: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

168

In the above equation, u is the fluid velocity, µ is the fluid viscosity, ρ is the fluid

density, h is the fluid film thickness. As seen in Chapter 2, the tool scaling parameter for

platen speed is based on Reynolds number. This is a result of the relationship that can be

established between the bearing analysis done by Reynolds and CMP. In the simplest

sense, one can relate the rotating bearing, entrained fluid and bearing casing in the

Reynolds model to the wafer, slurry and pad used in CMP respectively. Using the

Reynolds number as a scaling parameter in CMP tool design requires one to define the

parameter of h and u in Eqn. (4.2) as the fluid film thickness between the pad and wafer

and relative pad-wafer sliding velocity, respectively (Sundararajan et al., 1999).

Based on the Reynolds number justification of tool scaling, it is possible to evaluated

the tribological effects of CMP through multiple characterization techniques.

4.2.1 Stribeck-Gumbel Curve

The Stribeck curve is used to characterize the three various lubrication regimes

encountered during CMP at the pad-wafer interface. The Stribeck curve is based on the

observed frictional trends of a set of experiments involving a shaft within a lubricated

journal bearing. During the study, an applied load was added to one end of the shaft while

the shaft spun in a single direction within the isolated journal bearing. A schematic of this

set-up can be seen in Fig. 4.1. As the shaft rotated, the shear force of the lubricant

between the shaft and wall of the journal bearing was taken along with the COF. Once

again, COF is defined by the ratio of the shear force to the normal force of the set-up.

Page 170: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

169

By definition the Stirbeck curve is constructed by plotting the COF against the

Hersey number shown in Eqn. (4.3).

pVnumberHersey µ⋅

= (4.3)

In Eqn. (4.3) V is the relative linear velocity of the shaft to the journal bearing, µ is

the lubricant viscosity and p is the applied pressure on the shaft. Note that the Hersey

number has units of length.

Figure 4.1: Journal bearing-shaft set-up for Stribeck model

A typical Stribeck curve is depicted in Fig. 4.2. As seen from the figure, the Stribeck

curve exhibits three distinctive regions of lubrication. Going from left to right on the

curve, the first segment appears nearly horizontal and indicates no change in COF with

respect to an increasing Hersey number. This segment is known as the boundary

lubrication regime and it describes direct body contact between the shaft and journal

bearing. The second segment, which occurs at the onset of the curve and decreases in a

v

vshaft

bearing

load

v

vshaft

bearing

load

Page 171: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

170

steep manner, represents the partial lubrication regime. Partial lubrication describes a

partial levitation of the shaft from the journal bearing. The final segment of the Stribeck

curve (furthest right) is known as the hydrodynamic lubrication regime and describes a

shaft that is completely separated from the journal bearing by a film of lubricant.

Hydrodynamic lubrication often occurs as a result of high shaft velocities and low

applied pressures (Ludema, 1996).

Figure 4.2: Stribeck curve for journal bearing-shaft model

To relate the Stribeck curve to the lubrication mechanisms of CMP, the correlative

arguments made between the bearing experiments in the Reynolds model and CMP must

be used again. Figure 4.3 shows an example of a typical Stribeck curve used for CMP

0.001

0.01

0.1

1

1.0E

-03

1.0E

-02

1.0E

-01

1.0E

+00

Sommerfeld Number 'So'

Coe

fficie

nt o

f Fric

tion

'COF

'

Asperity contact

Partial contact(mixed lubrication)

Hydrodynamic Lubrication

0.001

0.01

0.1

1

1.0E

-03

1.0E

-02

1.0E

-01

1.0E

+00

Sommerfeld Number 'So'

Coe

fficie

nt o

f Fric

tion

'COF

'

Asperity contact

Partial contact(mixed lubrication)

Hydrodynamic Lubrication

Page 172: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

171

systems (Stribeck-Gumbel curve). Similar to the Stribeck curve used in the journal

bearing system, the CMP Stribeck curve is identical in form but it is plotted using the

Sommerfeld number as opposed to the Hersey number on the x-axis. This change will be

discussed in the next section. Going from left to right on Fig. 4.3, the same three

lubrication regimes are observed once again, however the defined contact mechanisms

are different. The boundary lubrication regime describes direct contact between the pad,

slurry particle and wafer. In such a scenario, the height of the fluid film thickness, h,

between the pad and wafer is approximately zero. In CMP applications, operating in

boundary lubrication allows for easier process control since there is very little COF

variation with respect to the So. The drawback to operating in boundary lubrication is

excessive pad wear due to direct body contact between the wafer and pad.

Figure 4.3: Stribeck-Gumbel curve for CMP applications

0.001

0.01

0.1

1

1.0E

-03

1.0E

-02

1.0E

-01

1.0E

+00

Sommerfeld Number 'So'

Coe

ffici

ent o

f Fric

tion

'CO

F'

Boundary Lubricationh ~ Ra

h ~ 0

h >> Ra

Partial Lubrication

Hydrodynamic Lubrication

0.001

0.01

0.1

1

1.0E

-03

1.0E

-02

1.0E

-01

1.0E

+00

Sommerfeld Number 'So'

Coe

ffici

ent o

f Fric

tion

'CO

F'

Boundary Lubricationh ~ Ra

h ~ 0

h >> Ra

Partial Lubrication

Hydrodynamic Lubrication

Page 173: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

172

The partial lubrication regime describes partial contact between the pad, slurry

particles and wafer. In this situation, h is approximately equal to the mean surface

roughness of the pad, Ra. Operating in partial lubrication has the advantage of minimizing

pad wear, however it comes at the cost of difficult process control.

The hydrodynamic lubrication regime (furthest left) represents no contact between the

wafer and pad. In this case, h is much larger than the Ra of the pad. This mechanism

seldom occurs during CMP since it requires excessively high flow rates and

unconventional kinematic conditions to allow for slurry to entrain within the pad-wafer

interface.

4.2.2 Sommerfeld Number

As mentioned earlier, Fig. 4.3 applies the Sommerfeld number, as opposed to the

Hersey number for plotting the Stribeck curve for CMP systems. The primary issue with

the Hersey number was the fact that it resulted in units of inverse length. Since the CMP

set-up at the IPL involved scaling down an industrial grade polisher, it was critical that

the scaling parameters used in tool construction and analysis be dimensionless. Since the

Hersey number possessed units of inverse length a slight mathematical modification was

performed on the parameter to make it dimensionless. The new parameter, also known as

the Sommerfeld number, So, is defined as

Page 174: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

173

effpVSo

δµ

⋅⋅

= , (4.4)

where V is the pad-wafer sliding velocity, p is the applied wafer pressure, µ is the slurry

viscosity and δeff is the effective fluid film thickness between the pad and wafer (Moore,

1975). The resulting plot of COF versus So (Fig. 4.3) is known as the Stribeck-Gumbel

curve (Ludema, 1996).

The new parameter of δeff, which was used to non-dimensionalize the Hersey number,

can be further defined as

aeff R⋅= αδ , (4.5)

where α is a parameter that is calculated to define the overall percentage of ‘up-area’

versus ‘down area’, or groove area, of a polishing pad. Equation 4.6 provides a further

definition of α.

PitchWidthGroovePitch −

=α (4.6)

As it can be inferred from the definition, α varies for each polishing pad groove type

and can be calculated using scanning profilometry or approximated using measurements

by electronic calipers. By definition, a flat polishing pad has an α=1 and all other grooved

pads have α less than one.

It should be noted that the calculation of δeff neglects the fluid layer within the overall

groove area of the pad. The reason this is not accounted for is based on the fact that the

entrained slurry within pad grooving is not suspected to contribute to wafer polishing or

friction during CMP. To fully characterize the fluid film thickness at the pad-wafer

interface during CMP, several parameters must be considered including pad porosity, pad

Page 175: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

174

compressibility, pad-wafer sliding velocity, applied wafer pressure, slurry viscosity, etc.

(Thakurta et al., 2000; Runnels et al., 1994; Mullany et al., 2003; Lu et al., 2000; Levert

et al., 1997). Since the capabilities of measuring some of these parameters falls short of

what is needed, an approximation to the fluid film thickness was suitable for the purposes

of this research.

4.2.3 Coefficient of Friction

Frictional data was taken during polishing at a sampling frequency of 1000 Hz.

Following a one-minute polishing experiment, 60,000 data points would be taken on

average. Friction data acquisition would be initiated just following wafer contact with the

pad and would ensue until the desired polish time. Following each polish, the frictional

data would be averaged and the resulting value would be calculated into a mean COF

value for a certain run. These values would then be used to plot Stribeck-Gumbel curves

for a specific experimental setup to determine the lubrication regime that was occurring

during CMP.

4.3 Freudenberg Pad Study

The Freudenberg pad study entailed the complete investigation of the effect of pad

groove design and pad thickness on the frictional and kinetic attributes of thermal oxide

Page 176: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

175

CMP over a wide range of platen temperatures. Polishing pads were provided by

Freudenberg non-wovens for the sole purposes of this study. Parameters considered in

this study included:

• Pad Groove Design – Flat, XY-groove and Perforated

• Pad Thickness – 1.39 and 2.03-mm

• Platen Set Point Temperatures – 13, 24, 33 and 43°C

• Slurry flow rate – 40 and 120 cc/min

• Wafer Pressure – 2, 4 and 6 PSI

• Pad-wafer sliding velocity – 0.32, 0.64, 0.96 m/s

The overall objectives of this study were as follows:

1. Determine the effect of pad grooving, thickness and temperature on blanket

thermal oxide removal rates and COF

2. Determine the effect of slurry flow rate on blanket thermal oxide removal rates

and COF

3. Determine the apparent activation energy for each process set (i.e., pad groove

and thickness). This is to establish if variations in pad grooving or pad thickness

generate distinct variations in apparent activation energy of the polish process.

Page 177: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

176

Assuming that different consumable sets (i.e., pad material or slurry type) will

yield dissimilar activation energies as a result of their’ material properties, it

would be expected that variations in pad grooving or thickness would not create

significant variations.

4. Calculate fitting parameters for predicting removal rates using the newly

developed Langmuir-Hinshelwood model. These results will be discussed in

Chapter 5.

5. Determine the effect of pad grooving, thickness and temperature on the

lubrication mechanism of the process.

6. Determine the effect of slurry flow rate on the lubrication mechanism of the

process.

7. Determine any possible correlations involving removal rates or process tribology

to the dynamic material properties of the pads used.

The design of experiment involved in study took into account six factors (applied

wafer pressure, sliding velocity, platen set point temperature, pad groove type, pad

thickness and slurry flow rate). Of the six, five were quantitative factors and one (pad

groove type) was a qualitative factor. From the analysis, two responses were acquired

Page 178: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

177

removal rate and COF. Due to the overwhelming number of input and output parameters,

the results from the Freudenberg study have been divided such that logical comparisons

can be made among the results. Results presented in the subsequent sections will

primarily focus on results taken at a platen temperature of 24°C (room temperature),

since these testing conditions are considered more conventional in industry and proved

more consistent during experimentation. Removal rate and thermal results recorded at all

platen temperatures will also be discussed, however they will mainly be included in the

modeling portion of this research in Chapter 5. This chapter will present several sets of

removal rate and Stribeck-Gumbel curves for the study in question, in addition to

accompanied regression analysis which will summarize the main factors effecting the

experimental results shown. Coupled together, the raw data as well as the statistical

results will provide physical explanations of the results.

4.3.1 Experimental Approach

The CMP experiments performed for this study were done at the IPL and

incorporated the use of the scaled polisher described in Chapter 2.1. The acquisition of

COF data for this study have been described in Chapter 2.1.6 and 4.2.3. Platen

temperatures for this study were controlled using the technique described in Chapter

2.1.9.

The IR camera described in Chapter 2.3.2 was used to record the pad surface

temperature prior to and during polishing. As described in that section, the mean process

Page 179: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

178

temperature of a polish was calculated as the average value of the ten temperature points

shown in Fig. 2.23.

Wafers used for the CMP experiments were 100-mm diameter silicon dioxide wafers.

The polishing time per wafer was 90 sec. Polishing experiments were run with in-situ

conditioning at a conditioner pressure of 0.5 PSI. Fujimi’s PL-4217 fumed silica slurry

(approximately 12.5 percent solid by weight with a pH of 11) was used with Freudenberg

pads of each type of groove and thickness described above. Polishing was done at slurry

flow rates of 40 and 120 cc/min. The following load and rotation rate conditions were

used:

• Pad-wafer sliding velocity = 0.32 m/s (40 RPM), Applied wafer pressure = 2, 4

and 6 PSI

• Pad-wafer sliding velocity = 0.64 m/s (80 RPM), Applied wafer pressure = 2, 4

and 6 PSI

• Pad-wafer sliding velocity = 0.96 m/s (120 RPM), Applied wafer pressure = 2, 4

and 6 PSI

All pressure/velocity conditions were run twice in order to assess data repeatability.

All polishing conditions were also run at initial platen set point temperatures of

approximately 13, 24, 33 and 43°C. It should be noted that the platen set point

temperature does not remain constant during polishing, but provides a desired initial

thermal condition for polishing experiments. Prior to polishing, the pad temperature was

Page 180: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

179

monitored until a steady state temperature was reached for at least 2 minutes. As noted

above, the desired platen set point temperature is not the same as the actual temperature

that was recorded using IR during polishing. The set point temperature creates a general

variation in the thermal environment. Frictional heating induced by polishing causes the

local temperature to exceed the initial set point temperature.

Page 181: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

180

4.3.2 Removal Rate as a Function of Tool Kinematics

Figures 4.4 through 4.15 are removal rate plots for ILD films as a function of tool

kinematics (i.e., applied wafer pressure and sliding velocity), as well as pad thickness and

process flow rate. Each set of data was taken under ambient conditions (approximately

24°C). Removal rates have been plotted by each independent velocity in order to

highlight the apparent linear dependence of removal rate with sliding velocity. This

phenomenon will be discussed in further detail in Chapter 5. Since there is some

difficulty associated with determining direct relationships between removal rate and the

multiple input factors from these figures, an interactive statistical regression analysis was

done.

The statistical analysis was completed using Cornerstone® software and involved the

application of the raw data to a 2-level interactive significance model. Table 4.1 presents

single and 2-level input effects in terms of their relative significance on the experimental

removal rate. Note that Table 4.1 shows the relative significance of these parameters in

ascending order, such that smaller values a more significant and larger values are less

significant. Also note that the R-squared value acquired from this regression analysis was

approximately 0.93, which indicates excellent confidence in the data.

From this table it is clear that removal rate is largely affected by the combined effect

of p × V. This result is to be expected considering the fact that p × V is the primary

mechanical force for material removal during CMP. As seen from Figs. 4.4 through 4.15,

a change in either applied wafer pressure or sliding velocity will cause a noticeable

Page 182: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

181

change in removal rate. If one considers these results in conjunction with the flash

heating removal rate model proposed in Chapter 1.5.4, it can be concluded that the

primary driving force for material removal in ILD CMP for this study lies in the

mechanical rate constant, k2. Although both rate terms, k1 and k2, are functions of p × V,

the direct proportional relationship of p × V on k2 is much more significant than that of

k1.

Table 4.1: Statistical regression results for removal rate. Results are listed in ascending order, with the most significance results appearing at the top of the list

Parameter Relative SignificancePressure * Velocity 0.000E+00Groove * Thickness 0.000E+00Groove 2.331E-15Groove * Temperature 1.794E-12Groove * Velocity 8.360E-07Groove * Pressure 1.470E-02Thickness 1.542E-02Temperature * Thickness 1.683E-02Flow Rate * Velocity 1.036E-01Pressure * Thickness 2.858E-01Constant 3.243E-01Temperature 3.869E-01Pressure * Temperature 5.054E-01Temperature * Velocity 5.350E-01Flow Rate * Pressure 5.812E-01Thickness * Velocity 6.552E-01Pressure 6.593E-01Flow Rate * Thickness 6.739E-01Velocity 6.742E-01Flow Rate 9.506E-01Flow Rate * Groove 9.913E-01Flow Rate * Temperature 9.927E-01R-Squared 0.9274Adj R-Square 0.9231RMS Error 205.4110Residual df 458

Removal Rate

Page 183: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

182

Figures 4.4 through 4.6 and Figs. 4.7 through 4.9 show the removal rates of the 1.39-

mm pads at slurry flow rates of 40 and 120 cc/min respectively. In both flow rate

situations, the XY-groove pad generated the highest respective removal rate at each p × V

combination. This was then followed by the flat pad and perforated pad, which showed

the lowest amount of material removal.

This observation, coupled with the information provided in Table 4.1 shows that pad

grooving is another primary factors in determining ILD removal rate. The impact of pad

grooving is shown to occur both singularly and in combination with pad thickness,

temperature, sliding velocity and applied wafer pressure. The underlying effect that

grooving may have on removal rate can stem from a number of factors including its

impacts on slurry transport, heat generation at the pad-wafer interface, and relative extent

of contact during CMP.

Figure 4.4: Removal rate plot for 1.39-mm thick Freudenberg flat pad at a polishing flow rate of 40 cc/min

Page 184: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

183

Figure 4.5: Removal rate plot for 1.39-mm thick Freudenberg XY-groove pad at a polishing flow rate of 40 cc/min

Figure 4.6: Removal rate plot for 1.39-mm thick Freudenberg perforated pad at a polishing flow rate of 40 cc/min

Page 185: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

184

Figure 4.7: Removal rate plot for 1.39-mm thick Freudenberg flat pad at a polishing flow rate of 120 cc/min

Figure 4.8: Removal rate plot for 1.39-mm thick Freudenberg XY-groove pad at a polishing flow rate of 120 cc/min

Page 186: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

185

Figure 4.9: Removal rate plot for 1.39-mm thick Freudenberg perforated pad at a polishing flow rate of 120 cc/min

Figure 4.10: Removal rate plot for 2.03-mm thick Freudenberg flat pad at a polishing flow rate of 40 cc/min

Page 187: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

186

Figure 4.11: Removal rate plot for 2.03-mm thick Freudenberg XY-groove pad at a polishing flow rate of 40 cc/min

Figure 4.12: Removal rate plot for 2.03-mm thick Freudenberg perforated pad at a polishing flow rate of 40 cc/min

Page 188: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

187

Figure 4.13: Removal rate plot for 2.03-mm thick Freudenberg flat pad at a polishing flow rate of 120 cc/min

Figure 4.14: Removal rate plot for 2.03-mm thick Freudenberg XY-groove pad at a polishing flow rate of 120 cc/min

Page 189: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

188

Figure 4.15: Removal rate plot for 2.03-mm thick Freudenberg perforated pad at a polishing flow rate of 120 cc/min

As mentioned before, pad grooving is a qualitative aspect in polishing. In order to

provide a more comprehensible view of how pad grooving impacts removal rate, Figs.

4.16 through 4.21 provide contour plots of experimental removal rate as a function of

applied wafer pressure and sliding velocity for each of the three pad groove types used in

the study, as well as the two varying pad thicknesses. For a given pad thickness, the

graphical results shown in these figures provide further evidence of the dependence of

removal rate on pad groove type. This is especially noticeable at low values of applied

wafer pressure and sliding velocity, as well as the specific case of the 1.39 mm pad

thickness (this may not be as obvious in Figs. 4.4 – 4.15). Discussion as to the impact of

pad grooving on the mechanical and chemical aspects of ILD CMP will be conferred in

Chapter 4.3.3.

Page 190: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

189

Figure 4.16: Predicted removal rate (Å/min) contour plot for the Freudenberg perforated pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

Figure 4.17: Predicted removal rate (Å/min) contour plot for the Freudenberg flat pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

400

600

600

600

00

800

800

800

1000

1000

1000

1000

1200

1200

1200

1400

1400

1600

1600

1800 2000

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

600

600

800

800

800

800

000

1000

1000

1000

1200

1200

1200

1200

1400

1400

1400

1600

1600

18002000

p

Page 191: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

190

Figure 4.18: Predicted removal rate (Å/min) contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

Figure 4.19: Predicted removal rate (Å/min) contour plot for the Freudenberg perforated pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

400

400

600

600

600

600

00

800

800

800

1000

1000

1000

1000

1200

1200

1200

1400

1400

1400

1600

1600

1800

1800

2000

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

400

00

600

600

600

800

800

800

800

1000

1000

1000

1000

1200

1200

1200

1400

1400

1600

1600

1800 2000

p

Page 192: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

191

Figure 4.20: Predicted removal rate (Å/min) contour plot for the Freudenberg flat pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

Figure 4.21: Predicted removal rate (Å/min) contour plot for the Freudenberg XY pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

600600

600

00

800

800

800

000

1000

1000

1000

1200

1200

1200

1400

1400

1400

1600

1600

1800 2000

p

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6Pressure

800

800

1000

1000

1000

200

1200

1200

1200

1400

1400

1400

1400

1600

1600

1600

1600

1800

1800

1800

2000

2000

2200

2200

2400 2600

p

Page 193: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

192

As mentioned earlier, the XY pad resulted in the highest removal rate, followed by

the flat and perforated pads (1.39 mm pad only). To further establish the reason for

increased removal rate with the XY-groove pad, DMA results obtained for each of the

pad types studied showed that the XY-groove pad had the lowest rate of storage modulus

decrease over the range of polishing temperatures (approximately 1.85 MPa/°C).

Comparing this value to those of the flat and perforated pads, which resulted in decreases

of approximately 6.35 and 5.25 MPa/°C respectively, the XY-groove pad maintains

nearly 2.5 times greater rigidity during polishing. As mentioned in prior sections, greater

pad rigidity and hardness directly correlates to high removal rates during polishing, thus

corroborating the results found from Figs. 4.4 through 4.6 and Figs. 4.7 through 4.9.

At the other extreme, the perforated pad showed lower than expected removal rates (

1.39 mm pad only). This results may appear somewhat unconventional since the

perforated pad is expected to yield higher removal rates due to the pads pronounced

ability to transport slurry to the polishing interface (when compared to the flat pad). Upon

this note, it should be mentioned that the pads constructed for this study were not

identical to those used in conventional processing. Slight variations in grooving

dimension could lead to unexpected removal rate results. Furthermore, the relative scatter

associated with each groove type was highest for the flat and perforated grooving. This

could directly imply that a greater variation of slurry transport to the pad-wafer interface

was apparent for those two groove types thus effecting removal rate. This supposition is

also confirmed by the results shown in Table 4.1.

Page 194: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

193

On a final note, Table 4.1 also indicated that platen set point temperature did not have

as great of an impact on removal rate. When compared to other polished films such as

copper, ILD is much less thermally sensitive, thus indicating a less chemically active

polishing process. The results from Table 4.1 confirm this in showing that the mechanics

involved in polishing have a more profound effect on ILD removal rate. In order to

further show the insensitivity of platen set point temperature on ILD removal rates from

this study Figs. 4.22 through 4.24 show contour removal rate plots for the 2.03 mm XY-

groove pad at platen set point temperatures of 17, 30 and 47°C respectively. When one

compares these three figures along with Fig. 4.18 (2.03 mm, XY-groove at 24°C), it is

evident that the variation in removal rate is not as significant as one would expect.

Figure 4.22: Predicted removal rate (Å/min) contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min at 17°C. Note that velocity is reported in RPM and pressure is reported in PSI

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

400

400

600

600

600

00

800

800

800

1000

1000

1000

1000

1200

1200

1200

1400

1400

1400

1600

1600

1800

1800

2000

Page 195: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

194

Figure 4.23: Predicted removal rate (Å/min) contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min at 30°C. Note that velocity is reported in RPM and pressure is reported in PSI

Figure 4.24: Predicted removal rate (Å/min) contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min at 47°C. Note that velocity is reported in RPM and pressure is reported in PSI

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

200 400

400

600

600

600

00

00

800

800

800

1000

1000

1000

1000

1200

1200

1200

1400

1400

1400

1600

1600

1800 2000

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6Pressure

2 00 400

400

600

600

600

600

00

800

800

800

1000

1000

1000

1000

1200

1200

1200

1400

1400

1400

1600

1600

1800 2000

Page 196: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

195

4.3.3 Tribological Mechanisms

Figures 4.25 through 4.72 are Stribeck-Gumbel curves for each set of experiments run

for this study. The figures are separated based on pad groove type, pad thickness and

slurry flow rate. Each figure contains a series of four Stribeck-Gumbel curves

representing the platen set point temperature conditions that were used during testing. It

should be noted that for all the plotted data in Figs. 4.25-4.72, the dependency of slurry

viscosity with temperature was accounted for (Geankoplis, 1993).

Results from each series of figures showed that the predominant tribological

mechanism during polishing was boundary lubrication. This was true regardless of pad

thickness, pad groove type, platen set point temperature or slurry flow rate. It should be

noted that based on some of the results, an argument could be made that some of the

polishing conditions generated hydrodynamic conditions (i.e., Figs. 4.29-4.40). These

special cases were observed with the flat pad only. Although the data may indicate such

hydrodynamic trends, the magnitude of COF data taken under those conditions is far too

great to implicate hydrodynamic mechanisms are occurring with the pad-wafer interface.

Based on this fact, it can be said that all the COF trends indicate boundary lubrication

conditions.

To lend a possible explanation for these trend variations, one must consider the

potential sources of error associated with acquiring COF data during experimentation.

The first point of error may be associated with the viscosity parameter included within

the So parameter. Although changes in viscosity with temperature have been accounted in

Page 197: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

196

the analysis of this data, there still remains several points of uncertainty with regards to

this parameter. First, the viscosity values considered for calculating So in this study are

for that of water. It may be assumed that the variations of slurry viscosity with

temperature are very similar to those observed for water, however, slight discrepancies

may exist between the two fluids which could drive data into showing different trends.

Moreover, several studies have shown that slurry viscosity changes with shearing (Levy

et al., 2003). This may also alter values enough to create different trends.

Another possible source of error involves the effective fluid film thickness parameter,

δeff. The error associated with this parameter lies in its calculation, which assumes that the

wafer and the average of the pad asperity height are always in contact (thus not

accounting for any potential slurry film thickness at the interface).

The final source of error associated with the Stribeck-Gumbel curves lies in the

experimental error associated with COF acquisition during testing. Discontinuities in

slurry flow (via clogging of the slurry line) or human contact with the isolation table or

polisher can both cause changes in friction table movement thus impacting the overall

COF for a polish. Although these events occur very rarely, their occasional incidence can

cause the most significant sources for error.

As in the case of removal rate, the COF data acquired from this study was also

analyzed statistically using Cornerstone® software. The modeling specifications for COF

were identical to those used for removal rate. Table 4.2 presents single and 2-level input

effects in terms of their relative significance on the experimental COF. Once again, note

Page 198: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

197

that Table 4.2 shows the relative significance of these parameters in ascending order,

such that smaller values a more significant and larger values are less significant.

Table 4.2: Statistical regression results for COF. Results are listed in ascending order, with the most significance results appearing at the top of the list

Parameter Relative SignificanceGroove * Pressure 7.772E-16Groove * Thickness 7.437E-10Groove * Temperature 1.122E-05Groove * Velocity 1.742E-04Groove 1.120E-03Pressure * Thickness 7.326E-03Temperature * Velocity 1.295E-01Velocity 1.682E-01Flow Rate * Temperature 1.942E-01Flow Rate 2.030E-01Flow Rate * Thickness 2.244E-01Pressure * Velocity 3.502E-01Temperature * Thickness 4.039E-01Flow Rate * Velocity 5.750E-01Flow Rate * Groove 6.149E-01Thickness 6.417E-01Pressure * Temperature 7.703E-01Flow Rate * Pressure 8.231E-01Pressure 8.821E-01Temperature 8.912E-01Thickness * Velocity 9.838E-01Constant 9.842E-01R-Squared 0.4552Adj R-Square 0.4231RMS Error 0.0578Residual df 458

COF

Page 199: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

198

It should be noted that the R-squared value acquired from the COF regression

analysis was approximately 0.46, which indicates that the data acquired was not as stable

and repeatable as one would desire. The reasons for such a results are described above.

Results from Table 4.2 show that pad grooving largely influences COF. This result is

consistent with those found for removal rate. One argument as to why pad grooving has

such a large impact on COF is that COF itself, is extremely sensitive to the interactions of

the wafer, pad and slurry. By altering the grooving of a pad, one also alters the

interactions that occur at this pad-slurry-wafer interface. This occurs as a result of the

grooves ability to change in slurry transport and consequently the extent of interfacial

lubrication.

Although pad grooving can not be ‘parameterized’ with in the flash heating model of

Chapter 1.5.4, one can still make an argument as to the relative impact of pad grooving

on the mechanical or chemical rate constants of the model. It may be argued that since

COF has a proportional relationship with removal rate, then the factors influencing COF

may also have a direct impact on removal rate. If one looks back to the flash heating

model, it may be inferred that COF, similar to p × V, has a direct influence on the

mechanical rate constant (k2) of the removal rate model. From this one can presume that

the effect of pad grooving, once again, has a more direct impact on the mechanical aspect

of ILD CMP as compare to the chemical aspect.

The above assumptions may also be corroborated with past studies that have

determined that ILD CMP processes are primarily driven by the mechanical actions

involved in the process and not the chemistry (Oliver et al., 2004). To provide a graphical

Page 200: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

199

interpretation of the above stated influence of pad grooving on COF, Figs. 4.25 through

4.30 show contour plots of experimental COF as a function of applied wafer pressure and

sliding velocity for each of the three pad groove types used in the study, as well as the

two varying pad thicknesses.

For a single pad thickness, it is clear that pad grooving has a noticeable affect on COF

as is indicated by the varying contour lines. From the figures one can also notice that the

combination of pad thickness coupled with pad grooving generally yields distinct COF

trends per combination. Coupling the above graphical results along with results generated

in Table 4.2, several factors that may be considered as possible factors in effecting COF

and removal rate results can be eliminated. For example, one would expect factors such

as slurry flow rate or platen set point temperature alone to have an effect on these output

parameters, however Tables 4.1 and 4.2 indicate that these two factors have very little

impact. From this one could suspect that pad grooving is actually impacting multiple

predictors thus creating a macro change in the system. This concept is shown in Tables

4.1 and 4.2 as pad grooving is shown to be significant in several combined effects.

Dissociation of these effects would involve more in depth analysis of the frictional

signals (fast Fourier transforms, FFT), which will be done in the future.

Page 201: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

200

Figure 4.25: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 13°C and a slurry flow rate of 40 cc/min

Figure 4.26: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 24°C and a slurry flow rate of 40 cc/min

Page 202: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

201

Figure 4.27: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 33°C and a slurry flow rate of 40 cc/min

Figure 4.28: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 43°C and a slurry flow rate of 40 cc/min

Page 203: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

202

Figure 4.29: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 13°C and a slurry flow rate of 120 cc/min

Figure 4.30: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 24°C and a slurry flow rate of 120 cc/min

Page 204: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

203

Figure 4.31: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 33°C and a slurry flow rate of 120 cc/min

Figure 4.32: Stribeck-Gumbel curves for 1.39-mm flat pad at a platen set point temperature of 43°C and a slurry flow rate of 120 cc/min

Page 205: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

204

Figure 4.33: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 13°C and a slurry flow rate of 40 cc/min

Figure 4.34: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 24°C and a slurry flow rate of 40 cc/min

Page 206: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

205

Figure 4.35: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 33°C and a slurry flow rate of 40 cc/min

Figure 4.36: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 43°C and a slurry flow rate of 40 cc/min

Page 207: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

206

Figure 4.37: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 13°C and a slurry flow rate of 120 cc/min

Figure 4.38: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 24°C and a slurry flow rate of 120 cc/min

Page 208: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

207

Figure 4.39: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 33°C and a slurry flow rate of 120 cc/min

Figure 4.40: Stribeck-Gumbel curves for 2.03-mm flat pad at a platen set point temperature of 43°C and a slurry flow rate of 120 cc/min

Page 209: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

208

Figure 4.41: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set point temperature of 13°C and a slurry flow rate of 40 cc/min

Figure 4.42: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set point temperature of 24°C and a slurry flow rate of 40 cc/min

Page 210: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

209

Figure 4.43: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set point temperature of 33°C and a slurry flow rate of 40 cc/min

Figure 4.44: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set point temperature of 43°C and a slurry flow rate of 40 cc/min

Page 211: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

210

Figure 4.45: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set point temperature of 13°C and a slurry flow rate of 120 cc/min

Figure 4.46: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set point temperature of 24°C and a slurry flow rate of 120 cc/min

Page 212: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

211

Figure 4.47: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set point temperature of 33°C and a slurry flow rate of 120 cc/min

Figure 4.48: Stribeck-Gumbel curves for 1.39-mm XY-groove pad at a platen set point temperature of 43°C and a slurry flow rate of 120 cc/min

Page 213: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

212

Figure 4.49: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set point temperature of 13°C and a slurry flow rate of 40 cc/min

Figure 4.50: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set point temperature of 24°C and a slurry flow rate of 40 cc/min

Page 214: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

213

Figure 4.51: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set point temperature of 33°C and a slurry flow rate of 40 cc/min

Figure 4.52: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set point temperature of 43°C and a slurry flow rate of 40 cc/min

Page 215: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

214

Figure 4.53: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set point temperature of 13°C and a slurry flow rate of 120 cc/min

Figure 4.54: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set point temperature of 24°C and a slurry flow rate of 120 cc/min

Page 216: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

215

Figure 4.55: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set point temperature of 33°C and a slurry flow rate of 120 cc/min

Figure 4.56: Stribeck-Gumbel curves for 2.03-mm XY-groove pad at a platen set point temperature of 43°C and a slurry flow rate of 120 cc/min

Page 217: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

216

Figure 4.57: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set point temperature of 13°C and a slurry flow rate of 40 cc/min

Figure 4.58: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set point temperature of 24°C and a slurry flow rate of 40 cc/min

Page 218: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

217

Figure 4.59: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set point temperature of 33°C and a slurry flow rate of 40 cc/min

Figure 4.60: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set point temperature of 43°C and a slurry flow rate of 40 cc/min

Page 219: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

218

Figure 4.61: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set point temperature of 13°C and a slurry flow rate of 120 cc/min

Figure 4.62: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set point temperature of 24°C and a slurry flow rate of 120 cc/min

Page 220: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

219

Figure 4.63: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set point temperature of 33°C and a slurry flow rate of 120 cc/min

Figure 4.64: Stribeck-Gumbel curves for 1.39-mm perforated pad at a platen set point temperature of 43°C and a slurry flow rate of 120 cc/min

Page 221: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

220

Figure 4.65: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set point temperature of 13°C and a slurry flow rate of 40 cc/min

Figure 4.66: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set point temperature of 24°C and a slurry flow rate of 40 cc/min

Page 222: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

221

Figure 4.67: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set point temperature of 33°C and a slurry flow rate of 40 cc/min

Figure 4.68: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set point temperature of 43°C and a slurry flow rate of 40 cc/min

Page 223: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

222

Figure 4.69: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set point temperature of 13°C and a slurry flow rate of 120 cc/min

Figure 4.70: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set point temperature of 24°C and a slurry flow rate of 120 cc/min

Page 224: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

223

Figure 4.71: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set point temperature of 33°C and a slurry flow rate of 120 cc/min

Figure 4.72: Stribeck-Gumbel curves for 2.03-mm perforated pad at a platen set point temperature of 43°C and a slurry flow rate of 120 cc/min

Page 225: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

224

Figure 4.73: Predicted COF contour plot for the Freudenberg perforated pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

Figure 4.74: Predicted COF contour plot for the Freudenberg flat pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

0.3080.31

0.31

0.31

0.312

0.312

0.312

.312

0.314

0.314

0.314

0.316

0.316

0.318.32

p

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure0.240.26

0.26

0.28

0.28

0.28

0 .3

0.3

0.3

0.3

0 .32

0.32

0.32

0.32

0 .34

0.34

0.34

0.340.36

0.36

0.36

0.38

0.38

0.4

Page 226: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

225

Figure 4.75: Predicted COF contour plot for the Freudenberg XY pad (2.03 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

Figure 4.76: Predicted COF contour plot for the Freudenberg perforated pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

355

0 .36

0.36

365

0.365

0.365

.37

0 .37

0.37

0.37

0.375

0.375

p

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

0 .3

0.3

0.305

0.305

0.305

0 .31

0.31

0.31

0.31

315

0.315

0.3150.315

0 .32

0.320.32

0.32325

0.3250.325 0.325

p

Page 227: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

226

Figure 4.77: Predicted COF contour plot for the Freudenberg flat pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

Figure 4.78: Predicted COF contour plot for the Freudenberg XY pad (1.39 mm) at a flow rate of 120 cc/min (room temperature). Note that velocity is reported in RPM and pressure is reported in PSI

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure

0.20.22

0.22

0.22

0 .24

0.24

0 .24

0.24

0 .26

0.26

0.26

0.26

0.28

0.28

0.28

0.3

0.3

0.32

40 45 50 55 60 65 70 75 80 85 90 95 100 105 110 115 120Velocity

2

2.5

3

3.5

4

4.5

5

5.5

6

Pressure 28

0.28

0.28

0.28

0.285

0.285

0.285

0.285

0.29

0.29

0.29

0.295

0.295

0.3

p ( ), p

Page 228: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

227

4.3.4 IR Process Temperature as a Function of Tool Kinematics

4.3.4.1 1.39-mm Pad Thickness

This portion of the study focused on the extent of heat generation as a result of using

variable pad grooving and pad thickness for CMP. The analysis looked at the amount of

heat generation from an initial pad temperature ranging from approximately 20 to 25°C.

This analysis would enable one to understand the impacts that pad grooving and pad

thickness could have on heat generation, adsorption and dissipation during polishing as a

function of tool kinematics (i.e., p × V).

Figures 4.79 through 4.81 show the mean IR temperature readings for all three pad

groove types for a pad thickness of 1.39-mm and flow rates of 40 and 120 cc/min. The

observed thermal readings are plotted per polish at each individual pressure and velocity

condition. First, if one focuses on a single pad and observes the variations in temperature

rise for each slurry flow rate condition (40 and 120 cc/min), it can be inferred that the

rises in temperature are slightly higher for 40 cc/min at a p × V value of 39 kPa-m/s. The

mean difference in temperature rise between the two flow rates at 39 kPa-m/s is

approximately 1.8°C. This slight rise can directly be associated to the amount of slurry on

the pad at the high sliding velocity associated with the 39 kPa-m/s condition (120 RPM).

At this high sliding velocity, the slurry distributed on the pad discharges off the pad at a

greater rate, thereby limiting the amount of slurry reaching the interfacial contact region.

Based on this, a lower flow rate condition (i.e., 40 cc/min) would reduce the amount of

Page 229: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

228

slurry reaching the pad-wafer interface thus creating a drier contact mechanism at the

polishing interface. This drier contact effect would consequently create greater heat and

result in a rise of temperature. Though this thermal rise is not truly significant in

magnitude, it still validates the role that slurry plays in the thermal management during

CMP at high kinematic conditions.

Aside from the apparent rise shown at the highest p × V condition, the rises in

temperature at all other p × V values were negligible despite the slurry flow rate. This

indicates that changes in slurry flow rate do not appear to significantly promote or hinder

heat generation during polishing at lower p × V conditions. This is likely due to the fact

that there was no slurry starvation effects occurring at the polishing interface under these

p × V conditions.

Figure 4.79: Mean IR temperature readings for 1.39-mm thick Freudenberg flat pad at polishing flow rates of 40 and 120 cc/min

Page 230: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

229

Figure 4.80: Mean IR temperature plot for 1.39-mm thick Freudenberg XY-groove pad at polishing flow rates of 40 and 120 cc/min

Figure 4.81: Mean IR temperature plot for 1.39-mm thick Freudenberg perforated pad at polishing flow rates of 40 and 120 cc/min

Page 231: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

230

If one considers a single groove type, it is apparent that the rise in temperature, or ∆T,

increases with increasing p × V. This rise in observed pad temperature can be directly

related to the increase in frictional contact at the pad-wafer interface as the pressure and

velocity parameters are increased. Based on results shown later in Chapter 5.3, it can be

shown the COF during CMP increases as the process temperature increases. This

relationship thereby indicates that there is a more vigorous contact mechanism at the

polishing interface, which can directly translate into greater heat generation. This circular

effect between increasing heat and friction can be considered perpetual until other

limiting factors such as heat absorption by slurry, or chemical limitations, create a

threshold for the generation of heat.

Since it has been established that the results in Figs. 4.79 through 4.81 show

negligible variation with respect to slurry flow rate (with the exception at 39 kPa-m/s)

and similar trends with respect to p × V, one can now focus on the variations in ∆T with

respect to variable pad grooving. The average ∆T from the lowest p × V (4 kPa-m/s) to

the highest p × V (39 kPA-m/s) was 9.0 ± 0.9, 7.6 ± 0.9 and 4.6 ± 0.6°C for the

perforated, flat and XY-groove pads respectively. Based on these results, the mechanical

impact on the heat generation was least for the XY-groove pad and greatest for the

perforated pad.

The observed variations in temperature rise for each of these pads may be a result of

two effects. The first is the impact of the ‘up-area’ of each pad groove type. The ‘up-area’

of a polishing pad, α, is the area fraction of the pad that is not grooved. Based on this

definition a flat pad would have an α value of unity (α = 1). The α values for the flat,

Page 232: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

231

perforated and XY-groove pads used in this study were 1.0, 0.94 and 0.72 respectively.

This indicates that a greater extent of pad area is exposed to the wafer during CMP with

flat and perforated pads. Using this fact, one can argue that greater heat will be

mechanically generated with these pads as a result of the increased potential for direct

pad-wafer contact incidences.

The second aspect that could effect the mechanical contribution to temperature rise is

the impact of pad grooving on the flow and transfer of slurry during CMP. Since the XY-

groove pad has direct channels to the edge of the pad this enables slurry to eject from the

pad in an easier fashion. Since the XY-groove pad can facilitate this slurry channeling

effect, freshly dispensed slurry has a much greater ability to replace existing slurry and

interact during the polish. This efficient slurry replacement cycle has a two fold effect:

1. The slurry, which can be treated as water, has a thermal conductivity value of

approximately 0.06 W/m-K. Coupling this with the heat capacities of the

slurry and polishing pad (Cp-slurry ~ 4.184 J/g-K, Cp-pad ~ 1.456 J/g-K), one can

see that the slurry can absorb heat at a greater rate. The ability of slurry to

effectively capture heat generated during polishing, indicates that heat transfer

via the slurry properties and pad grooving can successfully lower temperatures

at the polishing interface (as seen in Figs. 4.79-4.81).

2. Effective slurry replacement and transfer can promote the rate of material

removal. This result can be seen in Chapter 4.3.2.

Page 233: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

232

4.3.4.2 2.03-mm Pad Thickness

Figures 4.82 through 4.84 show the mean IR temperature readings for all three pad

groove types for a pad thickness of 2.03-mm and flow rates of 40 and 120 cc/min. The

observed thermal readings are plotted per polish at each individual pressure and velocity

condition. If one focuses on a single pad and observes the variations in temperature rise

for each slurry flow rate condition (40 and 120 cc/min), it can be inferred that the rises in

temperature are slightly higher for 40 cc/min at a p × V value of 39 kPa-m/s. This result

is identical to those shown for the 1.39-mm pad thickness results in Figs. 4.79-4.81. The

mean difference in temperature rise between the two flow rates at 39 kPa-m/s is

approximately 3°C. As in the 1.39-mm pad thickness results, one can associate this slight

rise in temperature to the amount of slurry on the pad at the high sliding velocity

associated with the 39 kPa-m/s condition (120 RPM). The explanation for this is

described in the prior section. As compared with the results shown in Figs. 4.79-4.81, the

average thermal rise at the 39 kPa-m/s condition is slightly greater for the thicker pads

(2.03-mm). This discrepancy in temperature rise between the two pad thicknesses is

considered to be result of variations during experimentation and can not truly be

associated any specific mechanical or chemical mechanism during CMP. Despite this, the

consistency in thermal variation with respect to slurry flow rate validates the role which

slurry plays in the thermal management during CMP at high kinematic conditions.

In addition to the similarity seen between the 1.39 and 2.03 mm pads at the highest p

× V condition, the 2.03 mm pads also showed similar increasing trends in temperature

Page 234: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

233

rise at all other p × V values. For an individual pad grooving, the observed rising trend in

temperature with p × V was negligible with respect to slurry flow rate. Again, it is

apparent that changes in slurry flow rate do not appear to significantly promote or hinder

heat generation during polishing at lower p × V conditions.

Focusing on the variations in ∆T with respect to variable pad grooving, Figs. 4.82

through 4.84 indicate that the flat pad has the greatest rise in temperature with p × V. The

average ∆T from the lowest p × V (4 kPa-m/s) to the highest p × V (39 kPA-m/s) was 7.9

± 1.3, 11.9 ± 2.0 and 6.2 ± 1.8°C for the perforated, flat and XY-groove pads

respectively. These results appear very similar with regards to groove type as those

shown in Figs. 4.79-4.81 for the 1.39-mm pads. Based on the corresponding relationship

between the groove types for both pad thicknesses, similar practical arguments can be

used to describe the thermal phenomena.

On a further note, it can be said that pad thickness does have a contributing impact on

thermal rises during CMP. In the results for all pad groove types, the progressive rise in

temperature is always greater for the 2.03-mm pads than for the 1.39-mm pads. The rises

in temperature ranged from approximately 0.8 – 4.8°C at the lowest p ×V condition, to

0.3 – 7.9°C at the highest p × V.

Page 235: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

234

Figure 4.82: Mean IR temperature plots for 2.03-mm thick Freudenberg flat pad at polishing flow rates of 40 and 120 cc/min

Figure 4.83: Mean IR temperature plots for 2.03-mm thick Freudenberg XY-groove pad at polishing flow rates of 40 and 120 cc/min

Page 236: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

235

Figure 4.84: Mean IR temperature plots for 2.03-mm thick Freudenberg perforated pad at polishing flow rates of 40 and 120 cc/min

Page 237: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

236

4.3.5 Concluding Remarks

The Freudenberg pad study was developed to provide a complete understanding and

characterization of ILD CMP with respect to changes in pad grooving, pad thickness,

platen set point temperature, slurry flow rate and kinematic process conditions. The

impact of these parameters was investigated with respect to variations in material

removal rate, changes in pad temperature as a function of changing p × V, changes in

mean COF as a function of pad temperature and the tribological mechanisms occurring

during each condition.

Material removal rate results showed that despite the pad groove type or pad

thickness, removal rates appeared linearly dependent with each set of sliding velocities

used during testing. This phenomena will be described in more detail in the following

chapter. Removal rate results, as well as regression analysis, also showed that slurry flow

rate did not appear to have a significant impact on material removal for all pad types.

Regression analysis also showed that removal rate was significantly impacted by p × V

followed by pad groove type. Finally, the removal rate results for the XY-groove pad

showed some dependence with pad thickness. Based on the results, the 1.39-mm pad

removed nearly 1000 Å/min more ILD than the 2.03-mm thickness pad. It is believed that

this effect is due to the increased rigidity of the thinner pad as well as its ability to

circulate slurry within the pad-wafer interface in a more efficient manner.

When focusing on pad temperature rises as a function of various kinematic conditions

and slurry flow rates appeared to have no significant impact on pad heating. The XY-

Page 238: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

237

groove pad showed the lowest rise in pad temperature as compared to the flat and

perforated pads. This is believed to be a result of the pads ability to cool the pad-wafer

interface via enhanced slurry transport. One source of pad temperature rise dependence

lied in pad thickness. Based on the results shown, an increase in pad thickness appeared

to raise the apparent rise in pad temperature anywhere from 0.3–7.9°C. This observation

was true of all pad groove types.

Finally, Stibeck-Gumbel curves for all polishing conditions showed that regardless of

pad groove type, pad thickness, pad temperature or slurry flow rate, all of the tribological

mechanisms occurred within the boundary lubrication regime. As mentioned earlier,

operating in boundary lubrication allows for easier process control since there is very

little COF variation with respect to the So. The major drawback that should be considered

for these pads and future pad designs is the potential for excessive pad wear due to direct

body contact between the wafer and pad. Future studies should attempt to characterize the

rate of wear with respect to each of these pads and investigate other pad groove types as

well as alternate pad materials to minimize these pad wear effects.

Page 239: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

238

CHAPTER 5 – ROLE OF TEMPERATURE DURING CMP

5.1 Motivation

Temperature has often been a neglected aspect of CMP and has been shown to affect

the CMP process. Since CMP is a process that involves a combination of chemical and

mechanical effects, changes in the process temperature can impact these individual

aspects a number of ways. Rises in temperature, either deliberate or via frictional contact,

can enhance chemical reaction rates at the pad-slurry-wafer interface. Furthermore, rises

in temperature can change the bulk polymeric properties of a polishing pad, thereby

either increasing or reducing removal effects. Drops in temperature create a chemically

limited CMP environment. Temperature drops also cause pad hardening, which enhance

the mechanical ability for material removal during CMP.

Thermal rises in CMP often occur as a result of frictional heating at the pad-wafer

interface or heating which is added from an outside source (heat exchangers, etc.)

Cooling during CMP can occur as a result of heat adsorption from the distribution of

fresh slurry during polishing or an external chilling source. Another form of cooling

occurs as a result of a fanning effect of the polishing pad at especially high velocity

polishes (i.e., the pad velocity is so great that heat generated at the pad surface dissipates

to the air via convection).

Heating or cooling is often applied to the platen, wafer or slurry during CMP in order

to achieve certain objectives. Industrially, it is common to find certain CMP process

Page 240: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

239

modules in which polishing platens are cooled to below room temperature

(approximately 10°C) in order to generate stable removal rate results and sustain an

acceptable level of WIWNU (Choi, 2001). Furthermore, thermally enhanced CMP could

aid in prolonging consumable lifetimes or providing more efficient use of the

consumables.

Based on these impacts, understanding the role of temperature in CMP has become

one of the central focuses in this research. Characterizing a CMP process using variable

process temperatures leads to better comprehension of the kinetics involved in material

removal.

A bulk of this work has been done to characterize ILD films during CMP, but

subsequent sections of this dissertation will show several results from copper, as well as

tungsten CMP. Although metal CMP is not the primary focus of this research, the

emergence of metals for IC fabrication, such as copper, has drawn a significant amount of

attention to their’ processes. Furthermore, the metal CMP tests proved essential when

attempting to explain thermal effects during CMP and drawing comparisons with ILD

CMP effects.

Page 241: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

240

5.2 Arrhenius Characterization of ILD and Copper CMP Processes

5.2.1 Background

In recent years, temperature related studies in CMP have been directed towards

understanding the effects on ILD and metal planarization (commonly tungsten). Although

temperature effects are not suspected to impact the ILD planarization process

dramatically, attempts have been made to understand silicon and ILD removal rates at

various polishing temperatures (Kim, et al., 2002; Sorooshian et al., 2003; Karaki et al.,

1978). These studies have been able to show that increases in polishing temperature are

directly proportional to ILD removal rate, but as a combination of several factors,

including the effect of temperature on pad properties, slurry chemistry (i.e., pH) and

wafer-pad contact area.

Thermal characterization of copper polishing has been evaluated with more interest

due to the greater impact of temperature on removal rate and slurry chemistry. Studies

have shown that increasing polishing temperature significantly increases copper removal

(more so than the thermal impacts on ILD) as well as dishing and erosion (Sorooshian et

al., 2003; Karaki et al., 1978; Chiou et al., 1999; Wijekoon et al., 1999; Sasaki et al.,

1998). Thermal CMP characterization has also been investigated from a modeling

perspective. To date, studies have characterized the effects of heat transfer on transient

temperature rises during polishing and the overall steady-state polishing temperatures as

Page 242: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

241

a function of polishing pressures and velocities (White et al., 2003; Hocheng et al., 1999;

Bulsara et al., 1997).

In this study, experimental data involving the effect of polishing temperature on ILD

and copper removal rates is analyzed and fit to a newly defined Preston’s equation, which

considers the thermal contributions to material removal through an Arrhenius expression

(Preston, 1927). With a modification and redefinition of the traditional activation energy

term in the Arrhenius equation, this study presents a new parameter, the combined

activation energy, which will serve as a fundamental characterization parameter

describing the thermal dependence of CMP for various consumable sets used.

5.2.2 Theory

The development of a comprehensive CMP material removal model requires detailed

understanding of two main facets of the process. As the name suggests, CMP is a

combination of mechanical and chemical processes. The former is predominantly

governed by factors such as applied wafer pressure, sliding velocity, as well as various

mechanical attributes of the wafer, slurry, pad, and the conditioner as they relate to the

extent of normal and shear forces and stick-slip phenomena present in the pad-wafer

region. Chemical processes are mostly governed by reaction and dissolution rates in the

pad-wafer region, which in turn are driven by solution pH, ionic strength and the

chemical nature of various additives and abrasives in the slurry. The chemical make-up of

Page 243: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

242

the wafer and pad also play critical roles in establishing the extent of material removal

during CMP.

As described in Chapter 1, removal rate in CMP has been traditionally characterized

using the Preston’s equation shown by Eqn.. (1.7). A more general form of the Preston’s

equation is shown in Eqn.. (5.1) and is used as the basis for the model in this work.

omn RRVpkRR +⋅⋅= (5.1)

In Eqn. (5.1), RR is the material removal rate, k is the Preston’s constant, p is the

applied wafer pressure, V is the pad-wafer sliding velocity, and RRo represents the

dynamic etch rate of the wafer material in the absence of pressure and velocity. The latter

term has been shown to be insignificant for ILD applications, but critical for polishing of

copper and tungsten (Chiou et al., 1999). The exponential parameters n and m are fitted

values that vary based on the consumable set being used. The above equation is grossly

generalized since it relies on essentially one constant, k, to capture and represent all other

chemical and mechanical intricacies of the process.

During CMP, polishing temperatures can rise due to friction, chemical reaction and

dissolution (Kim et al., 2002; White et al., 2003; Bulsara et al., 1997). If sufficient, the

rise in temperature can impact the chemical attributes of material removal (through an

Arrhenius relationship), as well as the mechanical aspects of the process (through a

change in thermo-elastic properties of the pad) (Chiou et al., 1999; Olsen, 2002). This

study introduces a new definition of the Preston’s constant, k, which considers the effect

of polishing temperatures through an Arrhenius relationship and a newly defined

‘thermally independent constant’, κ. In Eqn. (5.2), Ecomb denotes the combined activation

Page 244: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

243

energy of the process, which is not considered to be solely chemical in the traditional

definition of activation energy. By definition, the new combined activation energy term

describes all events, chemical or mechanical, that are impacted by temperature during the

CMP process. Additionally, R and T represent the gas constant and the temperature of the

process, respectively.

⋅=RTEk combexpκ (5.2)

Incorporation of the above definition into Eqn. (5.1) can be further manipulated to

generate a linear relationship between the effective removal rate and the inverse of

temperature. In this study, effective removal rate (RRe) is defined as the component of the

total removal rate at non-zero applied wafer pressures and pad-wafer sliding velocities in

accordance with Eqn. (5.3) below:

oe RRRRRR −= . (5.3)

Through a logarithmic rearrangement, Preston’s equation becomes:

)ln()ln()ln( mncombe UP

RTERR ⋅+

−= κ (5.4)

By plotting the natural log of the effective removal rate against the inverse of

temperature, an Arrhenius relationship for the process can be observed.

Page 245: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

244

5.2.3 Experimental Approach

The CMP experiments performed for this study were done at the IPL and

incorporated the use of the scaled polisher described in Chapter 2.1. Platen temperatures

for this study were controlled using the technique described in Chapter 2.1.9. Desired

platen set point temperatures of 17, 25, 35 and 45ºC were used for the polishes of this

study. Prior to polishing the desired pad temperature was kept at steady state for 5

minutes.

The IR camera described in Chapter 2.3.2 was used to monitor the pad surface

temperature prior to and during polishing. As described in that section, the mean process

temperature of a polish was calculated as the average value of the ten temperature points

shown in Fig. 2.23.

All polishes were performed at wafer pressures ranging from 2 to 6 PSI and sliding

velocities ranging from 0.31 to 0.93 m/s. Polishes were repeated at least twice per

condition. Across the range of pressures and velocities noted above, for a given isotherm,

the total fluctuation in temperature was no more than 4ºC.

ILD Polishing: ILD polishes were performed for one minute on 100-mm blanket

silicon wafers with 500-nm of thermally grown silicon dioxide. Two different pads

(without any sub-pads) were employed for the experiments: Rohm and Haas’s IC-1000 k-

groove pad and JSR’s WSP soft non-porous pad. Fujimi PL-4217 slurry was paired with

the Rohm and Haas pad while JSR’s CMS1101 slurry was used when polishing with the

WSP pad. The slurries used in conjunction with the above pads were quite similar to one

Page 246: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

245

another in terms of the type of abrasive (i.e., fumed silica), the slurry pH (approximately

10.8), the type of base (KOH), abrasive content (approximately 12.5 percent by weight),

and mean aggregate size (approximately 110-nm). In all cases, slurry flow rate was kept

constant at 80 cc/min.

Prior to ILD polishing, the pad was conditioned for 30-minutes using slurry. In the

case of IC-1000 pads, conditioning consisted of a perforated 100-grit diamond disc

conditioner. For the WSP pad, conditioning was performed using a ring-type 325-grit

diamond disc conditioner. Conditioning parameters for both pad types involved a

pressure of 3.5 kPa (0.5 PSI), rotational velocity of 20 RPM and disk sweep frequency of

30 per minute. Conditioning was followed by a 5-minute pad break-in with a dummy

wafer. On a final note, ILD experiments using the IC-1000 pad were performed in-situ,

whereas tests using the WSP pad were performed ex-situ with conditioning intervals of

one minute. After polishing, all wafers were rinsed, dried and measured for oxide

thickness using a 40 point scan on a Filmetrics F20 tool.

Copper Polishing: Copper polishes were performed for two minutes on 100-mm

copper metal discs with a purity of 99.95 percent. Rohm and Haas’s IC-1000 XY-groove

pad (without a sub-pad) was used in conjunction with Fujimi’s PL-7102 slurry containing

hydrogen peroxide as the oxidizer (pH~6.8). All polishes were run at a flow rate of 155

cc/min. The pad was conditioned with ultra-pure water using identical conditions as those

described for ILD polishing with an IC-1000 pad and followed by a 5-minute pad break-

in with a dummy wafer. Following polishing, all discs were rinsed, dried and measured

for mass loss using the Ohaus analytical scale described in Chapter 2.3.3.

Page 247: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

246

5.2.3 Results and Discussion

ILD Polishing – Figure 5.1 shows the Arrhenius relationship associated with ILD

polishing using Rohm and Haas and JSR pads. As expected, the resulting material

removal rate data for various values of p × V result in linear relationships with the inverse

of temperature. The slopes associated with each p × V setting are near equivalent to one

another. This analysis indicates that in spite of differences in polishing conditions (i.e.,

different values of pressures and velocities) the effect of temperature on material removal

impacts all p × V conditions in a similar and relative manner (i.e., all lines in Fig. 5.1 are

parallel).

Using Eqn. (5.4) in conjunction with the slopes obtained from Fig. 5.2, the combined

activation energy for each process condition can be calculated. The Arrhenius

relationship associated with ILD polishing with Rohm and Haas and JSR pads

consistently resulted in average combined activation energies of 0.06 ± 0.04 and 0.07 ±

0.04 eV, respectively. As mentioned before, the dynamic etch rate of silicon dioxide is

zero (i.e., RRo equals zero, and the best line fit between ILD removal rate and p × V goes

through the origin). Due to this, one can assume that the predominant phenomenon

responsible for oxide removal is a combination of chemical and mechanical interactions

as they relate to the hydration of the oxide surface in the presence of the alkaline slurry

followed by mechanical abrasion by the pad and abrasive particles. As described in

Chapter 1.4.2, it could be postulated that the chemical contribution to the combined

Page 248: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

247

activation energies calculated above, represent the reaction between the siloxane bonds

and water (Eqn. (1.2)) as they occur in CMP (Cook, 1990).

By manipulating Eqn. (5.2), the equation below can be used to evaluate the relative

contributions of the thermally dependent and thermally independent factors in each

system.

RTEk comb−= )ln()ln( κ . (5.5)

Based on Eqn. (5.5), the ILD polish process with the Rohm and Haas pad shows an

average thermally dependent contribution to the Preston’s constant of approximately 8

percent.

Figure 5.1: Arrhenius relationship for 1-minute ILD polish on Rohm and Haas IC-1000 k-groove pad (a) and JSR WSP pad (b). Note that units of m/s were used for the RR term on the y-axis

(a)

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

R)

p x U = 5.15E03 (Pa m/s)

p x U = 1.55E04 (Pa m/s)

p x U = 4.64E04 (Pa m/s)

(b)

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

R)

p x U = 5.15E03 (Pa m/s)

p x U = 1.03E04 (Pa m/s)

p x U = 3.09E04 (Pa m/s)

(a)

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

R)

p x U = 5.15E03 (Pa m/s)

p x U = 1.55E04 (Pa m/s)

p x U = 4.64E04 (Pa m/s)

(a)

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

R)

p x U = 5.15E03 (Pa m/s)

p x U = 1.55E04 (Pa m/s)

p x U = 4.64E04 (Pa m/s)

(b)

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

R)

p x U = 5.15E03 (Pa m/s)

p x U = 1.03E04 (Pa m/s)

p x U = 3.09E04 (Pa m/s)

(b)

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

R)

p x U = 5.15E03 (Pa m/s)

p x U = 1.03E04 (Pa m/s)

p x U = 3.09E04 (Pa m/s)

Page 249: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

248

Similarly, the average thermally dependent contribution associated with the process

using the JSR pad is calculated to be about 9 percent. This slight increase is possibly

caused by the enhanced chemical properties of the JSR pad due to the dependence of the

solubility of water-soluble particles on temperature. This hypothesis is currently under

detailed investigation.

Copper Polishing – Figure 5.2 shows the Arrhenius relationship corresponding to

various values of p5/6 × V1/2 (i.e., for each respective process condition) at a slurry flow

rate of 155 cc/min. Note that the exponential values of 5/6 and 1/2 on the pressure and

velocity terms, respectively, are associated with the Tseng and Wang model of the

Preston’s equation. The Tseng and Wang model was used in conjunction with these data

due to the inherent nature of non-linear removal rates associated with copper polishing

(Tseng et al., 1997).

In order to overcome potential reactant depletion problems (i.e., slurry starvation),

removal rate experiments were done using a relatively high slurry flow rate of 155 cc/min

at various pad temperatures. As seen from Fig. 5.2, the average combined activation

energy for a copper polishing process was calculated to be 0.52 ± 0.06 eV. As in the case

of ILD, a hypothetical surface reaction for copper removal can be associated to the above

combined activation energy. Although the mechanism for copper removal has not yet

been fully developed, one could hypothesize that copper removal with a peroxide based

slurry could occur as a sequence of Eqns. (5.6) and (5.7) (Lu, et al., 2003).

OHOCuOHCu 222222 +↔+ (5.6)

OHCuOOHOCu 2222 2 +↔+ (5.7)

Page 250: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

249

As mentioned before, RRo plays a critical role in copper CMP due to the information

it provides about the true chemical contribution to the polishing process. Unlike the ILD

process in which temperature impacts polishing through the combined effect of chemical

and mechanical interactions (i.e., RRo equals zero), the role of temperature in copper

CMP can be used to independently determine the relative contributions during pure

chemical interactions as well as the combined chemical and mechanical interactions. This

concept can be explained by comparing the values contained in the exponential terms of

Eqn. (5.8), the Arrhenius rate equation describing dynamic etch rates, and Eqn. (5.2). In

Eqn. (5.8), k’ is a product of the Arrhenius pre-exponential constant and an undetermined

characteristic length, which provides the dynamic etch rate units of length per time.

Furthermore, Ea is the chemical activation energy for the copper removal mechanism

during CMP.

)exp(*

RTEkRR a

o−

⋅= (5.8)

Based on the previous assumption that the combined activation energy is a parameter

involving both the chemical and mechanical events in CMP impacted by temperature, it

is hypothesized that the chemical activation energy observed in Eqn. (5.8) is also a

contributing factor to the Ecomb parameter. As a result of this hypothesis, a relative

comparison between the combined activation energy and the chemical activation energy

can potentially provide some insight as to which facet of CMP, chemical or mechanical,

is dominant during polishing.

As seen in Fig. 5.3(a), an extrapolation of typical removal rate and p × V data and the

determination of the y-intercept allows one to roughly determine the value of RRo without

Page 251: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

250

resorting to performing actual dynamic copper etch rate studies in a beaker. As a result,

this extrapolation represents only the true chemical removal of copper in the absence of

any mechanical effects. Through a series of controlled temperature runs, values of RRo

(extrapolated based on the above technique) can be plotted as a function of the inverse of

temperature to provide yet another Arrhenius relationship, which now represents the

theoretical chemical activation energy of the system, Ea. Figure 5.3(b) indicates that the

true theoretical chemical activation energy of the copper system is 0.36 ± 0.19 eV.

Figure 5.2: Arrhenius relationship for a 1-minute copper polish on IC-1000 XY-groove pad at a flow rate of 155 cc/min

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

Re)

p x U = 1.03E04 (Pa m/s)

p x U = 3.09E04 (Pa m/s)

Page 252: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

251

From the aforementioned hypothesis, a simple calculation can be made to determine

the relative contribution of the chemical effects of the process (i.e., Ea) to the combined

activation energy. It is estimated that the true chemical contribution to the combined

activation energy is nearly 69 percent, thus indicating that copper polishing is greatly

impacted by chemical effects alone. From a qualitative point of view, this finding is not

surprising, however the fact that Arrhenius-type analysis can quantify the extent of the

chemical effects is remarkable. It is envisaged that analysis of this nature can help design

improved slurries for metal CMP applications.

Figure 5.3: (a) Removal rate data for a 1-minute copper polish at 25°C, indicating the extrapolated dynamic etch rate across the y-axis. (b) Arrhenius relationship for the theoretically pure chemical activation energy using various dynamic etch rates at various pad temperatures. Note that units of m/s were used for the RR term on the y-axis

(a) (b)

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

Ro)

P x u = 0

y = 1.35E-12x + 9.43E-10R2 = 9.88E-01

0.E+00

2.E-09

4.E-09

6.E-09

8.E-09

1.E-08

0 2500 5000 7500 10000

P5/6 x u1/2 (Pa m/s)

Rem

oval

Rat

e (m

/s)

RRo ~ 550 Å / min

p5/6 × V1/2 (Pa-m/s)

(a) (b)

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

Ro)

P x u = 0

(b)

-23.0

-22.0

-21.0

-20.0

-19.0

-18.0

0.0031 0.0032 0.0033 0.0034 0.0035

1/T (K-1)

ln (R

Ro)

P x u = 0

y = 1.35E-12x + 9.43E-10R2 = 9.88E-01

0.E+00

2.E-09

4.E-09

6.E-09

8.E-09

1.E-08

0 2500 5000 7500 10000

P5/6 x u1/2 (Pa m/s)

Rem

oval

Rat

e (m

/s)

RRo ~ 550 Å / min

p5/6 × V1/2 (Pa-m/s)

y = 1.35E-12x + 9.43E-10R2 = 9.88E-01

0.E+00

2.E-09

4.E-09

6.E-09

8.E-09

1.E-08

0 2500 5000 7500 10000

P5/6 x u1/2 (Pa m/s)

Rem

oval

Rat

e (m

/s)

RRo ~ 550 Å / min

p5/6 × V1/2 (Pa-m/s)

Page 253: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

252

Referring to the general concept of thermally dependent and thermally independent

contributions to copper CMP, similar analysis can be performed by applying Eqn. (5.5).

Results indicate that the average thermally dependent contribution associated with the

copper process is 62 percent (based on the more accurate high flow rate data sets).

Compared to the ILD CMP results, the thermal contribution to the copper process is

approximately nine times greater. This sharp difference is justified through previous

discussions where purely chemical effects were shown to account for roughly 69 percent

of the entire material removal phenomena.

5.2.4 Concluding Remarks

By modifying the generalized Preston’s equation to employ an Arrhenius argument,

this study introduced a new parameter described as the combined activation energy.

Based on this new parameter, the impacts of pad temperature on the chemical and

mechanical facets of CMP were capable of being quantified into a single defined value,

which showed the differences between various dependencies arising from the use of

different of consumable sets. For ILD polishing, results indicated that the Rohm and Haas

IC-1000 pad with Fujimi PL-4217 slurry resulted in a combined activation energy of 0.06

eV. This was slightly lower than the 0.07 eV generated by the JSR pad and slurry.

Copper polishing resulted in a combined activation energy of 0.52 eV, which indicates a

more thermally dependent process.

Page 254: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

253

Furthermore, results indicated that information regarding the relative magnitude of

the thermally dependent and thermally independent aspects of the ILD and copper CMP

processes (as triggered by controlled thermal changes in the system) can be critical in

designing novel pads and slurries with controlled chemical and mechanical attributes.

5.3 Effect of Process Temperature on Coefficient of Friction During CMP

5.3.1 Background

Recent publications have provided fundamental insight concerning the role of process

temperature on material removal rate during the CMP of ILD and copper films

(Philipossian et al., 2003; Cornely, 2003; Kim et al., 2002; Sorooshian et al., 2004;

Hocheng et al., 1999; White et al., 2003). These in turn have led to the development of

thermal models describing the generation of heat as a result of frictional effects caused by

shaft work at the pad-slurry-wafer interface (White et al., 2003; Li et al., 2003). In these

cases, given that thermal effects are small in magnitude (i.e., up to 8° C) and transient in

nature (i.e., temperature rises during the first 30 seconds of a 75-second polishing

process, and remains constant thereafter), it is critical to determine how sustained thermal

inputs (i.e., in the form of external platen heating or cooling) affect the frictional

characteristics of ILD and copper CMP processes (Borucki et al., 2003). Information of

this nature is critical for establishing pad life and designing pads with stable dynamic

Page 255: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

254

mechanical properties (Olsen, 2002). Furthermore, for ILD CMP, it has been shown that

removal rate (i.e., as represented by Preston’s constant, k) and average COF are linearly

related at slurry abrasive concentrations of 9 percent or larger for a variety of pad types

(Olsen, 2002).

This work has been based on the premise that during planarization, temperature

increases in the pad-slurry-wafer region cause the dynamic mechanical properties of

CMP pads to change, thus changing the COF. Such phenomena require a fundamental

understanding of the magnitude of forces involved in the process in order to refine

existing removal rate and lubrication models.

5.3.2 Experimental Approach

The CMP experiments performed for this study were done at the IPL and

incorporated the use of the scaled polisher described in Chapter 2.1. The acquisition of

COF data for this study have been described in Chapter 2.1.6. Platen temperatures for this

study were controlled using the technique described in Chapter 2.1.9. Desired platen set

point temperatures of 12, 22, 35 and 45ºC were used for the polishes of this study. Prior

to polishing the desired pad temperature was kept at steady state for 5 minutes.

The IR camera described in Chapter 2.3.2 was used to record the pad surface

temperature prior to and during polishing. As described in that section, the mean process

temperature of a polish was calculated as the average value of the ten temperature points

shown in Fig. 2.23.

Page 256: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

255

Polishes were performed at wafer pressures ranging from 2 to 6 PSI and a sliding

velocity of 0.31 m/s. Over the range of pressures and velocities noted above, for a given

isotherm, the total fluctuation in temperature was no more than 3°C. In the case of ILD

experiments, polishes were performed for 90 seconds on 100-mm blanket silicon wafers

having 500 nm of thermally grown silicon dioxide. Rohm and Haas’s IC-1000 K-groove

porous polyurethane pad (without a sub-pad) in conjunction with Fujimi’s PL-4217 slurry

were employed for the tests (pH~11). Slurry flow rate was kept constant at 155 ml/min in

order to avoid any mass transfer issues. For copper CMP experiments, polishes were

performed for 120 seconds on 100-mm copper discs with a purity of 99.95 percent. Rohm

and Haas’s IC-1000 XY-groove pad (without a sub-pad) was used in conjunction with

Fujimi’s PL-7102 slurry containing hydrogen peroxide as the oxidizer (pH~6.8). Slurry

flow rate was maintained at 155 ml/min. Prior to ILD polishing, the pad was conditioned

for 30-minutes using 12.5 percent by weight fumed silica slurry. For copper polishing,

ultra-pure water was used to condition the pad. In both cases, conditioning consisted of a

100-grit diamond disc (perforated design) at a pressure of 3.5 kPa (0.5 PSI), rotational

velocity of 20 RPM and disk sweep frequency of 30 per minute. Conditioning was

followed by a 5-minute pad break-in with a dummy wafer.

DMA analysis of the polishing pads used in this study were completed using the

described method from Chapter 2.3.1.1.

Page 257: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

256

5.3.3 Results and Discussion

Figure 5.4 shows the effect of process temperature on COF for both ILD and copper

CMP processes. Increasing platen temperature increases COF for ILD and copper

processes. Each data point in Fig. 5.4 represents an average of eight polishes at various

pressures and velocities. In all cases, standard deviation was less than ± 5 percent. This

trend is believed to be due to the effect of higher temperatures on the mechanical

properties of the pad.

Figure 5.4: Dependence of COF as a function of average pad temperature for 90-second ILD and copper polishes at multiple wafer pressures and pad-wafer velocities

0.0

0.1

0.2

0.3

0.4

0.5

0.6

0 10 20 30 40 50

Average Pad Temperature (°C)

Coe

ffici

ent o

f Fric

tion

ILD

Copper

Page 258: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

257

Figures 5.5 and 5.6 present DMA results for both the flexural storage modulus and

tan δ of both pad types. As described in Chapter 2.3.1.1, flexural storage modulus is a

parameter used to describe the bulk softening of the pad while tan δ is a measure of the

toughness of the material. In Fig. 5.5, the flexural storage moduli of both the K-groove

and the XY-groove pads used in ILD and copper polishing decrease as a function of

temperature. In the case of the k-groove pad, the flexural storage modulus decreases from

377 to 218 MPa (approximately 42 percent) over the range of temperatures set during

polishing (all results were obtained at 10 Hz). For the XY-groove pad, the decrease

occurs from 667 to 491 MPa (approximately 26 percent). Since these percentages

correspond to the softening of the pad, for a constant normal force, a softer pad will

experience a greater shear force at the leading edge of the wafer during polish.

The reason for this is two-fold. First, on a macro-scale the softer pad will become

further compressed at the leading edge of the wafer in response to the applied normal

load. This compression will subsequently result in the formation of a barrier, which the

wafer has to overcome continuously during its motion on the surface of the pad. Second,

on a micro-scale, the pad asperities in the wafer-pad region will tend to collapse due to

the relative softness of the pad. These two phenomena combine to increase the net shear

force between the wafer and the pad and hence the COF for the softer pad as compared to

the harder pad.

In Fig. 5.4, the observation that COF is more sensitive to temperature for copper

polish cannot yet be explained due to the presence of several confounding factors: (1)

ILD polishing was done with a K-groove pad, while copper polishing was performed

Page 259: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

258

with a XY-groove pad. Based on the differences in groove type, slurry transport between

the pad and wafer may have affected COF. (2) Different slurries (i.e., different

chemistries, additives, abrasive types and abrasive concentrations) were used for copper

and ILD experiments. Since the hypothetical mechanisms for ILD and copper removal

vary with respect to the specific slurry chemistries used, temperature could play a

significant role in affecting shear force. For example, in the case of copper CMP

processes, the formation and subsequent removal of a copper oxide layer has been shown

to be impacted by changes in pad temperature to a much greater extent than with ILD

(Sorooshian et al., 2004). For example, one possibility would be that at higher

temperatures, the oxide that grows on the Cu is thicker or potentially rougher. This effect

is very likely to happen at high temperatures and increase COF.

An additional factor that may be contributing to the increase in COF as a function of

process temperature is the increasing trend in tan δ as a function of temperature (Fig.

5.6). For a polymeric material sliding on a rigid body, tan δ, which is the ratio of flexural

storage modulus and loss modulus, is shown to be directly proportional to shear force

(Fs) as shown in the equation below (Moore, 1975)

δσ tan

HWFs . (5.9)

In Eqn. (5.9) W is the applied load for the process, σ is the maximum stress on a given

area and H is the hardness of the polymer. By qualitatively applying the above

relationship to CMP, as a first order approximation for a given load and process

temperature, one can assume the ratio of stress to material hardness to be constant.

Page 260: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

259

Figure 5.5: Flexural storage modulus results for as-received IC-1000 K-groove and XY-groove pads. Tests were performed over the range of temperatures observed during polishing experiments

Figure 5.6: Tan δ results for as-received IC-1000 K-groove and XY-groove pads. Tests were performed over the range of temperatures observed during polishing experiments

0

100

200

300

400

500

600

700

800

0 10 20 30 40 50Pad Temperature (°C)

Flex

ular

Sto

rage

Mod

ulus

(MPa

) K-grooveXY-groove

0.05

0.06

0.07

0.08

0.09

0.1

0 10 20 30 40 50Pad Temperature (°C)

Tan δ

K-grooveXY-groove

Page 261: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

260

By these approximations the increase in COF can in part be explained by a 25 and 14

percent increases in tan δ for the ILD and copper CMP processes, respectively.

5.3.4 Concluding Remarks

Based on a series of controlled temperature polishes, COF results indicate that a rise

in polishing temperature creates a rise in shear force for both ILD and copper CMP.

DMA results yielded supporting evidence towards this observation. Physical correlations

drawn between pad-wafer contact and pad softening show that rises in shear force could

result from the wafer having to overcome a formation barrier generated by the softening

of the pad surface.

Furthermore, it was shown that the rise in COF could partially be explained through a

proportionality relationship of shear force and tan δ. By assuming a constant ratio of pad

stress to pad hardness, the aforementioned relationship yields a fairly accurate first order

approximation of the observations seen from this study. As to the variations in COF rise

with temperature for ILD and copper polishing, one could attribute these dissimilarities to

variations in the consumable sets used (i.e., pad grooving and its affect on slurry

transport, slurry type and concentration), and/or the affect of temperature on the

mechanism of ILD and copper removal.

Page 262: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

261

5.4 Revisiting the Removal Rate Model for Oxide CMP

5.4.1 Objective

The following study seeks to explain removal rate trends and scatter in thermal

silicon dioxide and PE-CVD tetraethoxysilane-sourced silicon dioxide (PE-TEOS) CMP

using an augmented version of the Langmuir-Hinshelwood mechanism. The proposed

model is an extension of the study presented in Chapter 5.2 and combines the chemical

and mechanical facets of ILD CMP and hypothesizes that the chemical reaction

temperature is determined by transient flash heating.

The removal rate models described in Chapter 1.5.4 illustrate certain observed

Prestonian and non-Prestonian trends in ILD removal rates. Stein and Hetherington

(S&H) evaluated the relative predictive capabilities of these and other models by

comparing the best fit of each model with measured blanket thermal oxide (TOX)

removal rates (Stein et al., 2002). Polishing was performed on a Speedfam-IPEC 472

polisher using Rohm and Haas IC-1400 K-groove pads and Fujimi SS-12 fumed silica

slurry. It was found that the average fitting errors using the Preston, Zhang & Busnaina,

Tseng & Wang, and Zhao & Shi models were approximately 370, 493, 271 and 440

Å/min respectively. To gauge the severity of these fitting errors, one of the experimental

conditions in S&H (6 PSI, 60 RPM) was repeated four times. Fig. 5.7 shows data from

S&H in which a mean rate of 3079 Å/min was measured at the repeated condition with a

standard deviation of 67 Å/min (approximately 2 percent 1-sigma variation). The model

Page 263: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

262

fitting errors are thus significantly larger than the replication error. However, when the

Stein and Hetherington TOX removal rate data in Fig. 5.7 are grouped according to

sliding velocity, one can see distinct trends in the removal rate with increasing pressure.

This suggests that what at first appears to be random scatter may be systematic.

Figure 5.7: Thermal silicon dioxide removal rate data from S&H grouped by pad-wafer sliding velocities (Stein et al., 2002)

This study will explain trends and scatter in TOX and thermally annealed PECVD

PE-TEOS removal rates using an augmented version of the Langmuir-Hinshelwood

mechanism. The proposed model includes the chemical and mechanical aspects of ILD

CMP and hyothesizes that the chemical reaction temperature is dominated mainly by

0

1000

2000

3000

4000

5000

6000

0 20 40 60 80 100p x V (kPa m/s)

Ther

mal

Oxi

de R

emov

al R

ate

(Å/m

in)

30 RPM

45 RPM

60 RPM

75 RPM

90 RPM

p × V (kW/m2)

0

1000

2000

3000

4000

5000

6000

0 20 40 60 80 100p x V (kPa m/s)

Ther

mal

Oxi

de R

emov

al R

ate

(Å/m

in)

30 RPM

45 RPM

60 RPM

75 RPM

90 RPM

p × V (kW/m2)

Page 264: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

263

transient flash heating. The accuracy and predictive capability of the proposed removal

rate model confirms that the data scatter described above is systematic and explainable.

To emphasize this point, we also use a method of visualizing removal rate data that

suggests, apart from any particular interpretative theory, that a smooth and easily

interpretable surface underlies the apparent scatter.

5.4.2 Experimental Approach

The CMP experiments performed for this study were done at SNL and incorporated

the use of the SpeedFam-IPEC 472 polisher described in Chapter 2.2. Platen temperatures

for this study were controlled using the technique described in Chapter 2.1.9.

The IR camera described in Chapter 2.3.2 was used to record the pad surface

temperature prior to and during polishing. As described in that section, the mean process

temperature of a polish was calculated as the average value of the ten temperature points

shown in Fig. 2.23.

Wafers used for the CMP experiments were 150-mm diameter silicon wafers with 2-

mm blanket TOX or PE-TEOS films. The polishing time per wafer was 180 sec. On the

primary platen, polishing experiments were run with in-situ conditioning at a conditioner

pressure of 0.5 PSI. Cabot D7300 fumed silica slurry (approximately 12.5 percent solid

by weight with pH~11) was used with a Rohm and Haas IC-1400 K-grooved polishing

pad. The IC-1400 K-grooved polishing pad surface is patterned with closely spaced

concentric grooves that are about 0.2 mm wide, 0.5 mm deep and approximately 1 mm

Page 265: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

264

apart. The slurry flow rate was 270 cc/min for all runs. The following load and rotation

rate conditions were used:

• Pad-wafer sliding velocity = 0.5 m/s, Applied wafer pressure = 3 and 7 PSI

• Pad-wafer sliding velocity = 1.00 m/s, Applied wafer pressure = 6 PSI

• Pad-wafer sliding velocity = 1.51 m/s, Applied wafer pressure = 3, 5 and 7 PSI

For each film material polished, all pressure/velocity conditions were run twice in

order to assess data repeatability. All polishing conditions were also run at initial platen

set point temperatures of approximately 13, 24, 33 and 43°C. It should be noted that the

platen set point temperature does not remain constant during polishing, but provides a

desired initial thermal condition for polishing experiments. Prior to polishing, the pad

temperature was monitored until a steady state temperature was reached for at least 2

minutes. As noted above, the desired platen set point temperature is not the same as the

actual temperature that was recorded using IR during polishing. The set point temperature

creates a general variation in the thermal environment. Frictional heating induced by

polishing causes the local temperature to exceed the initial set point temperature.

On the secondary platen, used for buffing, the wafer pressure was 5 PSI and the

carrier and platen rotated at 10 and 100 RPM, respectively. The buffing step was for 30

seconds on a Fujimi Surfin SSW1 pad using ultra-pure water. Following each polish,

wafers were mechanically scrubbed using PVA brush rollers in an OnTrak DSS-200

Page 266: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

265

scrubber. SiO2 film pre- and post thicknesses were measured using a KLA-Tencor UV-

1250 ellipsometer.

5.4.3 Experimental Results

Measured removal rates, including all replicates, are shown in Fig. 5.8 as a function

of p × V for TOX and PE-TEOS wafers polished at room temperature (platen temperature

approximately 24°C). Figure 5.8 will be referred to as a Preston plot. In Fig. 5.8(a), data

points are labeled pV1-pV6 in order of increasing p × V for further reference in this and

similar figures. From Fig. 5.8, it is apparent that PE-TEOS always polished faster than

thermal oxide. It is likely that this is due to a difference in material density. While the

rates appear to be considerably scattered, both materials show the same qualitative

variation in rate with p × V. For TOX, the RMS difference between replicates at fixed p

× V was 150 Å/min, or 8.7 percent of the mean rate at each p × V condition. For PE-

TEOS, it was 76 Å/min or 3.5 percent of the mean rate. Thus, the variation in rate at each

p × V condition was much less than the variation in rate between conditions, indicating

that the pattern of removal rate change between p × V conditions is systematic and

reproducible. At the lowest pressure, 3 PSI (pV1, pV3), the removal rate decreases with

increasing rotation rate; this difference also exceeds the replication error, thereby

indicating that both the PE-TEOS and TOX polishing data have features that are similar,

systematic and considerably non-Prestonian.

Page 267: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

266

Figure 5.8: Room temperature removal rates for (a) thermal oxide and (b) PE-TEOS

Figure 5.9 shows an alternative method of plotting wear data originally presented by

Lim and Ashby (Lim et al., 1987; Williams, 1999). See also the use of this kind of plot

by Lefevre et al., (Lefevre et al., 2002). In this figure, contours of PE-TEOS removal rate

are plotted as a function of pressure on one axis and velocity on the other. The plot is

constructed by triangulating the individual (p,V) points and using the triangulation to

linearly interpolate the rate data. Triangle sides are shown for convenience using light

lines; individual (p,V) points lie at the corners of the triangles. Mean removal rates are

used at each point. Figure 5.9 will be referred to as a Lim-Ashby plot or wear plot. Unlike

the Preston plot, the Lim-Ashby plot shows the separate influences of p and V rather than

presupposing a dependence of rate solely on p × V. It is this presupposition that produces

the appearance of scatter in the Preston plot. For the PE-TEOS data, it is apparent that the

0

1000

2000

3000

4000

0 20 40 60 80pV (kW/m2)

Ther

mal

Oxi

de R

emov

al R

ate

(Å/m

in)

0

1000

2000

3000

4000

0 20 40 60 80pV (kW/m2)

PE

-TEO

S R

emov

al R

ate

(Å/m

in)

pV13 PSI, 30 RPM

pV67 PSI, 90 RPM

pV55 PSI, 90 RPM

pV46 PSI, 60 RPM

pV33 PSI, 90 RPM

pV27 PSI, 30 RPM

TOX PE-TEOS

(a) (b)

0

1000

2000

3000

4000

0 20 40 60 80pV (kW/m2)

Ther

mal

Oxi

de R

emov

al R

ate

(Å/m

in)

0

1000

2000

3000

4000

0 20 40 60 80pV (kW/m2)

PE

-TEO

S R

emov

al R

ate

(Å/m

in)

pV13 PSI, 30 RPM

pV67 PSI, 90 RPM

pV55 PSI, 90 RPM

pV46 PSI, 60 RPM

pV33 PSI, 90 RPM

pV27 PSI, 30 RPM

TOX PE-TEOS

(a) (b)

Page 268: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

267

removal rate is pressure-dependent at all velocities and is weakly dependent on velocity

at a fixed pressure.

Figure 5.9: Lim-Ashby contour plot of the PE-TEOS removal rates in Fig. 5.8(b). The contour interval is 500 Å/min. The grey lines show a triangulation of the individual (p,V) pairs used in the experiment in Fig. 5.8(b). The triangles are used for linear interpolation of the measured rates

Figure 5.10 shows the dependence of the PE-TEOS polish rate on the platen set point

temperature. At all platen temperatures, the rate follows the same pattern of variation

with p × V as at room temperature. For all of the PE-TEOS data as a group, differences

between replicates averaged 65 Å/min or 3.0 percent in variation with exact ranges of 46-

76 Å/min and 1.1 percent to 4.4 percent variation. Thus, the pattern of variation with p ×

V is highly reproducible. At the highest p × V, the removal rate increases strongly with

platen temperature, evidence that the rate is being influenced by a thermally-activated

process. At the lowest p × V, the removal rate still has some temperature dependence but

1000 Å/min

3000 Å/min

2000 Å/min

1000 Å/min

3000 Å/min

2000 Å/min

Page 269: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

268

the strength of the dependence is much weaker. Figure 5.11 plots the removal rate data

from Fig. 5.10 against 1/kT, where T is the mean pad temperature from IR measurements.

Figure 5.10: PE-TEOS removal rate as a function of p × V and platen temperature set point. Data were not obtained at 41 and 52 kW/m2 at a platen set point of 13°C

As described in previous sections, the mean pad temperature is the overall average of

the readings taken at all ten sample locations over the duration of a polish. Because of

frictional heating, this temperature is different from the platen set point temperature. It

can be seen from this figure that plots of the log of the removal rate vs. 1/kT imply

different activation energies at each fixed p × V. Based on this, one can call this the

0

1000

2000

3000

4000

5000

0 20 40 60 80pV (kW/m2)

PE

-TE

OS

Rem

oval

Rat

e (Å

/min

) 43°C33°C24°C13°C

Page 270: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

269

apparent activation energy and the physical significance of this parameter will be

discussed later.

All of the trends of rate with p × V and platen temperature set point were also

observed for thermal oxide. TOX polish rate data are shown in Fig. 5.12.

Figure 5.11: PE-TEOS removal rates (see Fig. 5.10) vs. the inverse of the mean pad temperature (i.e., the average recorded IR pad temperature over the entire duration of a polish) rather than the platen set point. Data are separated by p × V. Adjacent pairs of points at each p × V are replicates

Page 271: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

270

Figure 5.12: Thermal silicon dioxide removal rate as a function of p × V and platen temperature set point. Data were not obtained at 41 and 52 kW/m2 for a platen set point of 13°C

5.4.4 Theory

The starting point for the theoretical explanation of the experimental data is a

modified Langmuir-Hinshelwood model (or flash heating model), which is explicitly

written as

pVcAepVcAeM

RRp

kTEp

kTEw

+= −

/

/

ρ. (5.10)

0

1000

2000

3000

4000

5000

0 20 40 60 80pV (kW/m2)

Ther

mal

Oxi

de R

emov

al R

ate

(Å/m

in)

43°C33°C24°C13°C

Page 272: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

271

This model requires knowledge of the temperature, T, at which the controlling

hydrolyzed layer formation reaction takes place. The sole extension of this model is that

for T, the functional form

pVVTT aa )/(β+= , (5.11)

where Ta is the platen set point temperature and b and a are constants. As shown in

Appendix A, the factor b/Va in Eqn. (5.11) arises from a wafer-averaged model for

asperity flash heating and from the velocity dependence of the fraction of heat that is

conducted into the pad.

Equations (5.10) and (5.11) comprise the entire model that will be used here.

Analogous to the customary practice for Preston’s equation and the models described in

the introduction, the model will be applied as a compact model in which parameters are

extracted from data. There are five independent unknown parameters: A, E, cp, and the

parameters a and b in the temperature model. It should be noted that in the absence of

knowledge of the temperature at the reaction site (as contrasted with the pad or platen

temperature), one can not, in general, expect to determine the true activation energy E of

the controlling reaction. In order to address this matter, a procedure described in is used

in which E is considered an independent variable and values of the remaining parameters

are determined as functions of E (Borucki et al., 2004). This procedure has the advantage

of providing some information about the certainty with which the other parameters can be

known. In this case, however, heated platen data also allow us to estimate E.

Following this method, Figs. 5.13, 5.14 and 5.15(a) show the least squares error and

best fitting values of A, cp, a, and b that were extracted from the room-temperature PE-

Page 273: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

272

TEOS data in Fig. 5.8(b) using a downhill simplex optimization algorithm for E between

0.25 and 2.0 eV (Press et al., 1992). It can be seen from Fig. 5.13(a) that the least squares

fitting error is uniformly between 95 Å/min and 110 Å/min for all values of E. This

compares well with the 76 Å/min RMS replication error for this data. Surprisingly, the

uniformity of the fitting error indicates that for the purposes of describing data collected

at a single platen temperature with this model, it is not really necessary to know E. The

values of cp (5.8 – 6.1 x 10-9 moles/J, see Fig. 5.14(a)) and a (1.62 – 1.64, see Fig.

5.13(b)) also show very little variation, indicating that one can know these parameters

with some confidence independent of E. As seen in Fig. 5.14(b), the pre-exponential

factor A for the reaction rate k1 shows compensating exponential growth as E increases.

Figure 5.13: (a) Least squares fitting error of the augmented Langmuir-Hinshelwood model to the PE-TEOS data in Fig. 5.8. (b) The temperature model velocity exponent, a

(a) (b)(a) (b)

Page 274: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

273

Figure 5.14: (a) The mechanical removal rate coefficient cp and (b) The reaction rate pre-exponential A of the model in this work

Figure 5.15: (a) The temperature increase proportionality constant β and (b) the required reaction temperature rise for the six p × V conditions in the PE-TEOS data

The proportionality factor b (Fig. 5.15(a)) for the temperature rise in Eqn.. (5.11)

decreases with E, indicating that larger values of E correspond to lower required reaction

temperatures. This is seen in Fig. 5.15(b), where the presumed reaction temperature is

plotted as a function of E for all p × V conditions used in the PE-TEOS polishing

(a) (b)(a) (b)

(a) (b)(a) (b)

Page 275: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

274

experiments. Fig. 5.15(b) also shows, for comparison, the temperature at which water

would boil. Figure 5.16 compares the model fit with the room temperature (24°C) PE-

TEOS removal rate data at the minimum and maximum values of E considered. It can be

seen that the fit is exceptional over this wide range of E. Figure 5.17(a) shows the model

estimates of the ratio k1/k2 of the chemical rate to the mechanical rate as a function of E

for each p × V condition. Rate ratios are consistently ordered, independent of E, and the

balance of rates ranges from very mechanically limited (k1/k2 » 1, pV2) to slightly

reaction-rate limited (k1/k2 ≈ 0.4 , pV3).

Next, consider the heated and chilled platen PE-TEOS polishing data. In order to

model this data, we use the parameterization A(E), cp(E), a(E), and b(E) found above for

the room temperature data and vary only E and the platen temperature Ta in Eqn.. (5.11).

Since condition pV3 is the most reaction-rate limited (Fig. 5.17(a)), it should provide the

best estimate of E. Based on this E is selected at this condition by minimizing the least

square error between the model and the measured rates at the four platen temperatures.

The model then provides rates at all other values of p × V that serve as an indicator of

predictive capability. Following this procedure, the estimated energy at pV3 was found to

be E = 0.49 ± 0.08 eV (95 percent confidence interval). The resulting rate predictions at

other values of p × V are shown in Fig. 5.18. Except at pV5, where the range of variation

is overestimated, the model provides moderately good extrapolation of the rates observed

on the heated or chilled platen. This agreement can be improved by further optimization

on the entire data set or by separate parameter extraction at each individual temperature.

Page 276: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

275

By plotting the modeled removal rate at different platen temperatures against the

measured mean pad temperature, one can extract model predictions of the apparent

activation energy. The result of this is compared with the measured apparent activation

energies in Fig. 5.17(b). It is noted that the apparent activation energies have no

fundamental physical significance – only the real activation energy E has fundamental

physical meaning. The apparent energies come about because the process has a different

balance of mechanical and chemical rates for different combinations of p and V. For k1/k2

» 1, the process is more mechanically limited and consequently has less thermal

sensitivity. For a completely mechanically-limited process, the apparent activation energy

is zero. For a totally reaction limited process the apparent activation energy is E. In the

absence of measurement errors, the apparent energy should lie between zero and E and

should approach E as the process becomes more reaction limited. The ordering of the

apparent energies by k1/k2 in Fig. 5.17(b) is consistent with this picture.

The analysis described above was also applied to the TOX data in Fig. 5.8(a). The

model and measured thermal oxide polish rate data are compared in Fig. 5.19. One can

see that the fit of the model to the room temperature data is accurate and the prediction of

rates at other platen set points, based on an activation energy extracted at the most

thermally limited p × V condition (again pV3), is comparable to or better than the

performance for PE-TEOS. Model parameters are summarized in Table 5.1. The

activation energy for TOX was estimated to be 0.53 ± 0.18 eV (95 percent confidence

interval). Since the confidence intervals for TEOS and TOX overlap, the activation

energies for the two materials are not indistinguishable on the basis of this data.

Page 277: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

276

Figure 5.16: Comparison of the fit of the model of Eqns. (5.10) and (5.11) with room temperature PE-TEOS data at the largest and smallest values of E considered

Figure 5.17: (a) Plot of the model estimate of the ratio k1/k2 of the chemical rate to the mechanical rate as a function of E for each p × V condition used in the PE-TEOS data in Fig. 5.8(b). (b) Plot of the measured and calculated apparent activation energies for the PE-TEOS data from Fig. 5.11 as a function of the mean of the ratio k1/k2 at each p × V condition

(a) (b)(a) (b)

Page 278: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

277

Figure 5.18: Comparison of the model with PE-TEOS data at different platen temperatures using E from polishing condition pV3 (~31 kW/m2)

Figure 5.19: Comparison of the model in this work (solid symbols) with TOX removal rate data at different platen temperature set points (See Fig. 5.12) using the activation energy at the most thermally limited condition (pV3)

Page 279: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

278

Table 5.1: Modeling parameters extracted in the thermal studies from Sandia National Laboratory study. (*) denotes a parameter whose value was assumed rather than extracted. Two sets of parameters are reported for the thermal oxide data from S&H extracted using eight randomly selected points and values extracted using all of the points

5.4.5 Discussion and Conclusions

The Langmuir-Hinshelwood model (Eqn. (5.10)), augmented by the thermal model

(Eqn. (5.11)), provides a simple yet accurate description of both the PE-TEOS and TOX

data reported here. The agreement with data supports our interpretation that these oxide

polishing data span a range of behavior from mechanically-limited polishing to a regime

where thermal effects are significant. One can see that the scatter in the data is actually a

result of competition between the two mechanisms; it is only the use of data visualization

that assumes that the rate depends only on the product p × V that produces the impression

of scatter. The Lim-Ashby plot is more general in that it makes no assumption about the

functional dependence of rate on p and V.

To reinforce this thesis and further test the applicability of the model, the above

analysis was applied to the larger set of thermal oxide polishing data collected by S&H

using a different set of consumables (Stein et al., 2002). The data and fit with the current

Data Set/Figure E (eV) A (moles/m2-sec) cp (moles/J) a b (K/Pa-(m/sec)1-a) RMS Error Å/min (%)TEOS / Fig. 5.8(b) 0.49 2320 5.65E-09 1.63 1.96E-03 103 (9.0 %)TOX / Fig. 5.8(a) 0.53 7970 4.73E-09 1.60 1.76E-03 121 (13 %)TOX [9], 8pt / Fig 5.21 0.53* 153000 5.46E-09 1.71 6.87E-04 52 (3.6 %)TOX [9], 16pt 0.53* 79900 5.31E-09 1.82 1.05E-03 107 (5.8 %)

Page 280: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

279

model are shown on a standard Preston plot in Fig. 5.20. In the fitting procedure, half of

the data points were selected at random to use for calibration and half were reserved to

assess the predictive capability of the model. It may also be seen from Fig. 5.20 that the

model agrees well with data at the eight calibration points (RMS error 52 Å/min vs. s =

67 Å/min at the replicated point). Predictions for the eight data points not included in the

calibration fall within the data symbol in seven out of eight cases. The Lim-Ashby plot of

the data is shown in Fig. 5.21. Figure 5.21 clearly has more utility than Fig. 5.20 for

estimating the polish rate at (p,V) combinations not considered in the experiment. Fig.

5.22 shows what the Lim-Ashby plot would have looked like for the experiment had the

polishing behavior been perfectly Prestonian. It can be seen that corresponding contours

are steeper in Fig. 5.22 than in Fig. 5.21. In Fig. 5.23, a map of the ratio of the chemical

rate k1 to the mechanical rate k2 calculated with the model is shown. The map indicates

that the removal process is mechanically limited at conditions on the left side of the map

and that mechanical and chemical rates are nearly balanced on the right side.

While the temperatures implied for the PE-TEOS data by the thermal Eqn.. (5.11) at

E = 0.49 eV may seem high (Fig. 5.15(b)), the temperature rise is consistent with the

magnitude that might be expected of a flash temperature. The form of the temperature

model and magnitudes of the parameters extracted from data are also consistent with a

the more detailed flash heating analysis in Appendix A. It should be noted that

excessively high temperatures would be precluded by temporary, localized vaporization

of the slurry. The observation that the measured pad temperature rise at the trailing edge

is much smaller than the flash temperature is related to the fact that most of the pad

Page 281: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

280

surface is not directly heated by friction. The total volume of fluid between the wafer and

pad available to receive the energy also moderates the temperature observed outside of

the wafer: the larger the available volume, the lower the observed pad temperature.

Figure 5.20: Preston plot of TOX polishing data from S&H (open circles and squares). A theoretical fit to the data with the current model is also shown (solid triangles). See also Table 5.1. The fit was performed using a randomly selected subset of the data (circles) – the match with the remaining data (squares) provides a measure of predictive capability

Finally, it was observed that the model presented in this study explains many of the

effects that previous authors have addressed. For example, taken by themselves, data

points at a fixed sliding speed and variable pressure in Fig. 5.8 often extrapolate to a

point on the p × V axis that suggests a nonzero threshold pressure (Fig. 5.24). The current

model suggests that this threshold behavior is not real. Similarly, considering subsets of

data points at constant pressure and variable V, one can find examples of sublinear

Page 282: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

281

dependence of rate on V. The present model also provides a unified explanation of this

kind of behavior.

Figure 5.21: Lim-Ashby plot of the thermal oxide polishing data in Fig. 5.20. The grey lines show a triangulation of the individual (p,V) pairs used in the experiment in Fig. 5.20. Contour interval: 500 Å/min

5000 Å/min

4000 Å/min

3000 Å/min

2000 Å/min

1000 Å/min

5000 Å/min

4000 Å/min

3000 Å/min

2000 Å/min

1000 Å/min

Page 283: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

282

Figure 5.22: Lim-Ashby wear plot showing how the data from Fig. 5.21 would have looked had the removal rate been perfectly Prestonian (i.e., if all points had been on the regression line with no scatter). The contour lines are linear approximations to hyperbolic arcs of the form p × V = const. The triangles are used for linear interpolation of the measured rates. Contour interval: 500 Å/min

5000 Å/min

4000 Å/min

3000 Å/min

2000 Å/min

1000 Å/min

5000 Å/min

4000 Å/min

3000 Å/min

2000 Å/min

1000 Å/min

Page 284: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

283

Figure 5.23: Map of the ratio of chemical rate k1 to mechanical rate k2 derived from the best fit of the Langmuir-Hinshelwood model to the data from Stein and Hetherington (see Figs. 5.20 and 5.21). Material removal is severely mechanically limited in the upper left hand corner of the map. Toward the right side of the map, chemical and mechanical rates are more equally balanced

2510

20

40

80

2510

20

40

80

Page 285: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

284

Figure 5.24: Apparent pressure threshold behavior at constant V and sublinear velocity behavior at constant p in the PE-TEOS data from Fig. 5.8(b) compared with extrapolations from the current model. The upper model extrapolation is performed at constant pressure (7 PSI) and variable speed. The lower model extrapolation is at constant speed (90 RPM) and variable pressure. The isolated point at p × V~44 kW/m2 (6 PSI, 60 RPM) lies on neither extrapolation because the removal rate depends on p and V individually rather than just on the product p × V. At any fixed p × V, a range of rates is possible

6 PSI60 RPM6 PSI60 RPM

Page 286: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

285

5.5 Additional Flash Heating Removal Rate Model Applications

In the course of the research done for this chapter, the development and application of

the flash heating removal rate model proved extremely successful in the prediction of

removal rates for ILD CMP results. As a result of these outcomes, the flash heating

model described in Eqns. (5.10) and (5.11) were applied to results from other studies,

specifically those from Chapter 4 and a series of tungsten CMP experiments done at

Sandia National Laboratories. As it will be shown, variations in the consumable sets and

polishing films (i.e., ILD or metal) did not despair the predictive accuracy of the flash

heating removal rate model.

5.5.1 Application of Flash Heating Removal Rate Model on Tungsten CMP

The CMP experiments performed for this study were done at SNL and incorporated

the use of the SpeedFam-IPEC 472 polisher described in Chapter 2.2. Platen temperatures

for this study were controlled using the technique described in Chapter 2.1.9.

The IR camera described in Chapter 2.3.2 was used to record the pad surface

temperature prior to and during polishing. As described in that section, the mean process

temperature of a polish was calculated as the average value of the ten temperature points

shown in Fig. 2.23.

Page 287: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

286

Wafers used for the CMP experiments were 150-mm diameter silicon wafers with

9000 Å film of blanket tungsten. The polishing time per wafer was 180 sec. On the

primary platen, polishing experiments were run with in-situ conditioning at a conditioner

pressure of 0.5 PSI. Rohm and Haas’s MSW-2000 A and B alumina slurries

(approximately 7 percent solid by weight) were used with a Rohm and Haas IC-1400 K-

grooved polishing pad. The slurry pH was approximately 5 and the slurry flow rate was

255 cc/min for all runs. The following load and rotation rate conditions were used:

• Pad-wafer sliding velocity = 0.5 m/s, Applied wafer pressure = 3 and 7 PSI

• Pad-wafer sliding velocity = 1.00 m/s, Applied wafer pressure = 3 and 6 PSI

• Pad-wafer sliding velocity = 1.51 m/s, Applied wafer pressure = 3, 5 and 7 PSI

All pressure/velocity conditions were run twice in order to assess data repeatability.

All polishing conditions were run at initial platen set point temperatures of approximately

13, 24, 33 and 43°C. Note that the platen set point temperature does not remain constant

during polishing, but provides a desired initial thermal condition for polishing

experiments. Prior to polishing, the pad temperature was monitored until a steady state

temperature was reached for at least 2 minutes. As noted above, the desired platen set

point temperature is not the same as the actual temperature that was recorded using IR

during polishing. The set point temperature creates a general variation in the thermal

environment. Frictional heating induced by polishing causes the local temperature to

exceed the initial set point temperature.

Page 288: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

287

On the secondary platen, used for buffing, the wafer pressure was 5 PSI and the

carrier and platen rotated at 10 and 100 RPM, respectively. The buffing step was for 30

seconds on a Rohm and Haas Politex pad using a diluted Cabot D7300 fumed silica

slurry (approximately 1 percent by weight). Following each polish, wafers were

mechanically scrubbed using PVA brush rollers in an OnTrak DSS-200 scrubber. Pre-

and post-thickness measurements for the tungsten films were done using a KLA-Tencore

four point probe.

5.5.1.1 Results and Discussion

Similar to the ILD removal rate results obtained from Chapter 5.4, tungsten removal

rate results, shown in Fig. 5.25, also showed deviations from the expected Prestonian

behavior. Using the same physical and theoretical arguments described in Chapter 5.4, it

can be said that the observed non-Prestonian behavior is a result of the competing effects

of the thermo-chemical and mechanical interactions during CMP. A stronger argument

for this hypothesis can be made for tungsten CMP, as well as other metal processes. As

shown in Chapter 5.2, metals such as copper show a more significant dependence on

temperature during CMP because the metal CMP process is predominately driven by the

chemical formation and subsequent removal of an oxidized passivation layer. If the

temperature of the CMP process is increased, the chemical activity at the polishing

interface could impact the formation and removal process favorably (increase removal

rate) or adversely (decrease removal rate).

Page 289: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

288

Figure 5.25: Tungsten removal rate as a function of p × V and platen temperature set point. Data were not obtained at 25 kW/m2 for platen set points of 13°C and 24°C, as well as 87 kW/m2 for a platen set point of 24°C

The removal rate results shown in Fig. 5.25 show some deviation from what is to be

expected with the rises and falls in process temperature. In general, one would expect that

as the platen temperature increases, the removal rate would increase as well. This was not

the case at several p × V values. One plausible reason for these result variations may be

explained by the fact that these CMP experiments were completed over a six-month time

span. This may suggest that such deviations in the removal rate could be associated to

unnoticeable changes of the tool or consumables. For example, the first set of runs

performed for this study were completed over the summer season, whereas the second set

0

1000

2000

3000

4000

5000

6000

0 20 40 60 80 100pV (kW/m2)

Tung

sten

Rem

oval

Rat

e (Å

/min

) 43°C33°C24°C13°C

Page 290: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

289

of runs were performed over the winter. Based on this, it could have been possible that

the change in ambient temperature could have impacted the slurry or pad performance,

thereby impacting the resulting removal rates.

Using the same analytical principles shown in Chapter 5.2, Arrhenius characterization

of the tungsten process at SNL indicated that the process had an apparent activation

energy of 0.47 ± 0.09 eV. It should be noted that the apparent activation energy is only an

approximation of the true activation energy of the process. When applying the apparent

activation energy of 0.47 eV with the proposed flash heating model described in Chapter

5.4, least square approximations of the data showed that the optimal values for the

applied model were as follows: A = 436.3 moles/m2-sec, cp = 1.52 × 10-8 moles/J, β =

1.40 × 10-3 K/Pa-(m/sec)1-a and a = 0.8.

Using these parameters, the accuracy in predicting tungsten removal rate for all pad

temperatures was approximately 295 Å/min. Figures 5.26 through 5.29 show the relative

accuracy of predicted removal rates with those obtained experimentally. The range in

RMS was from a minimum of 200 Å/min (at Tpad = 13°C) to a maximum of 377 Å/min

(at Tpad = 33°C). The reason for such a large deviation in removal rate predictability (i.e.,

RMS) is directly due to the uncharacteristic removal rate results with temperature over

the six-month span in which experiments were suspended. Regardless of the potential

changes in the experimental conditions during testing, the flash heating model still

outperforms conventional removal rate models with regards to predictive accuracy. When

using Preston’s model, an average RMS value of 460 Å/min was obtained. From a

Page 291: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

290

modeling perspective, it is clear that the flash heating model provides more accurate

results for tungsten as well as ILD.

Figure 5.26: Experimental and theoretical tungsten removal rate as a function of p × V at platen temperature set point of 13°C. The RMS between the experimental and theoretical results was approximately 200 Å/min

0

1000

2000

3000

4000

5000

6000

0 20 40 60 80 100pV (kW/m2)

Tung

sten

Rem

oval

Rat

e (Å

/min

)

Theoretical

Experimental

Page 292: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

291

Figure 5.27: Experimental and theoretical tungsten removal rate as a function of p × V at platen temperature set point of 24°C. The RMS between the experimental and theoretical results was approximately 338 Å/min

Figure 5.28: Experimental and theoretical tungsten removal rate as a function of p × V at platen temperature set point of 33°C. The RMS between the experimental and theoretical results was approximately 377 Å/min

0

1000

2000

3000

4000

5000

6000

0 20 40 60 80 100pV (kW/m2)

Tung

sten

Rem

oval

Rat

e (Å

/min

)Theoretical

Experimental

0

1000

2000

3000

4000

5000

6000

0 20 40 60 80 100pV (kW/m2)

Tung

sten

Rem

oval

Rat

e (Å

/min

)

Theoretical

Experimental

Page 293: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

292

Figure 5.29: Experimental and theoretical tungsten removal rate as a function of p × V at platen temperature set point of 43°C. The RMS between the experimental and theoretical results was approximately 265 Å/min

0

1000

2000

3000

4000

5000

6000

0 20 40 60 80 100pV (kW/m2)

Tung

sten

Rem

oval

Rat

e (Å

/min

)Theoretical

Experimental

Page 294: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

293

5.5.2 Application of Flash Heating Removal Rate Model on the Freudenberg Pad Study

The CMP experiments performed for this study were done at IPL and incorporated

the use of the scaled polisher described in Chapter 2.1. Platen temperatures for this study

were controlled using the technique described in Chapter 2.1.9.

The IR camera described in Chapter 2.3.2 was used to record the pad surface

temperature prior to and during polishing. As described in that section, the mean process

temperature of a polish was calculated as the average value of the ten temperature points

shown in Fig. 2.23. All other consumable and kinematic polishing conditions have been

described in Chapter 4.3.

Using the underlying principles of the flash heating model presented in Chapter 5.4,

the application of the removal rate and thermal results from these experiments was done

to prove the predictive capability of this model when using an entirely different set of

consumables and experimental conditions. Based on the removal rate results obtained in

Chapter 4.3.2.1, deviations from the expected Prestonian behavior was once again

apparent. This indicated that the apparent, and now predictable, removal rate scatter was

independent of the tool type or consumable sets. As described in Chapter 5.4, one can

again say that the observed non-Prestonian behavior is a result of the competing effects of

the thermo-chemical and mechanical interactions during CMP.

Page 295: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

294

5.5.2.1 Selection of an Apparent Activation Energy for Modeling

As with prior temperature dependent polishing studies presented in previous sections,

temperature dependent removal rate data enables one to determine an apparent activation

energy, Ea, for the process in question. This parameter can then be used to compare the

thermal sensitivities of removal rate for any process scenario (i.e., different consumables

or polishing films), or it may be applied to the flash heating model shown in Chapter 5.4

as an empirical fitting parameter for removal rate prediction. It was shown in Chapters

5.2 and 5.4 that the apparent activation energy for an ILD process can vary significantly.

Based on the study conducted in Chapter 5.2, an Ea for an ILD process was estimated to

be approximately 0.06 eV. Alternatively, results from Chapter 5.4 showed that the Ea for

an ILD process to be approximately 0.53 eV. This noticeable difference in Ea raises the

question of which is more correct. In theory, the chemical and mechanical processes

involved in ILD polishing should be more or less identical between the two experimental

conditions used. This would mean that the Ea for the overall ILD process should yield

nearly identical results, yet the experimental values obtained in Chapters 5.2 and 5.4 vary

by nearly a factor of nine. The main cause for this is the thermal stability and thermal

range involved during polishing between the two experimental set-ups.

As discussed in Chapter 5.4, the most appropriate selection of an apparent activation

energy for a given experiment would be at the p × V condition which provided the most

chemically limited case based on the flash-heating model presented (i.e., k1 < k2). Under

that scenario, one could determine the apparent activation energy for a process when it

Page 296: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

295

was the most chemically sensitive. Based on this reasoning, the selection of an apparent

activation energy for the Freudenberg pad study at the most chemically limited cases

proved insufficient.

When calculating the apparent activation energies at most chemically limited

conditions, the Freudenberg pad results generated apparent activation energies which

ranged from 0.053 ± 0.04 eV to 0.182 ± 0.04 eV. Compared to results generated from

Chapter 5.4, these values were significantly lower (this may have been a result of thermal

and kinematic instabilities during testing on the IPL platform). Since these values were

much lower than those previously found (0.53 eV) and since the overall chemical

processes between the film and slurry are considered identical, it could be assumed that

the more appropriate value for Ea would be that which was found on a more stable tool.

Using this reasoning, the Ea applied in the flash heating model for the Freudenberg pad

studies was selected to be 0.53 eV, which was acquired using the SNL set-up.

It should be noted that the actual value of Ea for this model is relative since it is

empirical in nature. In other words, one could also use any alternate value and through

the process of fitting for the other parameters in the model, the parameter values would

compensate for the magnitude of Ea.

Page 297: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

296

5.5.2.2 Results and Discussion

Using the apparent activation energy of 0.53 eV, the proposed flash heating model

described in Chapter 5.4, yielded optimized parameters for each set of experimental

conditions studied. Table 5.2 shows the approximations of the fitting parameters as a

function of pad type and slurry flow rate. The fitting parameters shown in Table 5.2 were

optimized to provide the lowest RMS error with respect to the experimental data. In

general, there were no noticeable trends with respect to the optimized parameters and pad

groove type, pad thickness or slurry flow rate.

Figures 5.30 through 5.77 show the comparisons in the experimental and theoretical

removal rate results via the traditional Preston plot. In order to quantify the exact

predictive accuracy of the plots shown in Figs. 32 through 77, Table 5.3 was constructed

to show the relative RMS error between the flash heating model and experimental

removal rate results for each polishing condition (pad type and slurry flow rate). In most

cases, the RMS error associated with each data set from the Freudenberg study does not

appear to be as good as those obtained from the results obtained in Chapter 5.4 (average

RMS error = 89 Å/min). This is once again a result of the varying experimental tool set-

ups. The IPL tool set-up is not as stable and consistent as the SNL platform (this is

apparent when one compares the duplication accuracy associated with the removal rate

data shown between the study separate studies). This fact, however, should not be any

indication of model failure.

Page 298: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

297

Table 5.2: Modeling parameters extracted for the flash heating removal rate model for the results from the Freudenberg pad study

In order to show the effective accuracy of the flash heating model, RMS results from

this model have been compared to the error from other conventional mechanical removal

rate models. Table 5.3 compares the RMS error for the flash heating, Preston, Tseng and

Wang, and Zhang and Busnaina removal rate models. The RMS errors in Table 5.3 are

calculated as an average of each model as they are applied to the experimental data from

all platen set point temperatures. Based on the results shown in Table 5.3, the majority of

Pad Type A (mole / m2-sec) Cp (moles/J) b (K/Pa-(m/sec)1-a) a Ea (eV)

Flat (1.39 mm) 28884 6.91E-09 6.20E-04 1.342 0.53Flat (2.03 mm) 15941 6.66E-09 1.03E-03 1.262 0.53Perf (1.39 mm) 25984 4.63E-09 8.34E-04 1.990 0.53Perf (2.03 mm) 17821 6.34E-09 9.98E-04 0.896 0.53XY (1.39 mm) 38956 7.09E-09 7.73E-04 1.336 0.53XY (2.03 mm) 27195 5.61E-09 6.37E-04 0.906 0.53

Pad Type A (mole / m2-sec) Cp (moles/J) b (K/Pa-(m/sec)1-a) a Ea (eV)

Flat (1.39 mm) 34437 6.71E-09 6.67E-04 1.021 0.53Flat (2.03 mm) 74249 5.55E-09 5.96E-04 1.018 0.53Perf (1.39 mm) 40621 5.16E-09 5.33E-04 1.771 0.53Perf (2.03 mm) 9268 6.50E-09 1.27E-03 0.995 0.53XY (1.39 mm) 54551 7.88E-09 7.85E-04 0.032 0.53XY (2.03 mm) 13288 6.93E-09 9.26E-04 0.803 0.53

Flow Rate = 120 cc/min

Flow Rate = 40 cc/min

Page 299: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

298

the experimental conditions yield better predictive results when using the flash heating

model.

Table 5.3: RMS errors associated with experimental data and theoretical results obtained from several removal rate models. Errors values represent an average of each model against experimental data for a single polishing condition at all platen set point temperatures

When comparing individual removal rate models with the flash heating model, the

Tseng and Wang removal rate model, which assumes a p5/6 × V1/2 dependence on removal

rate, outperformed the flash heating model in four experimental cases (40 cc/min Flat

2.03-mm, Perforated 1.39-mm, XY 1.39-mm and 120cc/min Perforated 1.39-mm). The

Tseng and Wang model showed the best comparative results among the removal rate

Flash Heating Preston Tseng Wang Zhang BusnainaRMS (Å/min) RMS (Å/min) RMS (Å/min) RMS (Å/min)

Flat (1.39 mm) 173.4 364.8 339.5 452.8Flat (2.03 mm) 252.5 281.8 207.5 293.6Perf (1.39 mm) 215.0 232.8 152.5 244.3Perf (2.03 mm) 138.1 444.9 309.4 228.2XY (1.39 mm) 216.6 204.1 189.3 339.2XY (2.03 mm) 163.0 307.3 193.5 129.2

Flash Heating Preston Tseng Wang Zhang BusnainaRMS (Å/min) RMS (Å/min) RMS (Å/min) RMS (Å/min)

Flat (1.39 mm) 180.9 309.1 293.9 382.0Flat (2.03 mm) 185.3 256.1 273.6 365.1Perf (1.39 mm) 220.9 262.4 162.3 228.5Perf (2.03 mm) 191.0 271.9 315.2 440.9XY (1.39 mm) 252.0 264.1 367.6 485.4XY (2.03 mm) 164.6 174.0 228.8 345.1

Pad Type

Pad Type

Flow Rate = 120 cc/min

Flow Rate = 40 cc/min

Page 300: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

299

models considered. The Zhang and Busnaina removal rate model as well as the Preston

model outperformed the flash heating model only once. Since the above three models

predict removal rate in a continuous fashion (i.e., they do not allow the possibility for

removal rate scatter), the fact that they occasionally out perform the flash heating model

indicates that the experimental data in those specific cases did not show enough of the

distinct variations of removal rate with temperature and p × V. In other words, the

removal rate data observed from the Freudenberg tests did not show discrete variations

like those seen in Chapter 5.4. It should be noted that this is not to say that these trends

were not present for the Freudenberg tests, but that the trends were not discrete enough.

This lack of distinction in the removal rate results can once again be attributed to the lack

of stability on the IPL tool platform.

When one compares the various models in a general fashion, it can be seen that the

flash heating model has a greater predictive capability than the other mechanically based

removal rate models. For example, if one averages the RMS error for each model and a

single flow rate, regardless of pad type, it may be shown that the RMS error for the flash

heating model is approximately 38 to 57 Å/min better in predictive accuracy. Since the

flash heating model has the capability of predicting removal rate scatter based on

temperature effects during polishing, data which reflects these scattered removal rate

characteristics with temperature distinctly enable the flash heating model to predict the

data in a highly accurate fashion. The fact that the flash heating model has shown

versatility in removal rate prediction with a variety of pad groove types, pad thickness,

Page 301: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

300

slurry flow rates, film materials, slurry types and polishing tools shows that the flash

heating model has great utility in CMP.

Variations on chemical and mechanical rate constants

On an alternate note, when comparing the ratio of k1/k2 for this study and those

obtained from Chapter 5.4, it is evident that these ratios vary significantly in magnitude.

For example, the range of k1/k2 for the SNL study from Chapter 5.4 was approximately

from 2 to 80, whereas the ratio of k1/k2 for these studies ranged anywhere from 0.4 to

approximately 4. To explain why these ratios are significantly different, one must

consider a few things. First off, the values of k1 and k2 are calculated based on the fitting

parameters found for each set of studies (i.e., A, Ea, Cp, etc.). This alone can affect the

overall magnitude of the ratios. To take another step further, one must consider the

possible physical significance associated with the parameter values. The fact that the ratio

of k1/k2 is much smaller for the Freudenberg study must indicate that the value of ki is

significantly smaller or the value of k2 is greater as compared to those found in Chapter

5.4.

If one considers the argument used for selecting the Ea in this section (i.e., the

chemical processes involved in both studies should be close to identical), then it may be

established that the parameter of ki should not vary dramatically between the two studies.

When comparing mean k1 values, calculations show that both studies have values which

are approximately 10-3 in magnitude. This indicates that the variation in the ratios must

come from possible differences in the k2 parameter.

Page 302: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

301

The mechanical differences between the study done in Chapter 5.4 and the

Freudenberg study may stem from the pad or the abrasive particles in the slurry. These

two components play a critical role in the mechanics of the CMP process and could have

a bearing on the mechanical contribution to the flash heating model if they are different.

The pads used in both studies varied in grooving as well as material composition.

Although this factor can not be completely characterized due to inadequate knowledge of

the pad composition, it may be considered a player in this issue.

The slurry abrasives, on the other hand, have been characterized and could potentially

explain this k1/k2 phenomenon. The Cabot D7300 fumed silica slurry known to be filtered

prior to use, whereas the Fujimi PL-4217 fumed silica slurry is not. By having a filtered

ILD slurry, the possibility of having large agglomerated particles is far less than that of

an unfiltered slurry. What this may indicate is that an unfiltered slurry may play a greater

mechanical role due to the possible interaction with larger abrasive particles. This could

infact raise the value of k2 for the Fujimi case (i.e., Freudenberg pad study), thereby

leading to a smaller k1/k2 ratio.

Inclusion of COF in flash heating model

The development of the flash heating model described in Chapter 5.4 did not involve

a polishing platform with the capability to acquire COF data. However, the inclusion of a

frictional parameter, if known, within the flash heating model is very possible, although

not critical. Since COF is a parameter that describes the overall normal and shear

mechanical interactions for a specific polishing condition, the possibility to include COF

Page 303: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

302

into the mechanical portions of the flash heating model is feasible. In doing such, the

mechanical rate constant of the model becomes COFVpCk p ⋅⋅⋅=2 . In addition to this,

the chemical rate constant, k1, may also be changed such that COF is included, however

since the model is used in its compact form, the inclusion of COF is not desired.

Unlike the experiments performed at SNL (Chapter 5.4), polishing experiments

performed for the Freudenberg pad study, on the IPL platform, enabled the acquisition of

real-time COF. This real-time COF could then be included as another input parameter for

model optimization, or be neglected as it has been for the modeling performed in this

chapter. To provide a comparison of modeling results with and without the use of COF

data, Table 5.4 shows a side by side comparison of a select number of experimental

conditions for which COF was included within the flash heating model and not included.

Table 5.4: Flash heating model fitting parameters for select cases of the Freudenberg pad study with the inclusion of COF. This table also includes a side by side comparison of the relative predictive error associated with the model when including and not including COF

Pad Type Flow Rate (cc/min) A (mole / m2-sec) Cp (moles/J) b (K/Pa-(m/sec)1-a) a Ea (eV)Perf (1.39 mm) 40 26263 4.63E-09 7.19E-04 2.101 0.53XY (2.03 mm) 120 13477 6.82E-09 9.31E-04 0.787 0.53

Flash Heating without COF

Flash Heating with COF

Pad Type RMS (Å/min) RMS (Å/min)Perf (1.39 mm) 215.0 260.5XY (2.03 mm) 164.6 165.2

Page 304: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

303

Table 5.4 presents a new set of fitting parameters that result from the inclusion of

COF in the flash heating model, as well as its effect on removal rate predictability. As

compared to the results shown in Table 5.2 (using no COF), it is obvious that the fitting

parameters do change as a result of COF inclusion. This result is an effect of numerical

compensation during optimization.

Figure 5.30: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 40 cc/min

One apparent issue with using COF as an input parameter in the flash heating model

is the rise in average RMS error associated with removal rate prediction. This is primarily

due to two factors. The first is that an average COF must be considered in order to

establish a single Cp for the model. Since COF varies from run to run, the optimization

for a single Cp value, depends on a singular COF. In this situation, an average COF

Page 305: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

304

(COFavg) was calculated based on the values achieved from every run and applied to the

overall value of Cp. From this point, k2 can then be calculated using the newly optimized

Cp and the real-time COF values for each run. The slight rise in error stems from the fact

that the ratio of COF to COFavg in the equation for k2, avg

p COFCOFVpCk ⋅⋅⋅=2 , does

not result in unity. The extent of deviation from unity for COF/COFavg varies based on

the stability in COF run to run, and since COF has been shown to change as a result of

changing kinematic conditions, there is little chance that this ratio will ever maintain a

value of one. For this reason, the elimination of COF as input in the flash heating

modeling allows for the elimination of this ratio, thereby resulting in a tighter predictive

fit.

Page 306: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

305

Figure 5.31: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 40 cc/min

Figure 5.32: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 40 cc/min

Page 307: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

306

Figure 5.33: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 40 cc/min

Figure 5.34: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 120 cc/min

Page 308: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

307

Figure 5.35: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 120 cc/min

Figure 5.36: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 120 cc/min

Page 309: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

308

Figure 5.37: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg flat 1.39-mm pad at a slurry flow rate of 120 cc/min

Figure 5.38: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 40 cc/min

Page 310: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

309

Figure 5.39: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 40 cc/min

Figure 5.40: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 40 cc/min

Page 311: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

310

Figure 5.41: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 40 cc/min

Figure 5.42: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 120 cc/min

Page 312: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

311

Figure 5.43: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 120 cc/min

Figure 5.44: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 120 cc/min

Page 313: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

312

Figure 5.45: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg flat 2.03-mm pad at a slurry flow rate of 120 cc/min

Figure 5.46: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 40 cc/min

Page 314: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

313

Figure 5.47: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 40 cc/min

Figure 5.48: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 40 cc/min

Page 315: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

314

Figure 5.49: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 40 cc/min

Figure 5.50: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 120 cc/min

Page 316: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

315

Figure 5.51: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 120 cc/min

Figure 5.52: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 120 cc/min

Page 317: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

316

Figure 5.53: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg perforated 1.39-mm pad at a slurry flow rate of 120 cc/min

Figure 5.54: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 40 cc/min

Page 318: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

317

Figure 5.55: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 40 cc/min

Figure 5.56: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 40 cc/min

Page 319: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

318

Figure 5.57: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 40 cc/min

Figure 5.58: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 120 cc/min

Page 320: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

319

Figure 5.59: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 120 cc/min

Figure 5.60: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 120 cc/min

Page 321: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

320

Figure 5.61: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg perforated 2.03-mm pad at a slurry flow rate of 120 cc/min

Figure 5.62: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 40 cc/min

Page 322: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

321

Figure 5.63: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 40 cc/min

Figure 5.64: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 40 cc/min

Page 323: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

322

Figure 5.65: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 40 cc/min

Figure 5.66: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 120 cc/min

Page 324: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

323

Figure 5.67: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 120 cc/min

Figure 5.68: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 120 cc/min

Page 325: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

324

Figure 5.69: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg XY 1.39-mm pad at a slurry flow rate of 120 cc/min

Figure 5.70: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 40 cc/min

Page 326: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

325

Figure 5.71: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 40 cc/min

Figure 5.72: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 40 cc/min

Page 327: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

326

Figure 5.73: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 40 cc/min

Figure 5.74: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 13°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 120 cc/min

Page 328: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

327

Figure 5.75: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 24°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 120 cc/min

Figure 5.76: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 33°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 120 cc/min

Page 329: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

328

Figure 5.77: Experimental and theoretical ILD removal rate as a function of p × V at platen temperature set point of 43°C for the Freudenberg XY 2.03-mm pad at a slurry flow rate of 120 cc/min

Page 330: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

329

CHAPTER 6 – ENDPOINT DETECTION IN CMP

6.1 Introduction

A brief introduction to EPD was provided in Chapter 1.4.6, in which it was described

that the implementation of a suitable in-line monitoring system during CMP presents

significant advantages for the development and manufacturing environments. As

mentioned earlier, EPD is a technology which enables CMP processes to occur in an

efficient and timely manner. However, the use of EPD for STI CMP processes continues

to pose several problems, mostly due to similarities in the frictional attributes of silicon

oxide and silicon nitride and variations in wafer pattern densities (Brandes, et al., 2003).

Developing a robust approach for EPD in STI CMP applications is critical due to the

potential for reducing the polishing times by reducing the extent of over polishing and

also by reducing the number of wafer reworks. This in turn will result in higher

throughput as well as lower slurry and pad consumption.

In this study we attempt to characterize motor current EPD techniques for STI

patterned wafers by presenting the endpoint and material removal results associated with

four STI structures with varying pattern densities and trench depths. Furthermore, we

attempt to identify the acceptable ranges of STI oxide pattern density variation in which

motor current EPD will suspend polishing within specified process limits. Based on this,

the results will indicate the acceptable regimes of pattern density variation during which

Page 331: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

330

motor current EPD is successful, and regimes in which pattern density variation

limitations must be set as a result of EPD failure.

6.2 Experimental Approach

This study involved the endpoint characterization of reversed mask processed STI

patterned wafers of four different reticle sets (A through D). Wafers were 150 mm in

diameter. All wafer sets began with 100 Å of a thermally grown pad oxide on a p-type

silicon substrate. This was then followed by a 2500 Å silicon nitride deposition for Sets A

and B, and a 1500 Å silicon nitride deposition for Sets C and D. Sets A and B were then

patterned and etched to obtain a trench depth of 5000 Å. Nominal trench depth for Sets C

and D was 3100 Å. All wafers were then subjected to a sidewall oxide layer growth of

250 Å via dry oxidation. This was followed by plasma-enhanced chemical vapor

deposition of TEOS oxide to achieve trench fill. Structural characteristics of the four sets

are summarized in Table 6.1. Reticles used for these sets provided an adequate range of

oxide density variation (approximately 13.8 to 25.2 %) for a thorough motor current

endpoint investigation. As is evident from Table 6.1, Set D possesses the highest oxide

density variation of all the wafer sets. Based on this it can be said that Set D has the

greatest amount of pattern variation at the die level (i.e., areas with more distinct regions

of high-density structures and low-density open structures), whereas Sets A through C

have less significant changes in the patterned structures on the die level.

Page 332: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

331

Table 6.1: Oxide and nitride pattern density statistics for the STI patterned wafers used in this study

Sets A through D were planarized using primary and secondary platens of a

Speedfam-IPEC Avanti-472 polisher at SNL (see Chapter 2.2). On the primary platen, to

enhance the signal-to-noise ratio, conditioning was performed ex situ prior to each polish

(4 sweeps at 5 PSI). Cabot’s D7300 fumed silica slurry was used (pH~11) in conjunction

with Rodel’s IC-1400 k-grooved pad. A wafer was set at 7 PSI with a backpressure of 0.2

PSI. Platen and carrier speeds were set at 28 and 32 RPM, respectively. Slurry flow rate

was maintained at 225 cc/min. Polish time (default value of 150 s) was altered in

accordance with the experimental plan. On the secondary platen (intended for buffing),

the wafer pressure was set to 5 PSI with the carrier and platen rotating at 10 and 100

RPM, respectively. The buffing step was 30 s long and involved the use of Fujimi’s

Surfin SSW1 pad and ultra pure water. Following each polish, wafers were mechanically

scrubbed using PVA brush rollers on an OnTrak DSS-200 scrubber. Pre- and post polish

thicknesses of silicon dioxide and silicon nitride films were measured using a KLA-

Tencor UV-1250 ellipsometer.

Oxide (%) Nitride (%) Reticle

Set Density Variation Max Min Mean Density Variation Max Min Mean

Trench

Depth (Å)

TEOS Trench

Fill (Å)

A 13.8 19.4 5.6 11.7 95.8 98.8 3.0 36.2 5000 9000 B 17.4 26.4 9.0 19.0 35.5 53.3 17.8 28.4 5000 9000 C 15.9 30.7 14.8 24.3 59.1 86.1 26.9 41.3 3100 5900 D 25.2 48.4 23.2 37.3 62.2 87.3 25.1 41.4 3100 5900

Page 333: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

332

The EPD system used in this study has been described in Chapter 2.2.2. Motor

current signals were taken in three modes: platen signal alone (Channel A), platen and

carrier-head signals (Channel A + Channel B), and the ratio of the platen to the carrier-

head signal (Channel A / Channel B). It should be noted that the current signal from the

carrier-head (Channel B) was not considered because previous tests showed unstable and

indistinct signal characteristics during polishing.

6.3 Results and Discussion

The study was performed in three distinct phases. In Phase I, the main objective was

determining the characteristics of endpoint profiling. This was achieved by performing a

complete over polish to determine the general signals obtained for each wafer pattern

under typical polishing conditions. In Phase II, the goal was determining the accuracy of

the timed endpoint by establishing correlations between the approximate times of

polishing and the endpoint signal received from Phase I. Phase III involved endpoint

recipe validation on patterned wafer polishes using endpoint recipes from Phase I and

Phase II.

Phase I involved (a) determining the channel (i.e., Channel A, Channel A + Channel

B or Channel A / Channel B) that provided the most effective signal for EPD, and (b)

establishing the signal scales for the recipes to be viewed during in-line monitoring. To

achieve this, a series of over polish runs was performed to obtain the full signal spectrum

Page 334: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

333

for Sets A through D. Upon completion of each run, motor current signals such as those

shown in Fig. 6.1 were obtained. As seen in Fig. 6.1, the motor current signal for Set C

generated large changes over the first 100 s of polishing followed by a sharp decline,

indicating a complete over polish (based on post-CMP film thickness measurements).

This same trend was evident for all other wafer sets during the first 100 s. However, in

the range of 60 to 80 s, subtle and characteristic curves were generated based on the

differences in pattern density (note that the scaling provided in Fig. 6.1 does not allow for

clear viewing of the characteristic curves produced for endpoint detection). Analysis of

various signals indicated that only Channel A (the platen motor current) provided the

most distinctive signal change for endpoint detection. The other remaining signals (i.e.,

Channel A + Channel B and Channel A / Channel B) yielded unusable results due to the

lack of distinguishable endpoints.

By analyzing the motor current signals through comparisons with previously

established polish times, initial scaling parameters were established based on correlations

between the two. The purpose of the scaling parameters was to provide an adequate

display of the real-time curves obtained and played no role in the endpoint detection

process.

The objective in Phase II was to determine the endpoint recipe parameters for

effective EPD. To this end, each wafer was polished for a predetermined time and

measured for material removal. Once a wafer was found to be within specified limits for

trench oxide and nitride thickness, the endpoint parameters from that signal were selected

to establish a stopping point for subsequent polishes. These endpoint parameters would

Page 335: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

334

later be applied to, and verified for, the signals received from the polish runs in Phases I

and II. Table 6.2 summarizes the timed polish results for Sets A through D. As seen from

the data, all the sets, except Set D, are within specified limits and reach sufficient

planarization in similar times. Based on these results and the repeatability of the motor

current signals, endpoint recipes were formulated to stop at the approximate estimated

times shown in Table 6.2 (with the exception of Set D).

Figure 6.1: Raw platen motor current output (Channel A) for an over polish run from STI patterned wafer Set C

3

3.5

4

4.5

5

5.5

6

0 20 40 60 80 100 120

T ime (seconds)

Raw

Cur

rent

Out

put (

Am

pere

s)

Page 336: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

335

Although Set D also generated consistent motor current signals, it was apparent that

the wafers required more time for polishing. Following a series of additional polishing

tests, it was estimated that a polish time of 85 s was necessary for satisfactory material

removal. Based on this, attempts at formulating an endpoint recipe for an 85 s polish

proved unsuccessful due to the extreme sensitivity of EPD in the desired time range.

Following several recipe alterations, the most consistent and reliable recipe only achieved

an endpoint of approximately 70 s.

Table 6.2: Trench oxide thickness after timed polishing

Table 6.3 shows the formulated EPD recipes for each wafer set. As seen in Table 6.3,

each wafer set used a specific set of endpoint conditions, each with a generated signal, in

Reticle Set Repetition Time of Run (s) Final Trench Oxide Thickness (Å)

Oxide Spec (Å)

1 5888.38 2 5851.20 A 3

95±1 5745.20

1 6285.98 2 5927.25 B 3

100±2 5958.16

6000

± 2

50

1 3524.62 2 3597.44 C 3

80±1 3560.66

1 3992.11 2 4036.86 D 3

65±10 3953.32

3500

± 2

50

Page 337: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

336

order to suspend polishing. As polishing occurs, a signal is generated and analyzed by the

Luxtron tool using a viewing window. Each viewing window was specified with a

window width (scaled to polishing time) and window half-height (scaled to a percentage

of the total signal amplitude). Note that each window begins with its left edge centered on

the point at which the signal intersects any boundary on the previous window (Fig. 6.2

shows the window features described above). By using a set of window dimensions, the

tool was then able to analyze each on-going polishing signal in a series of finite periods.

Table 6.3: Window parameters for EPD of STI patterned wafer Sets A through D

In order to analyze the signal within each viewing window, a signal type that was

most sensitive to the acquired signal was selected. As seen in Table 6.3, the

“Interference” and “Falling Slope” signal types were used. By definition, an

“Interference” signal detects oscillations within each window and a “Falling Slope”

signal detects a signal that is dropping in magnitude within each window. Beyond this,

one also had to specify the point at which a signal should be stopped. To achieve this for

Reticle Set Signal Type Stopping

Point InitiationWindows

TerminationWindows

Window Width

Window Half-

Height A Interference End of Oscillation N/A 6 5 s 5.0% B Falling Slope End of Slope 3 4 6 s 3.1% C Falling Slope End of Slope 3 5 6 s 5.0% D Interference End of Oscillation N/A 5 6 s 5.0%

Page 338: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

337

the “Interference” signal type, one could specify the end of an oscillation, period, a

specific number of peaks or valleys within an oscillation or a specific number of peaks

and valleys combined. For a “Falling Slope” signal type, one could specify the detection

of an onset of a falling slope at the beginning or the end of a signal. In this study, it was

determined that “End” stopping conditions were most effective for the signals obtained.

Figures 6.3 and 6.4 show examples of signal termination for “Interference” and “Falling

Slope” signal types, respectively. Finally, in order to ensure consistency and reliability

for a recipe during an on-going signal, a number of initiation and termination windows

had to be established. Initiation and termination windows describe the number of

windows required to achieve the signal type and stopping conditions for each recipe,

prior to the termination of a polish (it should be noted that no initiation windows are

required when detecting oscillations, whereas initiation and termination windows are

required when detecting a falling slope).

Figure 6.2: Endpoint detection viewing window with specified dimensions

Signal position at start of window

Window Width (time)

Half-Height (Amplitude)

Signal position at start of window

Window Width (time)

Half-Height (Amplitude)

Page 339: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

338

Figure 6.3: Typical conditions for endpoint detection using an “Interference” signal type and End of oscillation stopping point

Figure 6.4: Typical conditions for endpoint detection using a “Falling Slope” signal type and End of slope stopping point

Figures 6.5 and 6.6 show examples of reprocessed signals for each wafer set in this

study. The x-axis and y-axis in Figs. 6.5 and 6.6 represent polish time (in minutes) and

motor current signal amplitude from the platen, respectively. It should be noted that the

Optima 9300 system automatically stopped each signal at the desired polishing time with

a reproducibility of within 5 s.

Polishing Time (s)

Sign

al A

mpl

itude

Polishing Time (s)

Sign

al A

mpl

itude

Polishing Time (s)

Sign

al A

mpl

itude

Polishing Time (s)

Sign

al A

mpl

itude

Page 340: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

339

The third and final phase of this study was an evaluation of the formulated motor

current EPD recipes developed in Phase II. In brief, the EPD system was used to

terminate the polishing process and the resulting wafers were measured for accuracy and

repeatability. Table 6.4 summarizes the polishing results obtained using the endpoint

recipes described in Table 6.3 (note that the number of repetitions exceeded the number

shown in Table 6.4). It should be noted that all polishes resulted in within-wafer non

uniformity values of less than 7 percent.

Figure 6.5: Motor current signal for polished wafer from Set A with an applied endpoint recipe (left). Motor current signal for polished wafer from Set B with an applied endpoint recipe (right)

Time (s) Time (s)

Sign

al A

mpl

itude

(mA

)

Sign

al A

mpl

itude

(mA

)

Time (s) Time (s)

Sign

al A

mpl

itude

(mA

)

Sign

al A

mpl

itude

(mA

)

Page 341: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

340

Figure 6.6: Motor current signal for polished wafer from Set C with an applied endpoint recipe (left). Motor current signal for polished wafer from Set D with an applied endpoint recipe (right)

As shown in Table 6.4, EPD recipes for Sets A through C allowed polishing to occur

until an adequate stopping point was detected. Ellipsometric measurements indicated that

resulting trench oxide removal rates were within specified value ranges and allowed for

little or no nitride removal.

In spite of the successful and repeatable results for Sets A through C, the results for

Set D indicated unsuccessful EPD. Trench oxide removal data showed that the endpoint

limit for these wafers was prematurely triggered by the Optima 9300. Despite further

polishing attempts using altered recipe parameters, the motor current signal towards the

Time (s) Time (s)

Sign

al A

mpl

itude

(mA

)

Sign

al A

mpl

itude

(mA

)

Time (s) Time (s)

Sign

al A

mpl

itude

(mA

)

Sign

al A

mpl

itude

(mA

)

Page 342: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

341

later stages of the polish appeared too sensitive for detection. For example, an increase in

the number of termination windows (i.e., an analysis window defined by a time width and

signal amplitude height selected to identify the desired signal behavior for endpoint

detection) from 5 to 6 would frequently allow polishing to continue beyond previously

estimated termination times.

Table 6.4: Motor current endpoint results for STI patterned wafer Sets A through D

To lend a possible explanation of endpoint failure for Set D, a comparison between

Sets C and D can be drawn based on their structural similarities and the endpoint success

of Set C. As seen from Table 6.1, Sets C and D showed no significant variation in nitride

Reticle Set Repetition Polish Time

(s)

Final Nitride

Thickness (Å)

Final Trench Oxide

Thickness (Å)

Oxide

Spec (Å)

1 90 1788.74 5857.89

2 96 1969.22 6116.38 A

3 113 1783.02 5751.31

1 103 2017.05 6240.69

2 115 1879.99 5964.09 B

3 108 1879.92 6001.94

6000

± 2

50

1 87 1055.14 3545.55

2 84 973.99 3641.28 C

3 89 933.55 3494.39

1 77 1192.6 3981.19

2 77 1076.38 3970.73 D

3 80 1020.61 3844.20

3500

± 2

50

Page 343: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

342

density, but showed considerable differences in oxide density. Figures 6.7 and 6.8 are

original density distribution histograms for Sets C and D, respectively. The effective

pattern density maps in the aforementioned figures were produced using an elliptical

weighting function with a planarization length of 3.5 mm and a similar methodology to

that used by Lee et al., (Lee et al., 2000). Note that Set C (Fig. 6.7) has an oxide density

variation that ranges between 14.8 and 30.7 percent, whereas Set D (Fig. 6.8) has an

oxide density range between 23.2 and 48.4 percent. This signifies a sharp contrast in the

consistency of die-level oxide density. Due to the larger oxide density variation of Set D,

the die has more distinct regions of high-density structures (i.e., SRAM cells) and low-

density, open structures. In comparison, the oxide density variation for Set C presents a

more consistent, or flowing, density structure. Based on the contrasting density structures

of Set D, it is possible for the faster polished, low-density regions to trigger the endpoint

prior to adequate polishing of the high-density regions.

One possible solution for endpoint correction would be a dual stage process. This

would involve allowing the existing recipe for Set D to stop the polish prematurely at

around 70 s as an initial polishing stage, and then program the monitoring tool to allow

for a secondary timed polish stage of 15 s.

Page 344: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

343

Figure 6.7: Pattern density distribution for STI patterned wafer Set C

Figure 6.8: Pattern density distribution for STI patterned wafer Set D

Dis

trib

utio

n Fu

nctio

n

Density

Dis

trib

utio

n Fu

nctio

nD

istr

ibut

ion

Func

tion

Density

Density

Dis

trib

utio

n Fu

nctio

n

Density

Dis

trib

utio

n Fu

nctio

n

Page 345: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

344

6.4 Concluding Remarks

Patterned wafer sets ranging from 13.8 to 25.2 percent in oxide density variation were

analyzed using the Luxtron Optima 9300 in-line monitoring tool. By analyzing distinct

motor current signals generated during polishing, endpoint parameters were established

and verified for each of the various patterned wafer sets. Based on the results, successful

endpoints were detected for wafers with oxide density variations ranging from 13.8 to

17.4 percent (i.e., wafer sets A through C). Wafer set D, which had the highest mean

oxide density (25.2 percent) proved inaccurate for EPD. It has been postulated that this

failure was caused by false signals generated by variations in polishing rates resulting

from discrete dissimilarities in oxide density regions on the die-level. Although one could

remedy premature EPD by introducing a secondary timed polishing stage, it would be

better to obtain a single recipe for polishing completion.

From the results presented in this study, one could hypothesize that there is an upper

limit of oxide density variation (between 15.9 and 25.2 percent) that a STI wafer can

have before motor current endpoint failure occurs. Establishing this density limit could

lead to more stringent limits on STI pattern designs, but allow for more reliable EPD

systems.

The success of endpoint detection for Sets A through C presents several

considerations with regards to CMP consumables minimization and overall cost of

ownership. For a typical STI CMP process, in the absence of in-line endpoint monitoring

system, several CMP steps must be completed for a satisfactory outcome. In high-volume

Page 346: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

345

manufacturing, planarity is usually achieved through an initial polish, followed by film

thickness metrology and, if needed, a ‘rework’ polishing step to remove any residual

material. Rework times and conditions, in general, vary depending on the remaining

material thickness following the initial polish. Reworks, which may need to be performed

on roughly 10 percent of all wafers in production, typically range from 15 seconds to 1

minute. This translates into longer processing times and additional consumables usage.

Although rework polishes are not commonly mentioned in industry, most IC fabrication

facilities implement them to meet module line-yield goals.

With the utilization of an EPD system, the number of reworks could be reduced thus

resulting in lower slurry, pad and conditioner usage. Based on the typical polish

conditions for the wafer sets used in this study, successful EPD was seen to reduce polish

times by an average of 13 seconds per wafer. Considering a typical slurry flow rate of

225 cc/min, it is estimated that slurry usage could be reduced by roughly 15 percent per

polish (along with a comparable reduction in pad usage). Moreover, a robust EPD

technique in high-volume manufacturing can potentially reduce reworks by a factor of

two (i.e., from 10 to 5 percent). Using a simple cost-of-ownership model (Olsen, 2002),

the potential slurry and pad savings (minus initial capital investment for the EPD

systems) associated with an STI module is estimated to be roughly $200,000 per year

(200-mm wafer factory operating at 5000 wafer starts per week).

Page 347: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

346

CHAPTER 7 – CONCLUSIONS AND FUTURE WORK

The various studies conducted during the coarse of this work ranged in a variety of

subjects associated with CMP. Topics included the impact of applied wafer pressure

effects during CMP, the impacts of pad type, tool kinematics and pad temperature during

CMP, the role of temperature in CMP and EPD applications for an effective CMP

process. The outcomes related to each of these subject matters ranged anywhere from

possible design considerations for improved consumables to direct conclusions regarding

the chemical and mechanical processes involved within each study.

Considering the range and diversity of the separate works done for this dissertation,

the primary conclusions regarding each study have been divided per subject matter.

• Impact of Wafer Geometry and Thermal History on Pressure and von Mises

Stress Non-Uniformity During CMP

Results demonstrate that variations in wafer geometry, as measured by the

overall shape (i.e., extent and direction of bow), nominal diameter and thermal

treatment of the wafers, can significantly affect the extent of pressure experienced

by the wafer during CMP. Based on the variation of pressure incurred by the

wafer from the center to the edge of the wafer, it may be shown that removal rate

can vary significantly across the wafer surface depending on the extent and

direction of wafer bow, the wafer-ring gap size and the thermal history of the

Page 348: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

347

wafer (i.e., it was shown that the removal rate along the edge of a wafer can range

from 400 to 800 Å/min for a concave, thermally untreated wafer having a wafer-

ring gap size of 1.0 mm).

Results also demonstrated that variations in wafer geometry can significantly

affect the extent of WIWNU for ILD CMP. In addition, these results draw

attention to the importance of adopting tighter manufacturing control limits in

order to minimize WIWNU issues during CMP.

• Estimating the Effective Pressure on Patterned Wafers during STI CMP

This study presented a first generation method for approximating the effective

pressure experienced by STI patterned wafers during CMP. A root finding

technique enabled the calculation of the effective (or envelop) pressure for a

variety of pattern density wafers using removal rate data acquired from the

polishing tests. Results showed that regardless of applied wafer pressure, the ratio

of the derived effective pressure to applied wafer pressure was relatively

consistent. The stability of these ratios indicated that in cases of a five-fold (i.e.,

from 10 percent to 50 percent) or nine-fold increase in pattern density (i.e., from

10 percent to 90 percent), the effective pressure experienced during polishing was

not impacted by the pattern density in a proportionate manner. These findings are

believed to have significant implications in all CMP processes where shear force

Page 349: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

348

needs to be controlled or minimized (i.e., for copper or low-k applications) for a

wide range of pattern densities.

• Impact of Tool Kinematics, Pad Geometry and Temperature on the Removal Rate

and Process Tribology During ILD CMP

The Freudenberg pad study was developed to provide a complete

characterization of ILD CMP with respect to changes in pad grooving (i.e., flat,

perforated and XY), pad thickness, platen set point temperature, slurry flow rate

and kinematic process conditions.

Material removal rate results showed that despite the pad groove type or pad

thickness, removal rates appeared linearly dependent with each set of sliding

velocities used during testing. Removal rate results also showed that slurry flow

rate did not appear to have a significant impact on material removal for all pad

types. This fact was also confirmed via regression analysis of the data acquired in

the study. Moreover, results from this analysis showed that p × V had the greatest

impact on removal rate followed by pad groove type.

When focusing on pad temperature rises as a function of various kinematic

conditions and slurry flow rates appeared to have no significant impact on pad

heating. The XY-groove pad showed the lowest rise in pad temperature as

Page 350: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

349

compared to the flat and perforated pads. This is believed to be a result of the

pads ability to cool the pad-wafer interface via enhanced slurry transport.

Finally, all polishing conditions showed that regardless of pad groove type,

pad thickness, pad temperature or slurry flow rate, all of the tribological

mechanisms occurred within the boundary lubrication regime.

• Arrhenius Characterization of ILD and Copper CMP Processes

By modifying the generalized Preston’s equation to employ an Arrhenius

argument, this study introduced a new parameter described as the combined

activation energy. Based on this new parameter, the impacts of pad temperature

on the chemical and mechanical facets of CMP were capable of being quantified

into a single defined value, which showed the differences between various

dependencies arising from the use of different of consumable sets. For ILD

polishing, results indicated a combined activation energy of 0.06 eV for the

process. Copper polishing resulted in a combined activation energy of 0.52 eV,

which indicates a more thermally dependent process. These results indicated that

information regarding the relative magnitude of the thermally dependent and

thermally independent aspects of the ILD and copper CMP processes (as triggered

by controlled thermal changes in the system) can be critical in designing novel

pads and slurries with controlled chemical and mechanical attributes.

Page 351: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

350

• Effect of Process Temperature on Coefficient of Friction during CMP

Based on a series of controlled temperature polishes, COF results indicate that

a rise in polishing temperature creates a rise in shear force for both ILD and

copper CMP. DMA results yielded supporting evidence towards this observation.

It was also shown that the rise in COF with pad temperature could partially be

explained through a proportionality relationship of shear force and tan δ.

• Revisiting the Removal Rate Model for Oxide CMP

This study sought to explain removal rate trends and scatter in thermal silicon

dioxide and PE-TEOS CMP results using an augmented version of the Langmuir-

Hinshelwood mechanism for ILD polishing. The proposed model combined the

chemical and mechanical facets of ILD CMP and hypothesized that the chemical

reaction temperature is determined by transient flash heating. When using the

newly developed model with experimental results, the agreement between the

model and data suggests that the main source of apparent scatter in removal rate

data plotted as rate vs. p × V, is competition between mechanical and thermo-

Page 352: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

351

chemical mechanisms. Based on this, a method of plotting and visualizing

removal rate data was introduced.

• Additional Flash Heating Applications

This study adopted the previously described flash heating removal rate model,

and sought to apply it to experimental data taken from both tungsten and ILD

films. Results showed that the flash heating model could once again discriminate

scattered data based on changes in the process temperature. Removal rate and

thermal instabilities that resulted from errors associated with tool stability and

temperature control techniques created several sources for poor model prediction,.

However, when considered alternate removal rate models, the flash heating model

outperformed the predictive capability of the rest a majority of the experimental

cases.

• Endpoint Detection in CMP

This study investigates the feasibility and environmental implications of

motor current endpoint detection for STI CMP processes. Results indicated that

repeatable motor current endpoint detection can be achieved for STI wafers with

Page 353: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

352

oxide pattern density variations of up to 17.4 percent. Furthermore, results show

that a dependence exists between the STI oxide pattern density variation and

motor current endpoint success during polishing. Due to the outcomes of this

study, a suitable motor current EPD system could yield successful termination

points for STI polishing, as well as reduce the need for polishing reworks. It was

estimated that the use of a successful EPD system could reduce slurry usage by as

much as 15 percent per polish and allow savings of roughly $200,000 per year in

slurry and pad consumables for a typical IC manufacturing facility.

7.1 Future Works

Considering the variety of work conducted for this dissertation, several future studies

could be proposed for consideration. Chief consideration should be given to the

continuation of the thermal work described in Chapter 5. Further studies should be

conducted on stable industrial tools to observe the thermal sensitivity of various polishing

scenarios (i.e., films, pads, slurries, kinematic conditions, etc.). Two potential focuses

include thermal studies with respect to copper and tungsten films.

Coupled with these studies is the further application of the flash heating removal rate

model proposed in Chapter 5. Providing ample proof of this model with a range of

various metal and dielectric films could provide greater insight towards the actual process

mechanisms during CMP. In addition to this, further verification of the flash heating

Page 354: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

353

model could provide greater predictive capabilities in a research or industrial setting that

could eventually lead to more efficient processes.

Future work should also be focused on the contribution of conditioning of existing

processes. Specific aspects of this could include the coupled effects of diamond

conditioning and pad temperature on pad wear and polishing performance, as well as the

effects of alternate pad conditioning techniques on polishing performance.

Page 355: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

354

APPENDIX A – ADDITIONAL PROOFS FOR FLASH HEATING REMOVAL RATE MODEL

A.1 Modeling Proof (Courtesy of Len Borucki)

For the following proof, one must assume that heating is mechanical in origin and

estimate the flash temperature T averaged over the wafer surface. At a fixed point on the

wafer, the largest temperature excursions occur during encounters with slurry particle-

laden pad asperities. If temperature continuity applies during these encounters, then the

temperature rise of the wafer above its body temperature will depend on the previous

contact and heating history of the asperity. It will also be assumed, for simplicity, that

fresh slurry in the bow wave cools asperities sufficiently on each pad rotation that only

the current interaction with the wafer need be considered. If an asperity at radius R from

the pad center has entered the wafer at polar angle -ψ0(R) and is currently at (R,ψ) under

the wafer (Fig. 1.19), then the contact time τ at this point is

pR Ω+= /)(),( 0ψψψτ , (A.1)

where Ωp is the pad rotation rate. After contact for time τ at mean real contact pressure

pa and constant frictional power density µkpaV, the asperity tip temperature rise θ(τ) is

then (Cowen et al., 1992),

2/1)( ττθ C= , (A.2)

where,

Page 356: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

355

p

akp

CVp

Cπκρ

µγ2= . (A.3)

In Eqn. (A.3), κ, ρ, and Cp are the thermal properties of the pad, µk is the kinetic COF

and γp is the fraction of the total frictional power density that is conducted into the pad

rather than to the slurry or wafer. From Eqn. (A.1 – A.3), the desired mean temperature

rise of asperity tips in contact with the wafer is

∫∫ ∫ ∫∫ ∫+

− −

+

− −

=== dRdRr

CdRRdCr

dAr

ww

ww

ww

ww

rc

rcpw

rc

rcww

ψψψπ

ψτπ

τθπ

θψ

ψ

ψ

ψ

0

0

0

0

2/102/12

2/122 )(1)(1

= ∫

+

ww

ww

rc

rcw

w dRRr

cV

C 2/302

2/1

2/1 )2(32

ψπ

, (A.4)

where one has to use the fact that pwcV Ω= when the pad and wafer co-rotate (Patrick et

al., 1991). The expression in square brackets in Eqn. (A.4) depends only on the wafer

radius and center location. Calling this quantity ),( ww crζ , one then has the absolute mean

temperature T as

2/1−+=+= CVTTT bb ζθ

pVV

ppC

T ap

p

kb 2/1

)]/([2 γπκρ

ζµ+= , (A.5)

where Tb is the mean body temperature. Because of the factor V-1/2 in Eqn. (A.5), the

mean flash temperature appears to vary like pV1/2. However, the factors pa/p and pγ in

square brackets also may depend on V. For example, pa/p would decrease with increasing

V if positive fluid pressures were to develop under the wafer and the velocity were to

increase enough to initiate a transition from boundary lubrication to hydroplaning. While

Page 357: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

356

possible on a flat pad, the experiments here were performed on concentrically grooved

pads that prevent significant fluid pressure development over the range of sliding speeds

used. Thus, we take pa/p to be constant.

Now consider pγ . Heat partitioning was evaluated using a 3D finite element model for

lubricated contact between a pad asperity tip and a smooth SiO2-coated silicon wafer in

relative motion. Because of our Lagrangian approach, one may consider the asperity to be

fixed and the wafer to be a slider with velocity V. The wafer at the leading edge of the

contact is held at a fixed temperature to simulate the arrival of as-yet unheated wafer

material. Heat from friction that is conducted into the wafer is advected toward the

trailing edge with velocity V. Heated slurry is also advected with a velocity that varies

linearly between zero and V in the shear layer, in which the power density µkpaV is

assumed to be evenly dissipated. The lubricating slurry was assumed to be a uniform

nanofilm with thickness on the order of the mean slurry particle size ( approximately 10

to 125 nm). Several film thicknesses were examined because the actual nano-film

thickness is unknown. Under these conditions, the 3D heat equation was integrated until

heat fluxes reached steady state (approximately 0.5 ms), after which the partition factors

were evaluated. The thermal conductivities used were 156 W/m-K for silicon (298 K),

1.4 W/m-K for SiO2, 5.98x10-2 W/m-K for slurry (treated as water) and 0.22 W/m-K for

the polyurethane pad.

For simplicity, the contacting face of the pad asperity was taken to be a square instead

circular. Contact area sizes and real contact pressures were estimated using pad physical

data and Greenwood and Williamson theory. First, it should be noted that pad surface

Page 358: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

357

height probability density functions (PDFs) often have an exponential tail (Fig. A.1)

(Borucki et al., 2004). Therefore it is assumed that the summit height PDF to have the

form )/exp()( λφ zBz −= for z > z0. If d is the equilibrium contact height of the wafer at

applied nominal pressure p, then it follows from Greenwood and Williamson theory that

p and d are related by

2/12/5*2/12/32/1

*

/)()()(34

ssds

s dEdzzdzEp κφληπφκ

η∫

∞=−= , (A.6)

if d > z0. In Eq. (A.6), )1/( 2* ν−= EE is the effective pad modulus, ηs is the summit

density and κs the mean summit curvature. At height d, an asperity of undeformed height

z>d has contact area sa dzr κππ /)(2 −= from Hertzian theory. The expected contact area

is then

sd a ddzzr κφπλφπ /)()( 22 =∫∞

. (A.7)

We pick our contact area square side length s to correspond to the mean contact area,

sds κφπλ /)(22 = . (A.8)

Combining Eqns. (A.6) and (A.8), φ(d) may be eliminated to obtain the more useful

formula

2/12/1

2/1

*2 −= s

sEps κ

ληπ . (A.9)

Similarly, the mean real contact pressure corresponding to the mean contact area is

4/32/14/14/5

2/1*

34

ss

a

pE

pp κ

ηλπ

= . (A.10)

Page 359: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

358

Formulas (A.9) and (A.10) express s and pa/p in terms of the known nominal pressure

p and the physical parameters E*, λ, ηs and κs. Here, p = 7 PSI is used and corresponds to

one of the experimental loads in this study, E=285 MPa, ν=0.5, λ=2.0 µm (Fig. A.1), and

ηs =2.0x108 /m2 (Elmufdi et al., 2004; Shan et al., 2000). The mean asperity tip curvature

κs depends on pad conditioning. If one takes κs to be a variable in Eqns. (A.9 and A.10)

and considers values from κs =2.0x104/m to 5.0x105/m, then one can find that that s

varies from 2.37 µm to 1.06 µm and pa/p from 89.4 to 1000 (Shan et al., 2000).

Based on the finite element results, we find that as V increases, the wafer side of the

lubrication layer becomes cooler due to heat advection, a larger fraction of the total heat

flows toward the wafer, and pγ therefore drops. Figure A.2(a) plots pγ as a function of V

for several contact sizes and lubrication layer thicknesses. Since pγ was only weakly

influenced by the lubricating film thickness, we report results mainly at 50 nm. In Fig.

A.2(a), pγ is seen to be sensitive to V and to approximately follow a power

law, epp V/1γγ = , where 1

pγ and e both depend on s. A power law fit is accurate except

when s and V are both small. From Fig. A.2(a) we have 431 1014.91032.2 −− −= xsxpγ and

08.1/48.1 se = , where s is in microns. Based on this, one can take

aape

apsp VppV

ppV

pp −+ == )/(/]/[ 1

2/1

1

2/1 γγγ

, (A.11)

where a=1/2+e and write Eqn. (A.5) as

ab pVTT −+= 1β , (A.12)

where

Page 360: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

359

p

apk

Cpp

πκργζµ

β/2 1

= . (A.13)

Equation (A.12), with the body temperature approximated by the ambient

temperature, is the compact thermal model in this paper. Note that the experimental

exponents a in Table 5.1 are consistent with the calculated values of e in Fig.A.2(b).

Figure A.1: Polishing pad scanning profilometry data showing evidence of an exponential right hand tail (Borucki et al., 2004)

Page 361: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

360

Figure A.2: (a) Pad heat partition factors as a function of sliding velocity and asperity contact dimension. (b) Pad heat partition factor proportionality constant and velocity exponent

(a) (b)(a) (b)

Page 362: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

361

REFERENCES Bird, R. B., W. E. Stewart and E. N. Lightfoot, Transport Phenomena. John-Wiley & Sons, Inc., 2nd edition, 2002. Baking, D. V., D. E. Glem and M. H. Sun, Application of Backside Fiber-optic System for In-situ CMP Endpoint Detection on Shallow Trench Isolation Wafers. Proceedings of SPIE, 3507: 201, 1998. Basim, G. and B. Moudgil, Effect of Soft Agglomerates on CMP Slurry Performance. Journal of Colloid and Interface Science, 256(1): 137-142, 2002. Borst, C., D. Thakurta, W. Gill, R. and Gutmann, Surface Kinetics Model for SiLK Chemical Mechanical Polishing, Journal of the Electrochemical Society, 149: G118-G127, 2002. Borst, C., D. Thakurta, W. Gill, R. and Gutmann, Chemical-Mechanical Polishing of Low Dielectric Constant Polymers and Organosilicate Glasses. Kluwer Academic Publishers, Massachusetts, 2002. Borucki, L. Personal communication, August 2004. Borucki, L., A. Jindal, T. Cale, R. Gutmann, J. Tichy, S. H. Ng, S. Danyluk, Experimental and Theoretical Analysis of Non-Rotating Copper Wafer Polishing, Proceedings of the 9th International CMP-MIC, 106-113, 2004. Borucki, L., S-H. Ng and D. Danyluk, Fluid Pressures and Pad Topograpy in Chemical-Mechanical Polishing. Journal of Tribology, in press, 2004. Borucki, L., Z. Li and A. Philipossian, Experimental and Theoretical Investigation of Heating and Convection in Copper Polishing. Journal of the Electrochemical Society, 151: G559, 2004. Brandes, R., T. Knothe, F. Klaessig, F. Menzel, W. Lortz, G. Varga, T. Shibasaki and Ara Philipossian, Metal-Doped Silica Abrasive Slurries and their Effect on Friction and Removal Rate Characteristics of ILD and STI CMP. Proceedings of the 8th International CMP-MIC, 64-69, 2003. Browne, S., J. Maze and B. Heid, Assessing a System for CMP Waste Minimization and Water Recycling. Micro Magazine, 6: 1-7, 2002. Bulsara, V., Y. Ahn, S. Chandrasekar and T. Farris, Journal of Tribology, 119: 163, 1997.

Page 363: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

362

REFERENCES – Continued

Chan, D. A., B. Swedek, A. Wiswesser and M. Birang, Process Control and Monitoring with Laser Interferometry based Endpoint Detection in Chemical Mechanical Planarization, IEEE/SEMI Advanced Semiconductor Manufacturing, 337, 1998. Chang, C. Y. and S. M. Sze., ULSI Technology. McGraw-Hill Co., Inc., New York, 1996. Charns, L. M., Characterization of Novel CMP Pads Containing Water Soluble Particles. Master’s thesis, The University of Arizona, 2003. Chen, J. and Y. Fang. IEEE Transactions of Semiconductor Manufacturing, 15: 39 2002. Chiou, H., Z. Lin, L. Kuo, S. Shih, L. Chen and C. Hsia, Thermal Impact and Process Diagnosis of Copper Chemical Mechanical Polish. Proceedings of International Interconnect Technology Conference, 83, 1999. Choi, H. Personal communication, July 2001. Chow, M., et al., U.S. Patent No. 4,789,648, issued 6 December 1988. Cook, L. M., Chemical Processes in Glass Polishing. Journal of Non-Crystalline Solids, 120: 152, 1990. Coppeta, J. R., Investigating Fuid Behavior Beneath a Wafer During Chemical Mechanical Polishing Process. Ph. D. dissertation, Tufts University, 1999. Cornely, J., Thermal Characteristics of Chemical Mechanical Planarization. Master’s thesis, Tuft’s University, 2003. Cowan, R. S., W. O. Winer, ASM Handbook: Vol. 18 Friction, Lubrication and Wear Technology. ASM International, Ohio, 1992. Dieter, G. E., Mechanical Metallurgy. McGraw Hill, New York, 1986. Dunton, V. and F. Szarka, STI-CMP Process Control Improvement with Optical Endpoint Detection, Electrochemical Society Meeting Abstracts, MA 99-2: 1207, 1999. Introduction to Optical Principles. University of Alabama A&M, Class Notes, 2004. Electromigration. Semiconductor Glossary, http://semiconductorglossary.com, 2005.

Page 364: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

363

REFERENCES – Continued Elmufdi, C. L., A. Paesano, G. P. Muldowney and D. B. James, Solid Mechanics of Grooved CMP Pads: Modeling and Experiments. Proceedings of the 9th International Symposium on Chemical-Mechanical Planarization (CAMP), 2004. Fukuda, T., Journal of. Applied Physics, 34: 6A, 1995. Fujita, T., T. K. Doi, M. Touzov and S. Michiya. Control of Edge Polishing Profile with Air Float Carrier, ISSM Proceedings, 2001. Gan, T., Modeling of Chemical Mechanical Polishing for Shallow Trench Isolation. Ph. D. dissertation, Massachusetts Institute of Technology, May 2000. Geankoplis, C., Transport Processes and Unit Operations. Prentice Hall Inc., New Jersey, 1993. Goetz, F. E. and J. R. Hause. U.S. Patent No. 3,691,694, issued 19 September 1972. Hetherington, D. L. and D. J. Stein, Recent Advances in Endpoint and In-line Monitoring Techniques for Chemical-Mechanical Polishing Processes. Proceedings of the 6th International CMP-MIC, 315-323, 2001. Hocheng, H., Y. Huang and L. Chen, Kinematic Analysis and Measurement of Temperature Rise on a Pad in Chemical Mechanical Planarization. Journal of the Electrochemical Society, 146: 4236, 1999. Homma, Y., F. Fukushima, S. Kondo and N. Sakuma, Effects of Mechanical Parameters on CMP Characteristics Analyzed by Two-Dimensional Frictional-Force Measurements, Journal of the Electrochemical Society, 150: G751-G757, 2003. Karaki, T., S. Miyake and J. Watanabe, Facilitation Mechanism of Polishing Rate in Mechanochemical Polishing of Si Single Crystal. Bulletin of Japan Society of Precision Engineering, 12: 207, 1978. Kushibe, K., U.S. Patent No. 3,948,703, issued 6 April 1976. Kim, H., H. Kim, H. Jeong, E. Lee and Y. Shin, Friction and Thermal Phenomena in Chemical Mechanical Polishing. Journal of Materials Processing Technology, 130-131: 334-338, 2002. Kim, S-Y., H-S. Kim, Y-S. Kim, K-H. Suh and J. Lee, A study of End Point Detection Measurement for STI-CMP Applications, Proceedings of the 6th International CMP-MIC, 595-598, 2001.

Page 365: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

364

REFERENCES – Continued Kim, S-Y., C-J. Park and Y-J. Seo, Signal Analysis of the End Point Detection Method Based on Motor Current, Journal of Microelectronic Engineering, 66: 472-479, 2003. Lee, B., D. S. Boning, D. L. Hetherington and D. J. Stein, Using Smart Dummy Fill and Selective Reverse Etchback for Pattern Density Equalization. Proceedings of the 5th International CMP-MIC,255-258, 2000. Lefevre, P., S. W. Rader, P. Van Calcer, C. Poutasse, K. Ina, K. Sakai and K. Tamai, Fujimi Planarite First Slurry for a Three Slurries Low K CMP Process. Proceedings of the 7th International Symposium on Chemical-Mechanical Planarization (CAMP), Lake Placid, NY, 2002. Lenz, R. W., Organic Chemistry of Synthetic High Polymers. Interscience, 1967. Levert, J. A., Interface Mechanics of Chemical Mechanical Polishing for Integrated Circuit Planarization. Ph. D. dissertation, Georgia Institute of Technology, 1997. Levy, P., S. Rader, P. Lefevre, K. Ina, F. Shadman, M. Sugiyama and A. Philipossian, Analytical and Functional Characterization of Recycled Fumed Silica Slurries in ILD CMP. Proceedings of the 204th Electrochemical Society Meeting, 2003. Li, Z., L. Borucki and A. Philipossian, Determining the Effect of Slurry Flow Rate on the Tribological, Thermal and Removal Rate Attributes of Copper CMP (Abstract 899). 204th Meeting of Electrochemical Society, 2003. Lim, S. C. and M. F. Ashby, Wear Mechanism Maps. Acta Metallurgica, 35: 1-24, 1987. Lin, B-T. and S-N. Lee, An Effective End Point Detector on Oxide CMP by Motor Current, IEEE/SEMI Advanced Semiconductor Manufacturing, 295-298, 1999. Lu, J. C., Fluid Film Lubrication in Chemical Mechanical Planarization. Master’s thesis, Tufts University, 2001. Lu, J. C., J. Coppeta, C. Rodgers, L. Racz, A. Philipossian , F. B. Kaufman and M. Moinpour, The Effect of Wafer Shape on Slurry Film Thickness and Friction Correlation in Chemical Mechanical Planarization. Material Research Society Proceedings, 613: E1.2, 2000. Lu, J., J. E. Garland, C.M. Petite, S.V. Babu and D. Roy, Electrochemical Studies of Copper Chemical Mechanical Polishing Mechanism: Effects of Oxidizer Concentration. Proceedings of Material Research Society Symposium, 767: F6.4.1, 2003.

Page 366: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

365

REFERENCES – Continued Ludema, K. C., Friction, Wear, Lubrication: A Textbook in Tribology. CRC Press, Inc., 1996. Marinescu, I. D., H. K. Tonshoff and I. Inasaki., Handbook of Ceramic Grinding and Polishing. William Andrew Publishing, LLC., New York, 2000. McCauley, C. J., et al., Eds. Machinery’s Handbook, 27th Edition. Industrial Press, New York, 2004. McIntosh, R. B., R. A. Paquin, Chemical-mechanical polishing of low-scatter optical surfaces. Applied Optics 19 (14): 2329-2331, 1980. Microelectronics and the Environment. University of Arizona, Department of Chemical and Environmental Engineering, Spring 2002. Class notes. Moore, D. F., Principles and Applications of Tribology. Pergamon Press Inc., New York, 1975. Moriyama, S., K. Yamaguchi, Y. Honma and K. Yasui, An Endpoint Detector for Planarization of Semiconductor-devices by Chemical-mechanical Polishing, International Journal of the Japan Society for Precision Engineering, 30: 55-58, 1996. Mullany, B. and G. Byrne, The Effect of Slurry Viscosity on Chemical Mechanical Polishing of Silicon Wafers. Journal of Materials Processing Technology, 132: 28-34, 2003. Nelson, N. J., U.S. Patent No. 4,632,727, issued 30 December 1986. Neolithic Period. Encyclopedia Britannica, from Encylopedia Britannica Premium Service, http://britannica.com/eb/article?tocId=9055271, 2005. Oliver, M., Editor. Chemical Mechanical Planarization of Semiconductor Materials. Springer-Verlag, Germany, 2004. Olsen, S., Tribological and Removal Rate Characterization of ILD CMP. Master’s thesis, The University of Arizona, 2002. Optima 9300 Series Chemical-Mechanical Polishing Endpoint Controller Users Manual, Luxtron Corporation, Santa Clara, CA, 1999. Parks, R., Classical Grinding and Polishing Techniques. American Society for Precision Engineering Tutorial, 1990.

Page 367: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

366

REFERENCES – Continued Patrick, W. J., W. L. Guthrie, C. L. Standley and P. M. Schiable, Applications of Chemical Mechanical Polishing to the Fabrication of VLSI Circuit Interconnections. Journal of the Electrochemical Society, 138: 1778, 1991. Philipossian, A. and E. Mitchell, Micro Magazine, 20: 85, 2002. Philipossian, A. and S. Olsen, Fundamental Tribological and Removal Rate Studies of Inter-Layer Dielectric Chemical Mechanical Planarization. 42: 6371, 2003. Plummer, J. D., M. D. Deal and P. B. Griffin., Silicon VLSI Technology. Prentice Hall, New Jersey, 2000. Polyurethane synthesis. http://islnotes.cps.msu.edu/ trp/back/cur_urth.html, 2004. Press, W. H., S. A. Teulkolsky, W. T. Vetterling and B. P. Flannery, Numerical Recipes in C. 2nd Ed., Cambridge University Press, New York, 1992. Preston, F. W., The Theory and Design of Plate Glass Polishing Machines, Journal of the Society of Glass Technology, 11: 214-256, 1927. Regh, J., et al., U.S. Patent No. 3,436,259, issued 1 April 1969. Runnels, S. R. and L. M. Eyman, Tribology Analysis of Chemical-mechanical Polishing. Journal of the Electrochemical Society, 141(6): 1698-1701, 1994. Sasaki, K., Y. Nobuo, F. Kaneko, T. Hirabayashi and K. Higuchi, U.S. Patent No. 5,770,095 issued 23 June 1998. Senkader, S. and P. Wilshaw. Journal of Applied Physics, 89: 9, 2001. Shan, L., Mechanical Interactions at the Interface of Chemical Mechanical Polishing. Ph.D. dissertation, Georgia Institute of Technology, 2000. Shan, L., J. Levert, L. Meade, J. Tichy and S. Danyluk, Interfacial Fluid Mechanics and Pressure Prediction in Chemical Mechanical Polishing. Journal of Tribology, 122: 539, 2000. Shaw, D. and J. Chang. IEEE Transactions of Components Packaging Technology, 24: 661, 2001. Shi, F. and B. Zhao, Modeling of Chemical Mechanical Polishing with Soft Pads, Applied Physics A – Materials Science and Processing, 67: 249-252, 1998.

Page 368: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

367

REFERENCES – Continued Singer, P., Tantalum, Copper and Damascene: The Future of Interconnects. Semiconductor International, 21: 91-98, 1998. Sohn, I., B. Moudgil, R. Singh and C. Park, Hydrodynamics of a CMP Process. Material Research Society Symposium Proceedings, 566: 181, 2000. Sorooshian, J., A. Philipossian, M. Goldstein, S. Beaudoin and W. Huber, Effect of Wafer Geometry on Pressure Distributions During CMP. Proceedings of the 8th International CMP-MIC, 355, 2003. Sorooshian, J., D. DeNardis, L. Charns, Z. Li, D. Boning, F. Shadman and A. Philipossian, Determining the Thermal Attributes of CMP through Controlled Removal Rate vs. Temperature Experiments. Proceedings of the 8th International CMP-MIC, 2003. Sorooshian, J., D. DeNardis, L. Charns, Z. Li, F. Shadman, D. Boning, D. Hetherington and A. Philipossian, Arrhenius Characterization of ILD and Copper CMP Processes. Journal of the Electrochemical Society, 151: G85-G88, 2004. Stavreva, Z., D. Zeidler, M. Plotner and K. Drescher, Characteristics in Chemical Mechanical Polishing of Copper: Comparison of Polishing Pads. Applied Surface Science, 108: 39-44, 1997. Steigerwald, J. M., S. P. Murarka and R. J. Guttmann., Chemical Mechanical Planarization of Semiconductor Materials. John Wiley and Sons, Inc., New York, 1997. Stein, D. J. and D. L. Hetherington, Review and Experimental Analysis of Oxide CMP Models, Chemical Mechanical Planarization in IC Device Manufacturing III, R. Opila et al., eds., The Electrochemical Society Proceedings Series, Pennington, NJ, PV 99-37: 217-233, 2002. Sugimoto, F., Y. Arimoto and T. Ito, Japanese Journal of Applied Physics, 34: 6314, 1995. Sundararajan, S., D. G. Thakurta, D. W. Schwendeman, S. P. Murarka and W. N. Gill, Two-dimensional Wafer-scale Chemical Mechanical Planarization Models Based on Lubrication Theory and Mass Transport. Journal of the Electrochemical Society, 146(2): 761-766, 1999. Szeri, A., Fluid Film Lubrication: Theory and Design. Cambridge University Press, New York, 1999.

Page 369: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

368

REFERENCES – Continued Thakurta, D. G., C. L. Borst, D. W. Schwendeman, R. J. Gutmann and W. N. Gill, Pad Porosity, Compressibility and Slurry Delivery Effects in Chemical Mechanical Planarization: Modeling and Experiments. Thin Solid Films, 366: 181-190, 1994. The International Technology Roadmap for Semiconductors (ITRS) 2003 edition. Technical report. Semiconductor Industry Association (SIA), San Jose, CA, 2003. Tomozawa, M., Oxide CMP Mechanisms. Solid State Technology: 169-175, 1997. Tribology. Merriam Webster Dictionary, www.m-w.com, 2005. Tseng, W. and Y. Wang, Re-examination of Pressure and Speed Dependencies of Removal Rate during Chemical Mechanical Polishing Processes, Journal of the Electrochemical Society, 144: L15-L17, 1997. Tseng, W., Y. Wang, J. Chin and W. Pan. Electrochemical Society Proceedings, 98-7: 98, 1999. Tugbawa, T., T. Park, B. Lee, D. Boning, P. Lefevre and L. Camilletti, Modeling of Pattern Dependencies in Abrasive-free Copper Chemical Mechanical Polishing Processes. Proceedings of Material Research Society Symposium, 671: M4.3.1, 2001. Twyman, F. Optical Glass Working. Hilger and Watts Limited, England, 1955. Ushio, Y., T. Ueda, H. Nakahira, E. Matsukawa and M. Koyama, In-situ Monitoring of CMP Process Utilizing 0-order Spectrometry, Proceedings of the 4th International. CMP-MIC, 23-29, 1999. Vlassak, J., A Model for Chemical-Mechanical Polishing of a Material Surface Based on Contact Mechanics. Journal of Mechanics and Physics of Solids, TBD, 2004. Walsh, R. J. and A. Herzog., U.S. Patent No. 3,170,273, issued 23 February 1965. Wang, D., J. Lee, K. Holland, T. Bibby, S. Beaudoin and T. Cale, von Mises Stress in Chemical Mechanical Polishing Processes. Journal of the Electrochemical Society, 144: 1121-1127, 1997. White, D., J. Melvin and D. Boning, Characterization and Modeling of Dynamic Thermal Behavior in Chemical Mechanical Polishing. Journal of the Electrochemical Society, 150: G271, 2003.

Page 370: TRIBOLOGICAL, THERMAL AND KINETIC CHARACTERIZATION …arizona.openrepository.com/arizona/bitstream/10150/194809/1/azu... · entitled Tribological, Thermal and Kinetic Characterization

369

REFERENCES – Continued Wijekoon, K., S. Tsai, D. Bennett, M. Chandrachood, R. Abbassi, F. Redeker and R. Tooles, Abstract 1221, The Electrochemical Society and The Electrochemical Society of Japan Meeting Abstracts, 99-158, 1999. Williams, J.A., Wear Modeling: Analytical, Computational and Mapping: A Continuum Mechanics Approach. Wear, 225-229: 1-17, 1999. Zantye, P. B., A. Kumar and A. K. Sikder, Chemical Mechanical Planarization for Microelectronics Applications. Materials Science and Engineering, R45: 89-220, 2004. Zhang, F. and A. Busnaina, The Role of Particle Adhesion and Surface Deformation in Chemical Mechanical Polishing Processes, Electrochemical and Solid-State Letters, 1: 184-187, 1998. Zhang, Y., P. Parikh, P. Golubtsov and B. Stephenson. Electrochemical Society Proceedings, 96-22: 91, 1996. Zhao, B. and F. Shi, Chemical Mechanical Polishing – Threshold Pressure and Mechanism, Electrochemical Solid-State Letters, 2: 145-147, 1999. Zhao, B. and F. Shi, Chemical Mechanical Polishing in IC Processes: New Fundamental Insights, Proceedings of the 4th International CMP-MIC, 13-22, 1999. Zhou, C., L. Shan, J. Hight, S. Ng and S. Danyluk, Fluid Pressure and its Effects on Chemical Mechanical Polishing. Wear, 253: 430, 2002.