12
TOWARDS A PRODUCTION WORTHY 0.13 μm PROCESS USING ALTERNATING PHASE SHIFT MASKS Koen van Ingen Schenau, Jo Finders, Martin Burkhardt, Gert-Jan Janssen, Stephan Sinkwitz ASML Veldhoven, The Netherlands Linda K. Somerville, Richard Holscher, James P. Rominger Micron Technology, Boise, Idaho, U.S.A. This paper was first presented at the Olin Microlithography Seminar, November 1999, San Diego, California, USA

TOWARDS A PRODUCTION WORTHY 0.13 m PROCESS … · TOWARDS A PRODUCTION WORTHY 0.13 µm PROCESS USING ALTERNATING PHASE SHIFT MASKS ... focus latitudes, ... In the 1998 ITRS roadmap

Embed Size (px)

Citation preview

TOWARDS A PRODUCTION WORTHY 0.13 µm PROCESS USING ALTERNATING PHASE SHIFT MASKS

Koen van Ingen Schenau, Jo Finders, Martin Burkhardt, Gert-Jan Janssen, Stephan Sinkwitz ASML Veldhoven, The Netherlands

Linda K. Somerville, Richard Holscher, James P. RomingerMicron Technology, Boise, Idaho, U.S.A.

This paper was first presented at theOlin Microlithography Seminar,

November 1999, San Diego, California, USA

1

TOWARDS A PRODUCTION WORTHY 0.13 µm PROCESS USING ALTERNATING PHASE SHIFT MASKS

Koen van Ingen Schenau, Jo Finders, Martin Burkhardt, Gert-Jan Janssen, Stephan Sinkwitz ASML Veldhoven, The Netherlands

Linda K. Somerville, Richard Holscher, James P. RomingerMicron Technology, Boise, Idaho, U.S.A.

ABSTRACT

Alternating phase shift masks (alt PSM) were used to optimize a 130 nm process using the PAS 5500/700 Step & Scansystem. This Step & Scan system has a variable NA capability up to 0.70[9]. The impact of NA and coherence onisolated, semi-dense and dense lines was evaluated. Imaging performance with alt PSM was evaluated by comparingit to binary masks with annular illumination.

Compared to binary image masks (BIM), process windows for isolated lines were dramatically improved by alt PSM.Isolated lines can be printed at the isofocal CD for selected coherence settings, almost independent of the NA. Fornominal 125 nm isolated lines, the isofocal CD was 125 nm for sigma at 0.36; the depth of focus was more than 1.0 µm.

For dense lines, alt PSM improves the exposure latitude and the mask error factor (MEF) up to 400 nm focus rangecompared to BIM using annular illumination. For exposure latitude and MEF, the optimum condition for alt PSM wasfound at an NA of 0.70 and at a σ of 0.31. The great potential of alt PSM is demonstrated by the MEF of just 1.1 for125 nm dense lines.

In the initial experiments, production worthiness for 130 nm dense lines was limited by the phase error of the mask. Inparticular the dislocation phenomenon reduces focus latitude below the desired 600 nm. This phase error needs somefurther study to make phase-shifting masks a production worthy technology.

1. INTRODUCTION

According to the 1998 International TechnologyRoadmap for Semiconductors (ITRS), the 130 nmtechnology node will be introduced to production in2002[1]. The corresponding minimum feature sizes are130 nm for dense lines (DRAM half pitch) and 100 nmfor isolated lines (MPU Gates). Whether the 193 nm or248 nm exposure wavelength will be applied for thistechnology node is still under investigation. For the 130nm technology mode, the Delphi roadmap[2] indicatesthat a wavelength of 248 nm with alt PSM is a promisingcombination for both dense and isolated lines.

Alternating phase-shifting masks have been an area ofresearch since the first publication on the subject[3].They were initially used for printing of dense featuresand later for isolated features using a phase edge4. Butpractical issues, such as phase conflicts, the end-of-lineof isolated lines, and reduced throughput for doubleexposure techniques, have limited the use of strongphase shifting masks in R&D environments. The desirefor larger process windows has recently driven thesemi-conductor manufacturers to consider the

production of phase-shifting masks[5,6,7]. The masks’sensitivity to manufacturing and exposure-tool errors,such as sigma variations, projection lens aberrations orresist processing conditions, needs investigating.

This paper focuses on process optimization of 130 nmdense-line imaging using a high NA 248 nm exposuretool. This feature size and pitch implies that, apart fromconventional process windows based on exposure andfocus latitudes, the MEF plays a key role in terms of CDcontrol[8].

2. EXPERIMENTAL CONDITIONS

2.1 Photoresist Processing

Wafers were processed using a DUV microlithographycluster tool from the FSI POLARIS‚ 2100 series. Fivestate-of-the-art photoresists were used for this study.Substrates for these resists had both organic bottomanti-reflective coatings (BARC), as well as, an inorganicBARC, SiON.

2

2.2 Exposure Tool

The ASML PAS 5500/700B DUV Step & Scan exposuretool was used for this experiment[9]. It has an NA of 0.7,4x projection lens, AERIALTM II high transmissionilluminator and ATHENA advanced alignment system.The new StarlithTM 700 lens is a variable 0.5 – 0.7 NAlens.

2.3 Reticle

The alternating phase-shift mask that was used in thisstudy has a 4x reduction quartz mask and was writtenon an ALTA3500. The width of the line was targeted at150 nm (600 nm on the reticle). Before the quartz etch,the measurements of the linewidth were done on anLWM250. The mask has isolated and dense lines ofvarying chrome width. These lines are both binary andphase shifted (0 and 180 degrees).

2.4 SEM Equipment

The OPAL7830si CD SEM was used for top-down CDand LER measurements. The tool is specified at 180nm, but linearity and repeatability were confirmed downto 125 nm. The LER algorithm was based on 64 framesusing 20 nm scan steps. LER values were recorded forevery measured CD without affecting measurementtime. CD measurements of the mask were done usinganother CD SEM that was labelled CD SEM ‘B’.

2.5 Method of Analysis

ProData software from FINLE was used to constructexposure-defocus (ED) windows and to quantifyprocess latitudes. The process latitudes were based onCD requirements of ±10%. Calculations for thedepth-of-focus against the exposure latitude wereperformed using rectangle fits.

3. IMPACT OF OPTICAL SETTINGS

The impact of the NA and the coherence wasinvestigated for alt PSM that aimed at critical features of130 nm. The imaging performance of a /700 withstandard binary masks and annular illumination wasused in this study as a reference point. The 250 nmthick resist A on an organic BARC, was used for most ofthe research in this chapter.

3.1 Isolated Lines

In the 1998 ITRS roadmap in Table 1, the CD target forthe isolated lines is 100 nm for the 130 nm technologynode. The required usable depth of focus is 0.6 µm. Inorder to accomplish this focus latitude, opticalenhancement techniques will be required. One way toenhance the DoF of isolated features is to applyscattering bars. In this way, the diffraction patternappears more like the diffraction pattern of dense lines.Further, off-axis illumination can be applied to enhancethe DoF. A disadvantage of this technique is that theMEF for the isolated lines will increase.

The use of alt PSM is an enabling technique in terms offocus latitude. In Figure 1A and B, the Bossung curvesfor 150 nm isolated lines for binary masks (BIM) and125 nm isolated lines for alt PSM were compared.Depth of focus is ~0.5 µm for BIM at 150 nm while it ismore than 1.0 µm for alt PSM at 125 nm. DoF increasesbecause the isolated lines can now be printed close tothe isofocal CD.

The isofocal position depends on the partial coherenceas shown in Figure 2. With an NA of 0.70, a delta sigmaof 0.02 leads to a delta isofocal CD of ~10 nm. Thefigure shows that the 125 nm isolated lines can beprinted at isofocal CD for a sigma of 0.36. This isindependent of the NA. In comparison, the isofocal CDwas nominal for the 150 nm isolated lines with a sigmaof 0.39.

Finally, MEF plays a key role in the calculation of the CDbudget. With alt PSM, MEF approaches zero whenreducing chrome-line width, while MEF is >1 and further

Table 1 ITRS roadmap, 1998 update. *) Extrapolation from roadmap.

Year 1997 1999 2002 2005Technology node 250 180 130 100Dense lines(DRAM half pitch, nm)

250 180 130 100

Isolated line (gate, nm)

200 140 100 70

DoF (nm) 800 700 600 500CD control (gate, 3σ, nm)

20 14 10 7

CD control (DRAM, 3σ, nm)*

25 18 13 10

Mask CD control (dense, 3σ, nm)

32 23 17 13

PSM Transmission (±, %)

-- 2 2 2

PSM Phase (±, %) -- 0.2 0.2 0.2Product overlay (µ + 3σ)

85 65 45 35

3

BIM: NA = 0.70, σ = 0.85/0.55

.alt PSM: NA = 0.70, σ = 0.39

Figure 1 A: BIM (150 nm) vs. B: alt PSM (125 nm)Isolated lines response.

0.10

0.08

0.14

0.16

0.18

0.20

0.12

-0.6 -0.4 -0.2 0.20 0.4 0.6

CD

[m

]

focus [ m]

19 mJ/cm2

20 mJ/cm2

21 mJ/cm2

22 mJ/cm2

23 mJ/cm2

24 mJ/cm2

25 mJ/cm2

26 mJ/cm2

27 mJ/cm2

I-13

510.

ILL

(A)

0.10

0.08

0.14

0.16

0.18

0.20

0.12

12 mJ/cm2

14 mJ/cm216 mJ/cm2

18 mJ/cm220 mJ/cm2

22 mJ/cm2

CD

[m

]

focus [ m]-0.6 -0.4 -0.2 0.20 0.4 0.6

I-13

511.

ILL

(B)

Isofocal CD for 125 nm isolated lines, alt PSM

Figure 2 Isofocal CD versus NA and Sigma for 125 nm isolated lines.

Process latitudes: alt PSM vs. BIM

Figure 3 125 nm dense lines response. BIM (NA=0.70, σ = 0.85/0.55) versus alt PSM(NA = 0.70, σ = 0.31

0.30

0.32

0.34

0.36

0.38

0.40

0.42

0.44

0.46

0.56 0.58 0.60 0.62

144

164

138 137

99

120

0.64 0.66 0.68 0.70 0.72

90100

170 above180

110120

130140

150160

sigm

a

NA

I-13

512.

ILL

5

0

15

20

25

10

0 0.2 0.4 0.6 0.8

psm_d125bim_d125

expo

sure

latit

ude

[%]

focus range [ m]

I-13

513.

ILL

(A)

increases without the phase shift[10]. Other contributingfactors to the CD budget, such as phase shift maskquality, have not been included in this study

3.2 Dense Lines

The main focus of this paper is on process optimizationfor the 130 nm DRAM-half pitch. For this purpose, theimaging performance of the 125 nm equal lines andspaces, and the 100 nm and 125 nm lines and spaceswith ratio 1:1.5 were investigated. The required usable

depth of focus for production is 600 nm, while theestimated required CD control is 13 nm (3σ).

Imaging performance using BIM and alt PSM werecompared. As seen in Figure 3A, alt PSM yields betterexposure latitude for 125 nm dense lines up to 400 nmfocus range. Maximum exposure latitude is 22% for altPSM, while 15% for BIM using annular illumination.Table 2 shows that both have 9% exposure latitude for600 nm focus range.

BIM: NA = 0.70, σ = 0.85/0.55

.alt PSM: NA = 0.70, σ = 0.39

Figure 1 A: BIM (150 nm) vs. B: alt PSM (125 nm)Isolated lines response.

0.10

0.08

0.14

0.16

0.18

0.20

0.12

-0.6 -0.4 -0.2 0.20 0.4 0.6

CD

[m

]

focus [ m]

19 mJ/cm2

20 mJ/cm2

21 mJ/cm2

22 mJ/cm2

23 mJ/cm2

24 mJ/cm2

25 mJ/cm2

26 mJ/cm2

27 mJ/cm2

I-13

510.

ILL

(A)

0.10

0.08

0.14

0.16

0.18

0.20

0.12

12 mJ/cm2

14 mJ/cm216 mJ/cm2

18 mJ/cm220 mJ/cm2

22 mJ/cm2

CD

[m

]

focus [ m]-0.6 -0.4 -0.2 0.20 0.4 0.6

I-13

511.

ILL

(B)

Isofocal CD for 125 nm isolated lines, alt PSM

Figure 2 Isofocal CD versus NA and Sigma for 125 nm isolated lines.

Process latitudes: alt PSM vs. BIM

Figure 3 125 nm dense lines response. BIM (NA=0.70, σ = 0.85/0.55) versus alt PSM(NA = 0.70, σ = 0.31

0.30

0.32

0.34

0.36

0.38

0.40

0.42

0.44

0.46

0.56 0.58 0.60 0.62

144

164

138 137

99

120

0.64 0.66 0.68 0.70 0.72

90100

170 above180

110120

130140

150160

sigm

a

NA

I-13

512.

ILL

5

0

15

20

25

10

0 0.2 0.4 0.6 0.8

psm_d125bim_d125

expo

sure

latit

ude

[%]

focus range [ m]

I-13

513.

ILL

(A)

4

.

Figure 3a Corresponding SEM pictures for 125 nm dense lines in best focus. (B) BIM (C) alt PSM

(B) (C)

One of the key components to the CD budget for denselines is the reticle. For BIM this is due to the high MEF.For 150 nm dense lines, MEF was measured to be 3.1for resist A at its best focus, using annular illumination(NA at 0.70, σ at 0.85/ 0.55). To apply this value to theITRS specified mask CD uniformity of 17 nm (3σ, 4x),would yield 13 nm (3σ). This would consume the entirewafer CD budget. For 125 nm dense lines, MEF wouldbe larger than 3.1 making the situation worse.

As expected, lower MEF values could be obtained usingalt PSM. For 150 nm dense lines, MEF was measured to

be 1.3 using a NA of 0.70 and sigma of 0.31, see Figure4. Furthermore, MEF only slightly increased for 125 nmdense lines, to 1.4. Assuming the same mask CDcontrol as for BIM, the results demonstrated a greatpotential of alt PSM.

The optimum NA and coherence settings for dense linesusing alt PSM depend on the resolution and focuslatitude requirements. For 150 nm dense lines, the

Table 2 Process latitudes for 125 nm dense lines, BIM vs. alt PSM.*) estimate

Mask NA σ

DoF 10%EL

[µm]

Max EL[%]

EL:600nm[%]

Iso-focal[nm]

MEF

Binary .70 0.85/.55

0.5 15 9 132 4 *

AltPSM

.57 0.39 0 9 1 -- 2 *

AltPSM

.57 0.45 0 0 0 -- 3 *

AltPSM

.63 0.35 0.7 21 12 118 1.8

AltPSM

.63 0.39 0.7 20 12 110 2.0

AltPSM

.70 0.31 0.6 22 9 102 1.4

AltPSM

.70 0.39 0.5 22 9 127 1.8

Mask error factor for BIM and alt PSM

Figure 4 MEF versus NA and sigma for 125 nm and 150 nm dense lines.

0.5

0

2.0

2.5

3.0

3.5

1.0

1.5

0.7, 0.85/0.55

0.57, 0.39

0.57, 0.45

0.63, 0.35

0.63, 0.39

0.7, 0.31

0.7, 0.39

d125BIM PSM

d150

ME

F

NA/ sigma

I-13

514.

ILL

5

largest exposure latitude over 600 nm focus range wasfound at NA of 0.63 and sigma of 0.35 as shown inTable 3. Based on maximum exposure latitude andMEF, the NA of 0.70 and sigma of 0.31 settings appearto be optimal for the 125 nm dense lines. Some DoF canbe gained when going to lower NA, but at the loss ofMEF.

3.3 Semi-dense Pitches

CD proximity effects are considered to be a major issuefor alt PSM[11]. When going from 450 nm pitch to380 nm pitch using an NA of 0.57 and σ of 0.31, thedose-to-size was reported to increase more than 30%for 150 nm lines.

Using the /700 with an NA of 0.70 and a σ of 0.31, CDproximity effects were greatly reduced for 150 nm linesas shown in Figure 5. For this experiment, a 400 nmthick resist B was used on SiON. The measurementswere taken for both 100 nm and 150 nm lines, while thepitch was varied with 25 nm steps. The CD range for allpitches of 150 nm lines was just 21 nm, while the CDresponse for the 300 nm to 400 nm pitch range was flat.For the 100 nm lines, the pitch linearity curve illustratesthe characteristic behavior of coherent illumination. Thetotal CD range increases to 32 nm.

After interpolating these results to 125 nm, a CD rangefor all pitches of about 25 nm was expected. The CDoffset for the 250 nm and 312.5 nm pitches was 5 nm,and the overlapping EL was 22% using resist B. Thetotal CD range increases to 32 nm.

After interpolating these results to 125 nm, a CD range

for all pitches of about 25 nm was expected. The CDoffset for the 250 nm and 312.5 nm pitches was 5 nm,and the overlapping EL was 22% using resist B.Theoverlapping ED-window for resist A was plotted inFigure 6. The overlapping EL was 15%, and theoverlapping DoF for 10% EL was 0.35 µm using arectangle, and 0.50 µm using an ellipse fit.

Also for the 100 nm lines with 250 nm pitch, largeprocess windows were found. The exposure latitudewas 23% in best focus and 11% over a 600 nm focusrange.

Table 3 Process latitudes for 150 nm dense lines, BIM vs. alt PSM (resist A)

Mask NA s

DoF with 10%EL

[µm]

max EL[%]

EL over 600 nm FR [%]

Iso-focal[nm]

MEF

Binary

.70 0.85/.55

0.8 18 13 160 3.1

AltPSM

.57 0.39 1.0 22 14 149 1.4

AltPSM

.57 0.45 0.6 22 10 152 1.9

AltPSM

.63 0.35 1.0 24 17 153 1.4

AltPSM

.63 0.39 0.9 22 15 155 1.5

AltPSM

.70 0.31 0.8 28 13 151 1.3

AltPSM

.70 0.39 0.7 26 13 162 1.5

Pitch linearity alt PSM: NA = 0.70, σ = 0.31

Figure 5 Pitch linearity for 100 nm and 150 nm lines using alt PSM (NA = 0.70), σ = 0.31).

NA = 0.70, σ = 0.31, alt PSM

Figure 6 Overlapping ED-Windows for 125 nm lines/spaces with 1:1 and 1:1.5 ratios.

100

90

120

140

130

150

160

110

200 400 600 800 1000 1200 1400 1600

150nm_line100nm_line

CD

[nm

]

pitch [nm]

I-13

522.

ILL

13

14

15

16

17

18

19

20

12-0.6 -0.5 -0.4 -0.3 -0.2 -0.1 0 0.1 0.2 0.3 0.4

d125s125overlap

ener

gy [m

J/cm

2 ]

focus [ m]I-

1351

5.IL

L

6

4. IMPACT OF PROCESS

Using the optimum NA and coherence settings for altPSM at dense lines of 125 nm, the impact of variousprocess parameters (resist type, substrate and filmthickness) was evaluated.

4.1 Processing Aspects

For resist A and B, experiments were run using both 250nm and 400 nm film thickness (FT). The impact of theaspect ratio on the process window size wasinvestigated. The aspect ratio, as defined by the ratio ofFT over linewidth, ranges from 2.0 to 3.2 for nominal125 nm lines but is higher for the overexposed regions.

For resist A and nominal 125 nm dense lines, theadvantage of thinner film is clear when using organicBARC. Features smaller than 130 nm are washed awayfor ’thick’ resist, while ’thin’ resist still yields standinglines below 100 nm. Changing the substrate to SiON,however, improves adhesion dramatically. As a result,90 nm lines are still present for ’thick’ resist, and 70 nmlines are still present for ’thin’ resist (see Figure 7A andB). Clearly SiON improves adhesion. This might eitherbe caused chemically by lower surface acidity orphysically by, for example, micro-roughness

For resist B, film thickness was less critical. Using adifferent organic BARC, there were features of 75 nmpresent for both 250 nm and 400 nm thick resist.Applying SiON yielded some improvement; the smallestlines were 70 nm for 400 nm thick resist. This led to anaspect ratio of >5. For both resists, the profiles wereacceptable at 250 nm film thickness.

As previously described, the substrate impactsadhesion. This was especially the case for aspectratio >3. Apart from the adhesion aspect, no significantdifference in imaging performance was observedbetween the organic BARCs and SiON, for both resist Aand B.

4.2 Impact of Resist Type

The imaging performance of resists A and B wascompared for BIM using annular illumination (NA at0.70, σ at 0.85/0.55). Resist B had a higher contrast andoutperformed resist A; exposure latitude for 150 nmdense lines was 20% vs. 18%; and MEF was 2.6 vs. 3.1.

5. LINE EDGE ROUGHNESS

Increasingly, line edge roughness (LER) is seen as oneof the key response parameters for resist design andprocess optimization[12]. In most cases, however, LERis still judged qualitatively as LER quantification has notyet been standardized. Target values for LER, therefore,are not defined in the various roadmaps. To date, theimpact on yield is still not well understood.

In this section, process optimization for LER was basedon the routine as described in the experimental part.The relation between LER and aerial image (NA,defocus), feature size and process (resists, substrate)was investigated. Finally, the impact of LER on patterntransfer was evaluated using SiON and a standard etchchemistry.

Resist A, FT = 400 nm, SiON Resist A, 250 nm, SiON

Figure 7 Bossung plots for 125 nm dense lines: (A) 400 nm vs. (B) 250 nm thick resist A on SiON.

0.05

0.10

0.15

0.20

16 mJ/cm218 mJ/cm214 mJ/cm2

24 mJ/cm222 mJ/cm2

20 mJ/cm2

CD

[mm

]

focus [ m]-0.6 -0.4 -0.2 0 0.2 0.4

I-13

517.

ILL

(A)

0.05

0.10

0.15

0.20

-0.6 -0.4 -0.2 0 0.2 0.4

16 mJ/cm218 mJ/cm214 mJ/cm2

24 mJ/cm222 mJ/cm2

20 mJ/cm2

CD

[mm

]

focus [ m]

I-13

516.

ILL

(B)

7

5.1 The Impact of NA and Coherence

The relation between LER and aerial image quality canbe verified through various NA and coherence settings,as well as, defocus positions. In Figure 8, both LER andexposure latitude were compared against focus for BIMand alt PSM. The figures shows that LER correlates withEL and thus, with aerial image quality.

The impact of NA and coherence on LER can be seenin Figure 9. The optimum for imaging performance (NAat 0.70 and σ at 0.31) also yields the lowest LER values.This again shows the correlation between LER andaerial image contrast.

5.2 Processing Aspects

LER was measured for seven resists at 150 nm denselines using BIM. The LER values ranged from 6.5 to14 nm (3σ). The best performer, resist B, yielded betterresults with 125 nm dense lines using alt PSM. The LERfor resist B was 5.1 nm (3σ) as opposed to 8.7 nm (3σ)for resist A. Clearly, the resist type strongly impactsLER. Further, raising the PEB temperature by 10°Ceffectively reduced LER for resist A. LER decreasedfrom 9.2 nm to 7.7 nm (3σ) with 125 nm dense lines, andfrom 7.3 nm to 5.7 nm (3σ) with semi-dense lines (seeFigure 10). While this process adjustment improvedLER, the overall imaging performance worsened. It isprobable that this is due to the lower contrast.

Figure 8 (A) Exposure latitude and (B) LER versus defocus of alt PSM and BIM for resist B (125 nm sem-dense lines, 1:15)

0

0.05

0.10

0.15

0.20

-0.6 -0.4 -0.2 0 0.2 0.4 0.6

PSMBIM_Ann

Poly. (BIM_Ann)Poly. (PSM)

expo

sure

latit

ude

[nm

/mJc

m-2]

focus [ m]I-

1351

8.IL

L(A)

0

5

10

15

20

-0.6 -0.4 -0.2 0 0.2 0.4 0.6

PSMBIM_Ann

Poly. (BIM_Ann)Poly. (PSM)

LER

[3, n

m]

focus [ m]

I-13

519.

ILL

(B)

LER vs. NA/sigma for 125 nm

Figure 9 LER vs. NA and sigma for 125 nm dense, semi-dense and isolated lines.

LER vs. resist process

Figure 10 LER vs. focus for 125 nm semi-dense lines for resist A for PEB of 110°C and 120°C versus resist B.

6

10

12

14

8

0.57_0.39

0.57_0.45

0.63, 0.35

0.63, 0.39

0.7, 0.31

0.7, 0.39

d125s125i125

NA/ sigma

LER

[3, n

m]

I-13

520.

ILL

0

2

4

6

8

10

-0.5 -0.4 -0.3 -0.2 -0.1 0 0.1 0.2 0.3 0.4 0.5

resist A, PEB110resist A, PEB120

resist B

poly. (resist A, PEB110)poly. (resist A, PEB120)poly. (resist B)LE

R [3

, nm

]

focus [ m]

I-13

521.

ILL

.

8

5.3 Pattern Transfer

The impact of pattern transfer on LER was investigatedusing a 460 nm thick resist C on an inorganic BARC.Measured by CD SEM ‘B’, the average LER, based onfull-field data (35 points), was 2.4 nm (3σ) before theetch and 1.4 nm (3σ) after the poly etch. The smootherlines after the etch can be seen in Figure 11. Theobtained LER values, after pattern transfer, were notexpected to be an issue for production.

Before

After

Figure 11 Resist profiles of 125 nm dense lines using alt PSM before and after etch.

-0.2

0.0

+0.2

Figure 12 Resist profiles of 125 nm dense lines using alt PSM at -0.2, 0.0 and +0.2 µm focus.

9

6. MASK ISSUES

There are several issues that need to be dealt withconcerning alternating phase shift masks. One is theasymmetry of diffracted patterns in shifted andnon-shifted areas. This can be partially alleviated byusing an isotropic overetch after the phase etch. Thiswill make etched and non-etched regions look similar.Another issue is that the spacewidth can vary throughfocus, or the linewidth in the case of negative resists.This behavior is usually a consequence of a phase error,in the phase-shifted line with respect to the non-shiftedline.[13] This phase error could be a result ofmicroloading effects that are introduced during thephase etch of the reticle.[14] In this case, the phase errormay only appear in fine-featured areas. In ourexperiments, the dislocation of the line at a defocus ofonly 0.2 µm had an intolerable impact on the overlaybudget. This mask issue must be addressed, to makealt PSM a production worthy technology for 130 nmdense lines.

7. CONCLUSIONS

The ASML PAS 5500/700 DUV Step & Scan system, incombination with alternating phase shift masks,demonstrates good imaging performance for 130 nmlithography. Production implementation, including costsand feasibility, of mask manufacturing is outside thescope of this work. The following results were gainedfrom the study:

• Process windows for 125 nm isolated linesare significantly larger for alt PSM,compared with BIM using annularillumination.

• The isofocal CD position for the phase-shifted-isolated lines is very sensitive to thecoherence setting. With a delta sigma ofonly 0.02, the isolated lines can yield andelta isofocal CD of 10 nm. The optimumsigma for 125 nm isolated lines is 0.36.

• For 125 nm dense lines using alt PSM, theoptimum NA and sigma is 0.70 and 0.31,respectively. Compared to BIM withannular illumination (NA at 0.70, σ at 0.85/0.55), significant improvement of MEF andEL is obtained. DoF does not improve.

• The LER depends on the aerial imagequality and the resist type. LER wassignificantly lower for alt PSM compared toBIM with annular illumination

• In the initial experiments, productionworthiness for 130 nm dense lines waslimited by the phase error of the mask. Inparticular, the dislocation phenomenonreduces focus latitude below the desired600 nm. This phase error needs somefurther study to make phase-shifting masksa production worth technology.

8. ACKNOWLEDGEMENTS

The authors would like to thank Vivian Kim and Marcelvan Dijk from ASML Publications for their assistance inthe preparation of the manuscript.

REFERENCES

[1] International Technology Roadmap forSemiconductors, 1998 update.

[2] J.S. Petersen et al., “Assessment of a HypotheticalRoadmap that Extends Optical Lithographythrough the 70 nm Technology Node”, Proc. SPIE3546 (1998), p. 32.

[3] M. D. Levenson, N. S. Viswanathan, and R. A.Simpson, “Improving Resolution withPhase-shifting Mask”, IEEE Trans. Elec. Dec. 29,(1982), p.1828.

[4] T. Brunner et al., “170 nm Gates Fabricated byPhase-shift Mask and Top Anti-reflector process”,Proc. SPIE 1928 (1993), p.182.

[5] M. E. Kling et al., “Practising Extension of 248 nmDUV Lithography Using Trim-mask PSM”, Proc.SPIE 3679 (1999), p.10.

[6] L. Liebmann et al, “Alternating Phase Shifted Maskfor Logic Gate Levels, Design and MaskManufacturing”, Proc. SPIE 3679 (1999), p. 27.

[7] R. Schenker, “Effects of Phase Shift Masks onAcross Field Linewidth Control”, Proc. SPIE 3679(1999), p.18.

[8] J. van Schoot et al., “The Mask Error Factor:Causes and Implications for Process Latitude”,Proc. SPIE 3679 (1999), p. 250.

[9] J. van Schoot et al., “0.7 NA DUV Step & ScanSystem for 150 nm Imaging with ImprovedOverlay”, Proc. SPIE 3679 (1999), p. 448.

[10] Marc Levenson, “Can Phase-shift Save theSemiconductor Industry?”, Proc. Interface 1998.

[11] G. VandenBerghe et al., “CD Control Comparisonfor Sub-0.18 um Patterning…”, Proc. SPIE 3679(1999), p. 228.

[12] A. Kant et al., “Quantitative Line Edge RoughnessCharacterisation for Sub-0.25 µm DUV lithography”,Proc. SPIE 3677 (1999), p.35.

10

[13] R. L. Kostelak et al., "Exposure Characteristics ofAlternate Aperture Phase-shifting MasksFabricated Using a subtractive process",J.Vac.Sci.Tech. B, 10(6), (1992), p. 3055.

[14] P. Schiavone et al., “Clear Field Alternating PSMfor 193 nm Lithography”, Proc. SPIE 3679 (1999),p.54.