27
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li VLSI CAD LABORATORY, UC San Diego http://vlsicad.ucsd.edu

Toward Quantifying the IC Design Value of Interconnect Technology Improvement

  • Upload
    idana

  • View
    53

  • Download
    0

Embed Size (px)

DESCRIPTION

Toward Quantifying the IC Design Value of Interconnect Technology Improvement. Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li VLSI CAD LABORATORY, UC San Diego http:// vlsicad.ucsd.edu. Outline. Motivation Related Work Our Framework Experiments and Results Conclusion. Outline. - PowerPoint PPT Presentation

Citation preview

Page 1: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

UC San Diego / VLSI CAD Laboratory

Toward Quantifying the IC Design Value of Interconnect Technology

Improvement

Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li

VLSI CAD LABORATORY, UC San Diegohttp://vlsicad.ucsd.edu

Page 2: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-2-

Outline Motivation Related Work Our Framework Experiments and Results Conclusion

Page 3: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-3-

Outline Motivation Related Work Our Framework Experiments and Results Conclusion

Page 4: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-4-

Motivation Wire delay increases with technology scaling Improvement of BEOL both important and expensive Issue 1: no systematic quantification of ROI from BEOL

improvement Issue 2: unclear whether BEOL improvement benefits can

be leveraged by EDA tools Goals:

– A framework to quantify BEOL improvement values guide BEOL technology investment and targets

– Assess EDA tools’ ability to leverage improved BEOL = potential “EDA gap”

Page 5: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-5-

Focus of Our Work Product quality comes from interaction among design,

BEOL technology, EDA tool We focus on interaction between BEOL and EDA

Design

BEOL Technology

EDA toolThis work

Page 6: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-6-

Outline Motivation Related Work Our Framework Experiments and Results Conclusion

Page 7: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-7-

Related Work Studies of DRAM or simple logic circuits, not at chip-level Ignores interaction between BEOL technology, EDA tool [Li01] – DRAM performance improvements from low-k [Kapur02] – R, C impacts on signal, power [Bamal06] – Performance, energy comparison studies

with different interconnect technologies

Focus on variation, not future BEOL improvements [Jeong10] – Chip-level impacts of interconnect variation

due to double-patterning

Page 8: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-8-

Outline Motivation Related Work Our Framework Experiments and Results Conclusion

Page 9: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-9-

Our Framework

Timing and power analysis

1.Modify BEOL files to model R, C reductions in future technologies– Modify ITF files – Use Synopsys StarRC to

convert ITF to TLUplus files

2.Design implementation (RTL-to-layout and signoff) with original and modified BEOL files

3.Run timing, power analysis

Modified BEOL files

Hypothetical RC reductions

Original BEOL files

Circuit implemented with original

BEOL

Circuit implemented with modified

BEOL

Circuit implementation flow (synthesis, place and route)

Designs

Page 10: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-10-

Testbed Designs: {aes_cipher, des_perf, mpeg2, pci_bridge32}

from OpenCores x {fast, slow} clock periods Technology: TSMC 45nm, LVT and HVT

20SOC and below can be very different SP&R: Synopsys Design Compiler + IC Compiler

– Execute each P&R run three times denoising Timing and power analysis: Synopsys IC Compiler Signoff: no hold or EM violation, TNS < 30ps

Apples-to-apples comparison for design metrics

Page 11: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-11-

Outline Motivation Related Work Our Framework Experiments and Results Conclusion

Page 12: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-12-

Expt 1: Impact of R, C Reduction on Power 45% R, C reduction only leads to 8% power reduction R, C reduction improves timing fewer / smaller cells

leakage power ↓ (but, only on critical paths) C reduction load cap ↓ net switching power ↓

(but, gate cap dominates)

R, C=55% R, C=70% R, C=85% R, C=100%0.6

0.7

0.8

0.9

1.0

1.1min average linear max

Norm

aliz

ed P

ower

R, C=α%: implementation with α% dielectric constant and metal resistivity w.r.t original BEOL

R, C reduction occurs on M2-M5

Power of implementation with original BEOL

Page 13: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-13-

Impact of R, C Reduction on Area

R, C reduction leads to little improvement in area Tool uses Vt swapping to exploit improved timing

– Same footprint of LVT and HVT cells same post-opt area Optimization methodology of EDA tools affects value

extracted from improved BEOL

R, C=55% R, C=70% R, C=85% R, C=100%0.6

0.7

0.8

0.9

1.0

1.1 min average linear max

Norm

aliz

ed A

rea

Area of implementation with original BEOL

Page 14: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-14-

Expt 2: Reduction in R vs. in C In this experiment, C reduction offers more benefits

–Wire delay ↓ trade timing for power–R reduction improves wire delay–C reduction improves wire delay + load cap

R reduction can be critical with high Vdd, temperature Technology R&D might focus more on C reduction

C=55% C=70% C=85% C=100%0.7

0.8

0.9

1.0

1.1min average linear

Nor

mal

ized

Pow

er

R=55% R=70% R=85% R=100%0.7

0.8

0.9

1.0

1.1min average linear max

Nor

mal

ized

Pow

er

Power w/ only R reduction Power w/ only C reduction

Page 15: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-15-

Expt 3: R, C Reduction in Advanced Technology Wire delay becomes critical in advanced technologies

– Impact of R reduction increases– We model advanced technology = increase R by 8x

Benefits of R, C reduction increase in advanced technologies

R=55% C=55% R, C=55%0.7

0.8

0.9

1.0

1.1

Norm

aliz

ed L

eaka

ge

5%

2%

Leakage power

R=55% C=55% R, C=55%0.7

0.8

0.9

1.0

1.1

Norm

aliz

ed T

otal

Pow

er

Total power AdvancedCurrent

AdvancedCurrent

Page 16: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-16-

Expt 4: Impact of Layer Selection

BEOL improvement incurs high manufacturing cost– What is optimum subset of layers to improve under cost limits?– Flexible BEOL = subset of layers is selectively improved– Inappropriate selection of R, C-reduced layers is suboptimal

Guideline: reduce R, C on adjacent and highly utilized layersSmall difference between different layer selections– Tools’ ability to leverage the improved BEOL layers?

0.8

0.9

1.0

1.1min average max

Nor

mal

ized

Pow

er

{2,3,4,5} {2,3} {2,4} {2,5} {3,4} {3,5} {4,5}Layers with improved BEOL

RC-reduced layers are far from each otherRC reduction has more benefit on highly utilized layers

Page 17: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-17-

Tools’ Exploitation of R, C Reduction

Assessment flow1. Implement designs with both original and improved BEOL2. Run timing and power analysis with improved BEOL3. Compare frequency, power

Preliminary results show tool can leverage R, C reduction– Case 1 might be misguided during optimization

800 850 900 950 1000 10508

9

10

11

12

Frequency (MHz)

Pow

er (m

W)

800 850 900 950 1000 10508

9

10

11

12

13

Frequency (MHz)

Pow

er (m

W)

Case 1: Implementation with original BEOL, analyzed with modified BEOL Case 2: Implementation with modified BEOL, analyzed with modified BEOL

Reduced R, C on M3, M4 Reduced R, C on M2, M5

Page 18: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-18-

RC-Awareness in EDA Tools A “smart” router should be aware of improved BEOL

– Route setup critical paths on layers with small R, C– Route hold critical paths on layers with large R, C

∆wire distribution (of layer x) = %wire on layer x - %wire on layer x

Assessment: – Implement designs with flexible BEOL – Check ∆wire distribution of layers for setup- and

hold-critical nets

w/ improved BEOL w/ original BEOL

Page 19: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-19-

Experimental Results Compare ∆wire distribution from current router (bars)

and a hypothetical RC-aware router (ovals)– White (Orange) = positive (negative) ∆wire distribution – Same color of bar and dotted oval RC-awareness

Router is not fully responsive to BEOL R, C reduction

-8%0%8%

-8%0%8%

-8%0%8%

-8%0%8%

∆Wire

di

strib

utio

n

{2,3,4,5} {2,3} {2,4} {2,5} {3,4} {3,5} {4,5}

Layers with reduced RC

-8%0%8%

-8%0%8%

-8%0%8%

-8%0%8%

M2

M3

M4

M5

{2,3,4,5} {2,3} {2,4} {2,5} {3,4} {3,5} {4,5}

Layers with reduced RC

Setup-critical nets Hold-critical nets

√ √ √ √

√ √

√ √ √

√√

X X

XX

X

X X

X X X

X X

XX

X

X

Page 20: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-20-

Outline Motivation Related Work Our Framework Experiments and Results Conclusion

Page 21: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-21-

Conclusion Framework to quantify impact of interconnect

resistance and/or capacitance reductions on chip-level design metrics

Reduction in capacitance gives more benefits than in resistance– R reduction can be critical in wire-delay dominant designs

(due to high Vdd, temperature or advanced technology) Capability of EDA tools to leverage improved BEOL has

room for improvement Ongoing works

– Iso-constraints vs. iso-GDS

Page 22: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-22-0% 5% 10

%15

%20

%25

%30

%35

%40

%45

%80%

84%

88%

92%

96%

100%

0% 5% 10%

15%

20%

25%

30%

35%

40%

45%

90%

92%

94%

96%

98%

100%

ISO-GDS Expt Basic tradeoffs to exploit improved BEOL

– R, C reduction improved timing Vdd ↓ Power ↓

0% 5% 10%

15%

20%

25%

30%

35%

40%

45%

0%

2%

4%

6%

8%

10%Frequency improvement

Vdd reduction

Power reduction

R, C reduction R, C reduction

R, C reduction

Gate-wire balance

Performance requirement+ device type

Activity factor + nominal voltage + device type

Page 23: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-23-

Conclusion Framework to quantify impact of interconnect

resistance and/or capacitance reductions on chip-level design metrics

Reduction in capacitance gives more benefits than in resistance– R reduction can be critical in wire-delay dominant designs

(due to high Vdd, temperature or advanced technology) Capability of EDA tools to leverage improved BEOL has

room for improvement Ongoing works

– Iso-constraints vs. iso-GDS– Study impact of interconnect R, C reduction across wide

supply voltages– Extend our analyses to M1 and middle-of-line layers

Page 24: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-24-

Acknowledgments Work supported from Sandia National Labs,

Qualcomm, Samsung, NSF, SRC, the IMPACT (UC Discovery) and IMPACT+ centers

Page 25: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

Thank You!

Page 26: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

Backup Slides

Page 27: Toward Quantifying the IC Design Value of Interconnect Technology Improvement

-27-

Values of Improved BEOL Question 1: What is overall impact of R and/or C

reduction(s) on design metrics?– 45% R, C reduction 8% power reduction, similar area

Question 2: Which reductions offer more benefits, in R or in C?– C reduction offers more benefits– R reduction can be critical with high Vdd, temperature

Question 3: How will impacts of R, C reduction change in advanced technology nodes?– Benefits of R, C reduction increase in advanced technology

Question 4: What is optimum subset of layers to improve under cost limits?– Should reduce R, C on adjacent and highly utilized layers