28
The Semiconductor Industry 3 0 ver the past three decades, semiconductor manufactur- ing has become increasingly vital to the U.S. economy. Not only does the U.S. semiconductor industry gener- ate over $25 billion in annual sales and employ over 200,000 workers, but, as an enabling technology for most electronic products, it is essential to the generation of millions of high-wage, high-skill jobs and sales of over $300 billion in such industries as computers, telecommunications, and industrial equipment. Products based on semiconductor technology con- tribute in turn to productivity gains in many sectors of the U.S. economy. Nevertheless, the U.S. semiconductor industry faces several challenges that threaten its future competitiveness. International competition has eaten away at U.S. market share, in both the world and domestic markets. Many competitors receive direct support from national governments that have targeted semicon- ductor technology as a central part of their industrial develop- ment plans and have initiated programs to boost the commercial- ization of semiconductor technology. In addition, the costs of research and development (R&D) and new production facilities are growing exponentially, while sources of patient capital are rapidly eroding. With short product cycles, semiconductor firms are having difficulty supporting the rapid pace of investment and are looking for new sources of financing, often through joint ventures with foreign competitors. Materials and equipment suppliers are also facing financial difficulties. To date, most U.S. policy in support of semiconductor technology has been limited to ensuring fair trade and protecting 52

The Semiconductor Industry 3 0

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

TheSemiconductor

Industry 3

0 ver the past three decades, semiconductor manufactur-ing has become increasingly vital to the U.S. economy.Not only does the U.S. semiconductor industry gener-ate over $25 billion in annual sales and employ over

200,000 workers, but, as an enabling technology for mostelectronic products, it is essential to the generation of millions ofhigh-wage, high-skill jobs and sales of over $300 billion in suchindustries as computers, telecommunications, and industrialequipment. Products based on semiconductor technology con-tribute in turn to productivity gains in many sectors of the U.S.economy.

Nevertheless, the U.S. semiconductor industry faces severalchallenges that threaten its future competitiveness. Internationalcompetition has eaten away at U.S. market share, in both theworld and domestic markets. Many competitors receive directsupport from national governments that have targeted semicon-ductor technology as a central part of their industrial develop-ment plans and have initiated programs to boost the commercial-ization of semiconductor technology. In addition, the costs ofresearch and development (R&D) and new production facilitiesare growing exponentially, while sources of patient capital arerapidly eroding. With short product cycles, semiconductor firmsare having difficulty supporting the rapid pace of investment andare looking for new sources of financing, often through jointventures with foreign competitors. Materials and equipmentsuppliers are also facing financial difficulties.

To date, most U.S. policy in support of semiconductortechnology has been limited to ensuring fair trade and protecting

52

53 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

Figure 3-1 —Share of World SemiconductorProduction by Nation, 1992

$ billion

Japan

Other ,,,--$8.0 /

/

\ ,-’7

United States$25.6

SOURCE: Office of Technology Assessment, 1993; based on datafrom Semiconductor Industry Association, Annual Databook G/oba/and U.S. semiconductor Competitive Trends-1978-1991 (San Jose,CA: Semiconductor Industry Association, 1992), p. 12.

national security. 1 Through the SemiconductorTrade Agreement (STA), the U.S. governmentattempted to open the Japanese market to U.S.producers. Federal funding has concentrated onR&D, the bulk of which has been funded by theU.S. Department of Defense (DoD). Recently,DoD has supported the Semiconductor Manufac-turing Technology consortium, SEMATECH.Concern over flagging competitiveness of semicon-ductor manufacturers in a time of decliningdefense budgets has, however, induced consider-able discussion of means for ensuring the contin-ued success of the U.S. semiconductor industry.It has become increasingly evident that govern-ment policy can enhance many areas of techno-logical competitiveness in the industry, and may

be necessary in order to preserve its strength.Federal laboratories may have a role to play inworking with industry to develop next-generationsemiconductor technologies.

A STRATEGIC INDUSTRYThe semiconductor industry holds a strategic

position within the U.S. and global economies. In1992, sales of semiconductor devices topped $60billion worldwide; U.S. shipments totaled $26billion (figure 3-1).2 These figures include salesof all electronic components based on semicon-ductor technology:3 integrated circuits (ICs) suchas microprocessors and memories; discrete com-ponents such as transistors and diodes; and othersemiconducting devices such as solar cells andphoto diodes. Within the U.S. economy, the mostsignificant of these categories is ICs, whichcomprised 73 percent of total U.S. semiconductorshipments in 1991, a fraction that has remainedfairly constant over the past decade (figure 3-2).4

Beyond their purely financial effects, integratedcircuits, which pack thousands of interconnectedcircuits onto a single chip, also allow the creationof innovative new electronic products unimagina-ble with individual, discrete devices. ICs alsonecessitate the development of sophisticated pro-duction machinery and processes, and have largeeffects on other parts of the U.S. economy.

fl Contributions to the U.S. EconomyThe semiconductor industry contributes dis-

proportionately to job and revenue growth through-out the U.S. economy. Semiconductor manufac-turers employed 220,000 workers in the United

1 Through NEST, the government has supported the basic metrology that industry needs to manufacture competitive products, but thissupport has not been as large or as extensive as that for national security.

2 SEMATECH, 1993 Annual Report, p. 6; and Electronic Industries Association 1993 Edition Electronic Market Data Book (Wash@towDC: Electronic Industries Association% 1993), p. 98.

3 Semiconductor technology refers to an entire class of materials-and the devices made from them-that have conductivity in betweenthat of an insulator and a true conductor such as metal. Semiconductors derive their conducting characteristics from carefully controlled amountsof impurities (or “dopants”), such as phosphorus, boron, or aluminum, which are inserted into crystals of an otherwise nonconducting materialsuch as silicon or gallium arsenide.

4 Electronic Industries Association op. cit., footnote 1, p. 98.

Chapter 3–The Semiconductor Industry 54

Figure 3-2—U.S. Semiconductor SalesDevice Type, 1992 (Estimated)

S million

Integrated c[rcu[ts —..—.—

by

S1 9,087 ,//=’ ‘ -.. ‘,, T rans i s to rs// ’

/

/

\\,

l\\ . . \\\\’:.\ -/@’

“\\- - ------’ Diodes &rectifiers

$703

SOURCE: Office of Technology Assessment, 1993; based on datafrom Electronic Industries Association, 1993 Edition Electronic MarketData Book( Washington, DC: Electronic Industries Association, 1993),p. 98.

States at the beginning of 1993.5 Many of thesejobs are highly knowledge-intensive, reflectingthe large amounts of R&D required to staycompetitive in the industry.6 Only 42 percent ofall semiconductor workers were production work-ers at the beginnin g of 1993, a figure considerablylower than the 68 percent average for all U.S.manufacturing industries. Moreover, many of theproduction jobs in semiconductors require highlevels of skill, involving the operation andmaintenance of highly sophisticated productionequipment; simple assembly jobs have beeneither automated or moved off-shore. As a result,hourly wages for production workers in thesemiconductor industry averaged $14.23, consid-erably higher than manufacturing in general andmost other electronics sectors (table 3-l).

Table 3-l-Comparison of Employment andEarnings in Semiconductors With OtherManufacturing Industries, January 1993

Employees (thousands) HourlyIndustry Total Production wages

Semiconductors 220 93 (42%) $14.23

All manufacturing 17,939 12,185 (68%) $11.61Autos 827 635 (78%) 15.52Aircraft 581 273 (470A) 17.03Chemicals 1,063 557 (52%) 14.69Industrial equipment 1,934 1,167 (60°/0) 12.61Electronic and electrical

equipment 1,538 979 (64%) 11.14

SOURCE: U.S. Department of Labor, Bureau of Labor Statistics,Employment and Earnings, April 1993.

The semiconductor industry supports a wide-ranging base of suppliers who provide semicon-ductor manufacturing equipment (SME), controlsoftware, gases, chemicals, and silicon substrates.The world market for equipment and materialstotaled about $20 million in 1992, with the U.S.market comprising about half of that.7 On theequipment side, U.S. SME vendors earned $5.5billion in sales in 1992, 58 percent of which wasfrom U.S. semiconductor manufacturers. SMEmanufacturers employed over 28,000 workers in1991.8 U.S.-based materials suppliers earned over$1 billion in revenues in 1992, mostly from salesto U.S. companies.

Even larger effects occur in downstream mar-kets. Semiconductor technology is the key tomost modern electronic products: computers,consumer electronics, communications equip-ment, and industrial equipment. Manufacturersare incorporating semiconductors into productssuch as automobiles and aircraft as well9, but

s U.S. Department of Labor, Bureau of Labor Statistics, “Employment and Earnings, ” April 1993, p. 83.

b Despite the globaliimtion of the industry and the fact that some 50 percent of U.S. semiconductor chips are shipped overseas, Americancorporations perform about threequarters of their high value-added wafer fabrication in the United States.

7 National Advisory Committee on Semiconductors, Attaining Preeminence in Semiconductors (Washingto& DC: National AdvisoryCommittee on Semiconductors, February 1992), p. 9.

s Persoml comm~cation from peggy Hagg@y, Vice President, SEIWSEMATECH; data from VLSI Research.

9 Appro~imateIy 3 t. 5 per~nt of the v~ue of new automobiles is electronics; for COmmerCid and ~litary airc~t, 15 to 30 Percent ‘f ‘e

value may be electronics.

55 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

Figure 3-3-Worldwide Sales of SemiconductorDevices by Customer, 1992

Table 3-2—U.S. Factory Sales and Employment inElectronics by Industry Group, 1992

$ billion

Computer Automotive

$28.7

Communications $2,8$8.5

Consumer$13.2

SOURCE: Dataquest, Inc.

approximately 45 percent of the worldwide semi-conductor sales in 1992 went for use in computers(figure 3-3). Consumer electronics and communi-cations together purchased another 35 percent ofoutput, while automotive and industrial applica-tions totaled 15 percent of the market. U.S.industries that depend upon semiconductor tech-nology together produced almost $300 billion inmanufactured goods and employed over 1.8million workers in 1992 (table 3-2), makingelectronics the second largest basic industry in theUnited States (behind chemicals) and the largestindustrial employer.10

By 1995, electronics-related industries areexpected to comprise 25 percent of all manufac-turing.11 U.S. employment in semiconductors andthe electronics industry overall is likely to declinemarginally through 2005, as is employment inmanufacturing generally,12 due to rising workerproductivity, slowing rate of growth of the laborsupply as the population ages, and a decline in

Sales EmployeesSector (millions) (thousands)

Communications equipment $68,097 477Computers and peripherals 56,360 395Consumer electronics 9,183 61Industrial and medical electronicsa 33,969 279Semiconductors 27,388 221Other related products/servicesb 55,875 NAElectronic components 36,756 374

Total $287,628 1,807

a l~ludes control and processing equipment, test and measurementequipment, nuclear electronic equipment, medical electronic equip-ment, robots, accessories and components, and other electronicsystems and equipment.

b l~ludes estimates of electronic content of the annual *leS of

industries, such as autos and airmaft, considered partially electronic,as well as computer and software services (but not prepackagedsoftware).

NA - Not applicable.

SOURCE: Electronic Industries Association, 1993 Edition E/ectrunicMarketData Book (Washington, DC: Electronic Industries Association,1993).

defense spending. Nevertheless, increases in pro-ductive output should continue, especially in theareas of computers and semiconductors, whichare projected to grow fastest of all manufacturingareas. Estimates of growth are for 7.6 percentannually in computers and for 5.6 percent annu-ally in semiconductors, both well above the 2.3percent growth anticipated for all manufacturingindustries. 13

1 Contributions to National SecuritySemiconductor technology is vitally important

to national security. Throughout the Cold War,U.S. defense policy was based on the availabilityof superior technology to overcome the numericalsuperiority of Warsaw Pact forces. Integratedcircuits became integral components of nuclearmissiles, precision-guided munitions, early warn-

lo SEMXI’’ECH, 1992 Annual Report, p. 7.

] 1 Ibid., p. 7.12 ~ L. CMeY ~d JmM c. Frati 1‘~dus~ output and Job Grow~ Contiues Slow ~to Nat Cenw, ’ Monthly Labor Review,

November 1991, pp. 45-94.

13 Ibid., pp. 58-59.

ing and surveillance systems, aircraft, and com-munications systems. Concern over the continueddevelopment of advanced microelectronics tech-nologies and over foreign dependence on suchtechnologies 14 led the military to invest heavily in

semiconductor R&D and to develop procurementregulations governing U.S. content.

While procurement budgets may fall in concertwith the declining defense budget, military sup-port of semiconductor R&D is likely to continueat steady or increasing levels. The AdvancedResearch Projects Agency’s (ARPA) funding forelectronics manufacturing technology jumpedfrom $98 million in 1991 to over $330 million in1993. With declining personnel rosters and fewernew starts for major weapons programs, themilitary will probably rely more on improvedsemiconductor technology to maintain nationalsecurity and upgrade existing weapons platforms.

The commercial semiconductor industry is theprobable source of many of these components.Commercial semiconductors are in many waystechnically superior to their defense counterpartsand, due to their larger scales of production, areconsiderably lower in price.

COMPETITIVE HISTORY OF THE U.S.SEMICONDUCTOR INDUSTRY

Because semiconductor manufacturing has sucha strong influence on national economies, manycountries--including European nations and Japan—have launched vigorous campaigns to developindigenous semiconductor industries and gainglobal market share.15 Government polices athome and abroad have altered the competitivedynamics of the industry. Though dominating

.—

Chapter 3–The Semiconductor Industry 56

early markets, U.S. semiconductor manufacturersare now increasingly challenged by internationalcompetitors.

From the mid-1950s through the early 1980s,the United States was the undisputed leader invirtually all segments of the semiconductor in-dustry. U.S. manufacturers dominated world mar-kets for ICs, manufacturing equipment, andsupplies. They invested more than all othernations in new plant and equipment as well asR&D and pioneered new technologies. Through-out the 1980s, however, foreign rivals increas-ingly challenged U.S. semiconductor manufac-turers and, by 1987, had secured approximately63 percent of the global market for semiconduc-tors. Japanese manufacturers are the primarycompetitors; at their zenith in 1988, they con-trolled some 52 percent of the market. Since 1989,U.S. manufacturers have staged a modest resur-gence, regaining market share from the Japaneseand re-establishing market leadership in 1992with an estimated 43.8 percent share of the worldmarket (versus 43.1 percent for Japan).l6 Thesechanges reflect a combination of industry initia-tive and government policy.

1 Early U.S. DominanceEarly American dominance in

stemmed from the nation’s leadsemiconductorsin entering the

field. Both the transistor and the IC were inventedin the United States. Work on solid-state amplifi-ers began during the 1930s at Bell Laboratoriesafter researchers realized that future switchingwould need to occur through electronic means,and that future markets would be large enough tojustify investment in new technology. These

14 see Institute for Defense ~~yses, Dependence of U,s, Defense system on Foreign Tec~~o~ogies (Washington, ~: Defense Technical

Information Service, December 1990).

15 Japan ~s launch~ a Sefies Oflfitiatives coor~ted by the Ministry of International Trade and~dus~ (~~) to s~~ate development

of semiconductor technology and electronic products. In the 1980S, the European Community initiated the JESSI program to developsemiconductors and the ESPRIT program to promote information technology generally. See Thomas R. Howell, et al., The MicroelectronicsRace: The Impact of Government Policy on International Competition (Boulder, CO: Westview Press, 1988), chapters 3 and 4.

lb Statistics from VLSI Research, Inc., as cited in T. R. Reid, “U.S. Again Leads in Computer Chips, ” Washington Post, Nov. 20, 1992,p. Al.

57 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

efforts resulted in the discovery of the transistorby William Shockley, John Bardeen, and WalterH. Brattain in 1947. Jack Kilby at Texas Instru-ments, Inc. patented the first integrated circuit in1959 at about the same time that Robert Noyce atFairchild Camera developed planar processingtechniques, upon which current manufacturing isbased.

Though commercial interests stimulated workin semiconductor technology, government inter-est was largely responsible for large-scale pro-duction of ICs that led to early domination of theindustry by U.S. firms. U.S. industry initiallyexpressed skepticism about ICs. IBM, then thelargest single private-sector customer for semi-conductor devices, used discrete transistors ratherthan ICs in its 360-series computer. In 1962,NASA announced plans to use ICs in the Apolloprogram’s guidance computer, and soon thereaf-ter the Air Force stated its intent to use them in theMinuteman II guidance system. These programsallowed U.S. manufacturers to get the economiesof scale required to make semiconductors afforda-ble for commercial use and to demonstrate andimprove their reliability .17

1 The Japanese ChallengeJapanese companies did not begin producing

semiconductors until the 1960s. For many yearstheir products suffered from low yields and highprices. By the mid-1960s, Japanese manufactur-ers were achieving yields of just 10 percent,compared with 25 percent for their U.S. competi-tors. Prices were often three times those in theUnited States. In 1971 Japanese manufacturerssold at more than 20 percent below cost in orderto compete with U.S. manufacturers, and as late

as 1972, the semiconductor divisions of the majorJapanese producers had failed to turn a profit.18

In the late 1970s, however, several Japanesecompanies rose to worldwide prominence insemiconductor manufacturing, due in part toassistance from the Ministry of InternationalTrade and Industry (MITT). Through MITI, theJapanese government provided financial assist-ance, low-interest loans, accelerated depreciationschedules, and other measures that lowered capi-tal costs and enabled semiconductor manufactur-ers to continue investing in plant and equipmentdespite large losses. In addition, MITI organizedand helped fund several industry-wide R&Dprograms, including the successful VLSI Project(1976 to 1979) that targeted dynamic randomaccess memory (DRAM) chips. Over the nextseveral years, Japanese companies achieved higheryields and productivity and lower costs thanAmerican firms, particularly in memories, driv-ing many U.S. manufacturers out of the market bythe mid-1980s. The U.S. share of the worldmarket for all ICs declined from about 57 percentin 1982 to 39 percent in 1991, reaching its lowpoint of about 37 percent in 1988. Japanesemarket share rose from 33 percent to 47 percentduring the same time (figure 3-4).19

Japanese competition has been most noticeablein markets for commodity chips, such as DRAMs,that are the least dependent on design capabilitiesand the most dependent on manufacturing capa-bilities and quality. Between 1978 and 1992, theU.S. share of the global DRAM market declinedfrom about 75 percent to less than 20 percent;Japanese market share grew from 25 percent to 54percent during the same period (figure 3-5). Inmore design-intensive, higher value-added mar-ket segments, U.S. companies maintained a

17 ~urJ. Ale=nder, The Problem of Declining Defense R&D E~enditures, JEI-14A (Washi.ngtoq DC: Jw~ ~no~c ~timte, AP~16, 1993), p. 11.

18 US, Congess, Offlce of TeclmoIogy Assemmen~ Competing Economies: America, Europe, and the Pacific Rim, O’EA-H98(Washi.ngto% DC: U.S. Government Printing Office, October 1991), p. 249,

19 Semiconductor Industry Assxiation and Dewey Ballantine, Crean”ng Advantage: Semiconductors and Governmentlndwtrial Policy inthe 1990s (San Jose, CA: Semiconductor Industry Association 1992), p. 9,

—. ..- .—

Chapter 3–The Semiconductor Industry ! 58

Figure 3-4-Semiconductor World

0/0 market share

:~-%

Market Shares, 1982-1991

Japan

40I

United States304

20 II Other —— ● – —-— ●

1 0 ; -- – – -–-*-– * ...-~~–—- 4 — --— ● --— ‘ - +–

01982 1983 1984 1985 1986 1987 1988 1989 1990 1991

SOURCE: Semiconductor Industry Association, Creating Advantage: Semiconductors and Government IndustrialPolicy in the 7990s (San Jose, CA: Semiconductor Industry Association, 1992), p. 9.

Figure 3-5—Worldwide DRAM Market Share, 1978-1992

0/0 market share100 r – .

go-l

8 0 ;

1

70

60

50-

4 0 -

3o- United States Other

10l-~<-.

IEurope

o’ I1978 1979 1980 1981 1982 1983 1984 1985 1986 1987 1988 1989 1990 1991 1992

SOURCE: Dataquest, Inc.

dominant position, but the lead was trimmed clined, from 60 percent in 1984 to about 53significantly. U.S. share of the market for micro- percent in 1992, but the United States remainedcomponents and other types of microcontrollers the market leader (figure 3-7).declined from 75 percent in 1980 to just under 69percent in 1992 (figure 3-6), while Japanese 1 Reasons for Japanese Successmarket share rose from 21 percent to 25 percent. Japan’s success in semiconductors resulted notIn the market for application-specific integrated just from increased funding of R&D. Japan alsocircuits (ASICs), which include custom and used a successful mix of financial, technological,semi-custom chips, U.S. market share also de- and industrial resources to ensure that programs

59 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

Figure 3-6-Share of World Market for Microcomponents, 1980-1992

0/0 market share80r

. —m

70+ \

1

United States/

60

50< ‘L~-~--y-’”

1980 1981 1982 1983 1984 1985 1986 1987 1988 1989 1990 1991 1992

SOURCE: Dataquest, Inc.

Figure 3-7-Share of World Market for ASICs, 1984-1992

0/0 market share7 0 r— ‘—–-—

6 0 ●

United States

50 ‘ –H-=-=-—–*---4

40

30 JapanI

20 ‘

10

1984 1985 1986 1987 1988 1989 1990 1991 1992

SOURCE: Dataquest, Inc.

were developed rapidly and efficiently, and werepursued over the long term. At the same time,Japanese firms pursued a strategy of expandingmarket share rather than increasing profitability,often accepting substantial short-term losses inorder to establish their market presence andachieve long-term growth. Other differences be-tween Japanese and U.S. trade practices andindustry structure (outlined below) also contrib-uted to the Japanese success.

Availability y of CapitalSince 1982, Japanese semiconductor manufac-

turers outspent their U.S. competitors on R&Dand capital goods. In 1988, Japanese capitalspending was nearly $2 billion higher than that ofthe United States; by 1990, Japanese semiconduc-tor manufacturers were spending $6 billion oncapital goods versus $3 billion in the UnitedStates. R&D spending by the top five Japaneseproducers also rose from near parity with theUnited States in 1985 to nearly $1 billion more by

Chapter 3-The Semiconductor Industry 60

Figure 3-8-Semiconductor R&D Spending

S billion3 -

2

4

,~Total of top five

Japanese/

/“”

merchant firms

//

Total of top five‘1 U.S. merchant

Ifirms

I

011985 1986 1987 1988 1989 1990

SOURCE: Dataquest, Inc.

1990. These differences in expenditures occurreddespite the fact that U.S. producers had highersales that the Japanese until 1986 (figures 3-8 and3-9).20

These spending differences reflect significantdifferences in government policy and industrialstructure in the two nations. By designatingmicroelectronics as a priority industry, the Japa-nese government increased its attractiveness toprospective lenders and investors; further, thelending policies of the Japan Development Bank,which makes loans to designated priority sectorsat MITI’s recommendation, have signaled tocommercial banks that the government favorsmicroelectronics companies and has thereby mo-bilized capital for the semiconductor industry .21

Japanese companies also benefited from alower cost of capital during the 1980s. Althoughcapital costs in Japan have approached those inthe United States in recent years, and direct

6

K

4

3

2

1

0

Figure 3-9-Semiconductor Capital Spending

$ billion

firms/

*--

.

All U Sm e r c h a n t

f i r m s

I 985 1986 1987 1988 1989 1 9 9 0

SOURCE: Dataquest, Inc.

comparisons are difficult to make, Japanese costsappear to have been much lower.22 Keiretsu banksare a primary source of capital for their affiliatedsemiconductor producers. During the 1970s, theJapanese semiconductor producers’ relationshipswith the keiretsu banks enabled them to financeaggressive capital expansion through heavy bor-rowing. While in the 1980s the leading Japaneseproducers reduced their reliance on debt as asource of capital, their special relationship withthe banks remained a critically important asset.23

Combined with lower interest rates, these rela-tionships helped mitigate the risk associated withlarge financial outlays.

The structure of the Japanese semiconductorindustry also supports greater capital expendi-tures. Most semiconductor manufacturers in Japanare part of large, vertically and horizontallyintegrated conglomerates with large assets. Gi-ants like Nippon Electric Corp. (NEC), Hitachi,

Qo Nation~ Adviso~ committee on Semiconductors, Attaining Preeminence in Semiconductors (Wd3hgtOn, m: Natiod AdvisovCommittee on Semiconductors, February 1992), p. 23.

21 ~omm R. Howell, op. cit., footnote 1S, p. 65.

22 Nation~ Adviso~ Committee on Semiconductors, A Strategic Industry at Risk (Mhshingtoq DC: National Advisory committee onSemiconductors, November 1989), p. 17. See also Richard P. Matteone, 4‘A Capital Cost Disadvantage for Japan?’ Morgan Guarantee Trust,Tokyo, Aprd 1992.

23 nom R, Howell, op. cit., footnote 15, p. 65.

61 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

and Toshiba are fully integrated; they put theirchips into their own products. Integration allowscloser cooperation between IC suppliers andsystems integrators. More importantly, integra-tion (vertical or horizontal) can make capitalavailable from internal funds outside the ICdivision, provided other divisions are earninghealthy profits. Deep pockets are a particularadvantage during market slowdowns, when pricesdecline. They allow companies to fund long-termR&D and forego immediate returns for long-termgrowth in market share. This capability allowedJapanese firms to continue investing in R&D andexpand capacity during recessions that curtailedU.S. investment. The Japanese then entered themarket first for 64-kilobit (64K) and 256KDRAMs, gaining noteworthy competitive advan-tage.24

The U.S. semiconductor industry is dominatedby ‘merchant’ manufacturers who are independ-ently owned and sell their output on the openmarket. ‘‘Captive” suppliers such as IBM sell thevast majority of their output to their parentcompany and do not compete on a global basis .25The merchant portion of the industry has been thesource of considerable innovation within theUnited States. It has achieved low cost productionby standardizing designs for many customers’needs. Companies such as Intel, AMD, and TI arenot constrained by the capacity of their ownsystems divisions, but can expand supply to meetmarket demand. Owners of captive companiesoften rely on merchant producers to satisfy peaksin their demand.26 However, merchant companieshave often lacked the financial resources tomaintain high levels of investment during generalrecessions.

Trade PracticesDifferences in U.S. and Japanese trade prac-

tices have allowed Japanese semiconductor man-ufacturers to gain a strong foothold in the U.S.market while limiting U.S. participation in theJapanese market. Throughout the early 1980s, inparticular, the United States did little to regulateor control the import of semiconductor productsfrom Japan. U.S. electronics companies pur-chased semiconductors from either U.S. or Japa-nese suppliers, depending on differences in qual-ity or price. But U.S. semiconductor manufacturersalleged that Japanese semiconductor manufactur-ers used unfair trading practices to gain marketshare in the United States. The U.S. InternationalTrade Commission (ITC) found that Japanesemanufacturers’ dumping of 64K DRAMs in theU.S. and other global markets in 1985 inflictedserious damages to U.S. firms.27 A similar con-clusion was reached in the ITC’s investigations ofthe impact of Japanese dumping on U.S. produc-ers of 256K DRAMs and Erasable ProgrammableRead-Only Memories (EPROMs). Between 1981and 1982, and again in 1984 to 1985, Japanesedumping of 64K and 256K memories crippledU.S. competitors. The result was a virtuallycomplete withdrawal by U.S. firms from theDRAM market by the end of 1985. Of 11 U.S.merchant firms that produced 16K DRAMs in1980, only two remained in the market at the256K level, and these companies accounted forless than 10 percent of world sales.28

In contrast, U.S. firms have encountered twotypes of barriers preventing the sale of theirproducts in Japan: restrictions on investment, andtrade barriers. During the 1960s, foreign semicon-ductor companies were prohibited from establish-

W Ibid, p. 62.

25 ~Mrecen~y ~ounced that it will sell ICS on the merchant market. This strategy reflects a co~orate restructuring that will disaggregatethe company into a larger number of profit centers.

26 Natio~ResemchC oUc~, Competitive Status of the US. Electronics lndusoy (Washington DC: National Academy PRM, 1984), P. 45.

27 U.S. htemtioml Trade Commission 64KDynamic Random Access Memory Componenrsfiom Japan, PP. 19-20.

28 Semiconductor Industry Association, Creating Advantage, Op. Cit., p. 105.

Chapter 3-The Semiconductor Industry 62

Figure 3-10--U.S. Trade in Solid State Devices

S million20 ~

= Imports~D;? Exports

15 1 ‘j B a l a n c e

10

5

0

b

i-r

n

11-5 ‘

1983 1984 1985 1986 1987 1988 1989 1990 1991 1992

SOURCE: Electronic Industries Association, 1993 Edition Electronic Market Data Book (Washington, DC: ElectronicIndustries Association, 1993), p. 114.

Table 3-3-Market Sizes and Market Shares byGeographic Base of Headquarters, 1991

Market share by nationality

Size of firm (percent)

Market ($B) U.S. Japan Other

United States $15.4 70 20 10Japan 20.9 12 86 1Europe 10.1 45 15 40Rest of world 8.2 43 34 23

Total $54.6 39°A 47”/. 14%

SOURCE: Office of Technology Assessment, 1993; based on Semi-conductor Industry Association, Annual Databook: Global and U.S.Semiconductor Competitive Trends, 1978-1991 (San Jose, CA: Semi-conductor Industry Association, 1992), p. 12.

ing subsidiaries in Japan without prior approval ofthe Japanese government; consent was usuallywithheld unless companies agreed to form jointventures with Japanese fins. U.S. companies haddifficulty forming ventures with Japan’s majorelectronics companies because MITI favoredalliances between Japanese firms over interna-tional joint ventures. In addition, MITI requiredU.S. firms to transfer technology to their partnersas part of the deal.29

Even with market liberalization between 1974and 1975, U.S. companies could secure onlylimited shares of the Japanese market. Japanesesemiconductor companies are often divisions oflarger conglomerates or of keiretsu that alsoinclude producers of electronic goods. Theseproducers tend to purchase components fromwithin their own group, to the detriment offoreign manufacturers. Japanese companies fur-ther maintain that U.S. semiconductor firms lackthe support and testing facilities of Japanesemanufacturers and that U.S. chips have a higherdefect rate.30

Though dominant in other regional markets,U.S. firms have been unable to penetrate theJapanese semiconductor market and have there-fore lost a large share of the total world market(table 3-3). The trade balance has also shifted.Between 1983 and 1987, the U.S. trade deficit insemiconductors increased from $620 million toalmost $1.4 billion, due mostly to increasingimports from Japan and stagnant export growth(figure 3-10). Though the United States had run atrade deficit in semiconductors prior to this date,

29 US. Congess, Office of Tec~olo~ Asscssmen4 Competing Economies: America, Europe, and the pacific Rim, OP. cit., P. 249.

30 Sheridan Tatsuno, “Japanese Companies Give U.S. Chipmakers A Blunt Opinion of Their Products, ” New Technology Week, Sept. 23,1991, p. 6.

63 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

Figure 3-11—U.S. World Market Share inElectronics Production

-- 0/0 market share80

70

60

50

40

30

20

10

0

————

U.S.-ownedproduction

—1985 1990

SOURCE: Ameriean Electronics Association as cited in NationalAdvisory Committee on Semiconductors, Attaining Preeminence inSemiwnductors, E5ird Annual Report to the President and theCongress, (Washington, DC: National Advisory Committee on Semi-conductors, February 1992), p. 21.

it was based mostly on imports from U.S.-ownedassembly facilities overseas. Almost 80 percentof all U.S. semiconductor imports were fromoffshore facilities in 1976.31 But starting in 1978,the United States began running a growing deficitwith Japan. In 1992, the United States imported$4.4 billion in solid-state components from Japan,but exported only $1.5 billion to Japan.32

Shifting Location of Downstream MarketsU.S. inability to penetrate the Japanese semi-

conductor market has been compounded by thetremendous growth of the Japanese market. U.S.semiconductor manufacturers have witnessed agradual migration of their customer base to Asia.Between 1985 and 1990, the percentage of theworld’s electronic systems produced in the United

States declined from 52 percent to 35 percent(figure 3-1 1). This trend is the result of both themovement of U.S. electronics production out ofthe United States in search of lower-wage laborand the development of Asia’s domestic electron-ics industry. Growth in electronics manufacturingin Asia has stimulated local demand for semicon-ductors. As a result, the Japanese market forsemiconductors has grown faster than the U.S.market and is now the largest in the world,totaling $21 billion, or 38 percent of the worldmarket, in 1991 (figure 3-12).

Supplier IndustriesThe success of the semiconductor industry

depends on the success of its suppliers: thesemiconductor manufacturing equipment and thematerials industries. Throughout the 1980s, U.S.materials and equipment suppliers lost marketshare to foreign competitors. Between 1985 and1989, the global market share of U.S. equipmentsuppliers dropped from about 60 percent toalmost 40 percent; Japanese suppliers increasedtheir market share from 35 percent to almost 50percent. In 1980, nine of the top 10 equipmentsuppliers in the world were U.S.-owned. By 1990,Japanese companies held five of the 10 top slots,including the top two (table 3-4).

U.S.-based materials suppliers proved no moresuccessful, maintaining only 23 percent of the$9.2 billion world market in 1990. Suppliers inJapan captured 64 percent of the market and heldthe top four slots in terms of total sales. Moreover,much U.S.-based production is foreign-owned.U.S.-owned firms supplied only 13 percent of thetotal market for materials, and Japanese-owedfirms held a 73 percent share.33 Of the five largest

31 U.S. Dep~ent Of Commerce, International Trade Mti tratiou U.S. Industrial Outlook, 2978 (Washington DC: U.S. GovernmentPrinting OffIce, 1978), p. 308,

32 Ekztronic Industries Association op. cit., foomote 2 p. 114.33 U.S. ~t~mtio~ Tr~e co~ssio~ Global Competitiveness of U.S. Advanced Technology Manufactun”ng Industn’es: Semiconductor

Manufacturing and Test Equipment, USITC publication 2434 (Washington DC: U.S. Intermtional Trade Cornmissiou September 1991), p.4-15.

~ ~ese suppliers were Kyocera, Shin-Etsu Handotai, NTK, and Sumitomo (all Japanese), ~d Huels from Ge~ny.

. -—— -

Chapter 3–The Semiconductor Industry 164

Figure 3-12-Growth of Regional Semiconductor Markets

~~ ~ billion --cd I

20-

15

10 1

5 4

Japan

m

world

OL1982 1983 1984 1985 1986 1987 1988 1989 1990 1991

SOURCE: Semiconductor Industry Association, Annual Databook: Global and U.S. Semiwntictor CompetitiveTrends-1978-1991 (San Jose, CA: Semiconductor Industry Association, 1992), p. 13.

Table 3-4-Top 10 Semiconductor Equipment Suppliers in Worldwide Sales

1980 Sales 1990 Sales

Company (nationality) ($M) Company (nationality) ($M)

Perkin-Elmer (US) 151 Tokyo Electron (J) 706GCA (US) 116 Nikon (J) 696

Applied Materials (US) 115 Applied Materials (US) 572Fairchild (US) 105 Advantest (J) 423

Varian (US) 90 Canon (J) 421Teradyne (US) 83 Hitachi (J) 304

Eaton (US) 79 General Signal (US) 286General Signal (US) 57 Varian (US) 285

Kulicke and Soffa (US) 47 Teradyne (US) 215Takeda Riken (J) 46 SVG (US) 204

Total $888 Total $4,108

NOTES: US - United States; J - Japan.

SOURCE: SEMATECH, “Strategic Overview,” December 1991, p 1-7; data from VLSI Research.

suppliers in 1990, four were Japanese and the fifthwas German.34

Moreover, the fragmented structure of the U.S.semiconductor industry permeates the supplierindustry as well. Throughout the 1980s, U.S.semiconductor manufacturers maintained arms-length relationships with their primary suppliers.In contrast, Japanese manufacturers maintainedcloser linkages to their suppliers. This enabledJapanese manufacturers to gain early access to

new production equipment and to influence itsdesign.

~ The U.S. ResponseThe loss of U.S. share in the memory market to

Japan triggered alarms throughout industry andgovernment in the United States. Though acommodity good with low profit margins, DRAMswere considered the primary technology driverfor the entire semiconductor industry throughout

65 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

the 1980s.35 The design of DRAMs is fairlystraightforward compared with microprocessorsand other logic devices, but in order to achievegreater capacity, transistors must be packed moreclosely together. The design of next-generationDRAMs therefore precipitates advances in lithog-raphy and manufacturing capability for achievinghigher device densities and smaller linewidths.Logic devices typically lagged behind DRAMs inthese areas. In addition, the large volumes charac-teristic of DRAM production allow for greaterevaluation and refinement of production tech-niques. With large production runs, the effects ofprocess changes upon yield can be more easilydetermined and the learning that manufacturersgain can be applied to other types of devicesmanufactured with a similar process. High-volume production also provides manufacturers away of amortizing the cost of new fabricationfacilities (or fabs), which can then be convertedfor use in manufacturing other devices, such aslogic, and for gaining experience with newprocesses.

Faced with the prospect of continuing itsdownward slide in market share, the U.S. semi-conductor industry took serious measures in the1980s to regain its international competitiveness.Central to these efforts have been industry collab-oration and government cooperation. Govern-ment and industry have become partners inreinvigorating an industry that is often considereda strategic national asset. Industry participationhas been coordinated primarily by the Semicon-ductor Industry Association (SIA), an organiza-tion that, since its inception in 1977, has gainedconsiderable political influence and has come to

represent its member companies effectively. SIAhas worked with the federal government tostimulate U.S. research in semiconductor technol-ogy, ameliorate trade frictions, and strengthenlinkages between semiconductor manufacturersand their suppliers. These efforts have resulted inthe formation of the Semiconductor ResearchCorporation (SRC), SEMATECH, and a series ofSemiconductor Trade Agreements (STAs) withJapan.

Semiconductor Research CorporationSRC was founded in 1982 as an industry-led

consortium to coordinate and fund basic univer-sity research in technologies of interest to thesemiconductor industry. Membership in SRC hasgrown rapidly to some 70 organizations in 1992.Most members are individual corporations whocontribute a freed portion of their total revenues,but other members are government agencies andgovernment/industry consortia, such as SEMA-TECH and the Microelectronics and ComputerTechnology Corporation (MCC).36 With a smallstaff in Research Triangle Park, North Carolina,SRC manages an external research budget ofabout $30 million per year. These resources arededicated to three complementary missions: tosupport research in universities bearing on long-range industry needs; to increase the flow ofgraduate students with direct experience relatedto mainstream interests of the semiconductorindustry; and to stimulate interest among univer-sity faculty in silicon-related activities and therebyincrease the demand for government researchsupport in this area.

35 my r=afly b this notion kn ~leng~. LSI Logic, kc. has developed a 0.6-micron process for manufacturing ASICS bt fivdsstat&of-th&artDRAM factories, convincing many analysts that DRAM production is not the only way to drive improvements in manufacturing

F==36 AS of Igg2, SRC hd 26 Ml and 33 affiliate members from industry three associate members from b Ahunos National MbOratory,

the Microelectronics and Computer Technology Corporation and SEMATECH; and seven members from government, including the NationalScience Foundatio~ NISZ the National Security Agency, and other Department of Defense research organizations, who collectively sllpportone full membership for the U.S. government. lb apply for full membership in SRC, companies must manufacture, use, or sell semiconductors.Affiliate and associate members must conduct R&D in support of semiconductor devices within the United States or Canada. AftIliate andassociates members do not have representation on the board of directors.

Chapter 3-The Semiconductor Industry 66

SRC’s contribution to university research isevident. Between 1982 and 1992, SRC funded$200 million in university research contracts,supporting hundreds of faculty members andthousands of graduate students. This researchgenerated over 8,000 published reports and 41patents, with another 38 patents filed.37 Memberfirms have access to all these research findingsand results. More importantly, SRC finding hashelped create interdisciplinary university researchprograms on silicon-based devices. Though com-prising over 90 percent of industry sales, silicondevices received little attention by universityresearchers a decade ago; the limited semicon-ductor research at universities was aimed atcompound materials (such as gallium arsenide),which have unique electrical properties and are ofparticular interest to DoD, but have fewer com-mercial applications.

38 SRC funding now repre-

sents about half of all U.S. support for siliconsemiconductor research at universities and re-search institutes.

Transferring research results to industry hasnot always been easy. Most research projects needfurther work before they can be commercialized.In addition, finding the appropriate end-user for aspecific technology can be difficult. Neverthe-less, in 1992, SRC created a new TechnologyInsertion Program to help move SRC researchresults into participating companies. These pro-grams are aimed at improving SRC’s transfer oftechnology to industry.

Despite the difficulty with technology transfer,SRC has been highly effective in training person-nel for careers in the semiconductor industry andin stimulating personnel exchanges between in-dustry and universities. Member companies areencouraged to send technical personnel to univer-sity research centers for extended periods of timeto gain exposure to advances in academic re-

search. In addition, members often use theiraccess to students as a means of selecting futureemployees. Over 1,000 of SRC’s graduate stu-dents have been hired by industry, bringing withthem intimate knowledge of new semiconductorprocessing techniques.

As a consortium, SRC has also played a keyrole in helping industry reach consensus on anumber of issues. Through its advisory boards,sponsored workshops, and planning documents(like SRC 2001), SRC has developed earlyindustry roadmaps and research agenda for keytechnologies. These tools have helped SRC raisenational interest in issues of importance to thesemiconductor industry and attract governmentattention to industry problems. Furthermore, bymaintaining management control of industry’suniversity research funding, SRC has not onlyadvanced a close match between university ef-forts and industry needs, but has also reducedduplication of research efforts. Though overlapcan lead to different and useful results, the highcost of research in the semiconductor industrymakes elimination of redundancy a necessity.SRC’s success in these areas is widely creditedwith strengthening the global competitiveness ofthe U.S. semiconductor industry and improvingrelations between government, industry, and aca-demia.

SEMATECHThe federal government has also supported

SEMATECH, a consortium founded by 14 mem-ber companies in 1987 to help U.S. manufacturersrecapture world leadership in the semiconductorindustry. The group, with facilities and staff at itsheadquarters in Austin, Texas, proposed to meetthis goal by developing within five years aprocess for manufacturing chips with 0.35-micron feature size on 8-inch wafers. In Decem-

B1 Semiconductor Research Corporatio~A Decade of Service to the Semiconductor Industry: 1992 Annual Report @~~h Tri@e p~~NC: Semiconductor Research Corporation 1992), p. 2.

38 ~ke~ for cornpo~d s~couductors are growing, however. Gallium arsenide Ics uc MW Widely Used k wkkss COmm~~tioM

systems; compound semiconductors are used as laser sources in telecommunications systems and compact disc (CD) pIayers.

67 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

ber 1987, Congress authorized DoD to provideSEMATECH with five years of funding at a levelequal to industry’s contribution, expected to be$100 million per year. DoD assigned ARPAresponsibility for working with SEMATECH inApril 1988.

SEMATECH originally planned to create newproduction processes in-house for manufacturingnext-generation semiconductors, but later de-cided that its primary goal should be to develop astrong base of semiconductor manufacturing equipment suppliers. Without strong suppliers, U.S.semiconductor manufacturers could not keep upwith their Asian competitors, who have closercontacts with Japanese equipment makers andthus have earlier access to the most advancedJapanese semiconductor manufacturing equip-ment. At SEMATECH’s inception, U.S. semi-conductor equipment suppliers were losing mar-ket share at the rate of 3.1 percent per year.39

Semiconductor manufacturers expected to pur-chase less than 40 percent of their submicronequipment from U.S. suppliers.40

SEMATECH established a number of partner-ships with U.S. equipment manufacturers to helpthem develop next-generation production tools. Italso brought the semiconductor industry towardconsensus as to its future requirements, especiallyfor new semiconductor manufacturing equip-ment. As a result, equipment manufacturers havebeen able to produce equipment to one set ofindustry specifications rather than to diversecompany specifications. In addition, SEMA-TECH has standardized methodologies for evaluat-ing candidate manufacturing technologies, both

analytically and experimentally. Perhaps mostimportant, SEMATECH’s Partnership for TotalQuality program has improved communicationsbetween semiconductor manufacturers and theirsuppliers. While some suppliers had previouslymaintained close relationships with preferredcustomers, SEMATECH replaced and repairedthose that had been severed and created a muchbroader set of ties. In this way, information that isnot easily quantified can be exchanged directlybetween users and suppliers of manufacturingequipment.

While critics claim that SEMATECH hasbenefited only its member companies, otherscredit the consortium with contributing to therecent improvement in the health of the entiresemiconductor equipment industry. Since 1990,equipment manufacturers have reversed theirdeclining market share and currently command53 percent of the world market, versus 38 percentfor Japan.

41 U.S. semiconductor manufacturers

now purchase over 70 percent of their equipmentdomestically. Motorola’s new wafer fabricationfacility in Austin, Texas, which was originallyplanned to include 75 percent foreign tools, nowhas an 80 percent U.S. tool set.42 Production yieldsof U.S. semiconductor manufacturers, whichwere 60 percent versus Japan’s 79 percent in1987, have improved to 84 percent versus 93percent in Japan.43 U.S. equipment manufacturershave also increased their market share in Japan,commanding almost 20 percent of the Japaneseequipment market in 1992, up from 15 percent in1990.44

39 peter BUXTOWS, “Bill s~ncm Struggles to Reform SEMATE~” Electronic Business, Ikby 18, 1992, p. 58.

@ SEMATECH, 1991 Annual Report, p. z.4 1 Da~ from WI R~sem~h ~c, ~ cit~ by pew figge~, vice presid~~ public policy ad Adrninistratioq SEh41/SEMATECH,

personal comrnunicatio~ July 20, 1993.

42 SEMATECH, 1991 Annual Report, p. 18

43 Us. &nm~ Accounting OMce, “Federal Research: SEMATECH’S Technological progress and Proposed R&D PrograQ”GAO/RCED-92-223BFq July 1992, p. 10.

44 Dam from WI Re=ch kc, ~ cit~ by pew Haggerty, vice presid~~ public policy ~d Administratio~ SEMI/SEMATECH,

personal communication% July 20, 1993.

— ——— —

Chapter 3-The Semiconductor Industry 68

Bilateral Trade Agreements

Other than its participation in SRC and SE-MATECH, much of which was justified on thebasis of national security, federal attempts toboost the competitiveness of the civilian semi-conductor industry have been limited almostexclusively to trade considerations. Governmentpolices have been designed to level the playingfield by reducing foreign trade barriers andcurbing unfair trade practices-specially dump-ing—that have injured U.S. semiconductor manu-facturers. Trade frictions have been characteristicof the semiconductor industry since the early1980s, when U.S. manufacturers began complain-ing of difficulties entering the Japanese marketand accusing Japanese firms of dumping productsin the United States.

The federal government frost attempted toredress these grievances through the negotiationof a bilateral agreement with Japan in 1983, afterimports of low-cost 16K and 64K DRAMs fromJapan inflicted heavy losses on U.S. manufactur-ers. While the agreement contained several rec-ommendations to promote U.S. access to theJapanese market and halt dumping, it lackedproper enforcement and by 1985 was defunct, asU.S. market share in Japan plummeted andJapanese companies began dumping 256K DRAMsand EPROMs in the United States.45

A second attempt was made after U.S. manu-facturers and the U.S. Department of Commercefiled antidumping suits against Japanese firms in1985, and the SIA filed a petition for retributionagainst manufacturers who were eventually foundguilty of dumping. Through the SemiconductorTrade Agreement of 1986, the United Statesattempted to sway Japanese producers to sell atcost-based prices and to ensure U.S. manufactur-ers enhanced access to Japanese markets. Aseparate side letter to the STA sought commit-

ments by Japan to encourage its semiconductormanufacturers to increase purchases of U. S.-produced semiconductors, with the goal of in-creasing the foreign share of the Japanese marketto at least 20 percent by the end of 1991.46

Nevertheless, few steps were taken to implementthis requirement, and in April 1987 PresidentReagan announced formal sanctions against Japa-nese electronics producers. This action, coupledwith prospects that Japan might be labeled apriority country under the Super 301 provisions ofthe Omnibus Competitiveness Act of 1988 and besubject to retaliatory actions by the United States,induced significant changes in Japan’s attitudetoward the STA. Efforts were soon undertaken toboost foreign sales, including the formulation ofmarket access plans and specific company prom-ises of increased purchases.

The 20 percent target was not reached by 1991,but given signs of improvement, the SIA and theComputer Systems Policy Project lobbied theU.S. government to negotiate anew semiconduc-tor agreement with Japan. Under this accord,Japan agreed to reach the 20 percent mark by thefourth quarter of 1992. By the fourth quarter of1992, U.S. companies had achieved 20.2 percent,though market share declined the followingquarter. Despite efforts by the Clinton administra-tion to get further firm, numerical commitmentsof market share, many U.S. companies thoughtsuch measures unnecessary because they hadalready become an integral part of Japanesesupply networks.

FUTURE CHALLENGES TO THE U.S.SEMICONDUCTOR INDUSTRY

While the efforts of the U.S. government andindustry have been somewhat successful in en-hancing the competitiveness of U.S. semiconduc-tor industry, additional efforts will undoubtedly

45 ~om~~ Howell, op. cit., foOtnote 15, p. 102.

46 ~cording to tie SL4, the Japanese govemmcnt originally denied the existence of the side letter and later argued tit w~e cornmit~gthem to encouraging Japanese companies to increase foreign purchases, it did not commit them to impose numerical procurement quotas oncompanies.

69 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

be necessary. U.S. manufacturers may haveregained market leadership in semiconductorsand equipment, but Japanese competitors willlikely reassert themselves in the near future.Much of the recent slowdown in Japanese semi-conductor production is the result of a seriousrecession that has reduced local demand forsemiconductor products. A resurgence of demandcould boost production and Japanese marketshare once again.

U.S. and Japanese semiconductor manufactur-ers will be faced with a number of additionalchallenges over the next decade. Industry willneed to surmount many technological obstacles inboth semiconductor design and manufacture inorder to meet future requirements for morecomplex, sophisticated integrated circuits. At thesame time, the industry will have to face increas-ing costs for R&D and production that couldthreaten the ability of individual manufacturers to

meet their goals.

9 TechnologyFuture integrated circuits will offer consider-

able advantages over existing ICs. Although thespecific path of technological development can-not be accurately predicted more than a few yearsinto the future, realistic predictions can be madeon the basis of historical trends in IC capability.Since 1959, the number of components per circuitin the most advanced integrated circuits hasdoubled every year, following a trend line re-ferred to as Moore’s Law.47 This trend reflectstwo underlying processes: continued reductionsin the size of individual devices (e.g., transistors)on each chip-which thereby allow more devicesto be packed into each square centimeter of

chip-and the simultaneous increases in the sizeof each die (or chip) .48

As of 1992, state-of-the-art manufacturers couldproduce 4M DRAMs containing over 300,000gates per chip on 132-mm2 chips using 0.5-micron feature sizes. In order to stay on theMoore’s Law curves, by the year 2007 they willhave to produce 16-gigabit (G) DRAMs contain-ing over 20 million gates on 1,000 mm2 chips withO.10-micron feature sizes.49 Future ICs will havegreater power demands and will be able to operateon just 1.5 volts of electricity versus the 3.3 to 5volts required of current portable and desktopsystems. Maximum operating speeds will risefrom 120 megahertz (MHz) to 1,000 MHz,allowing faster computation. Other criteria willalso improve (table 3-5).

Achieving these specifications in the timeframeindicated will require industry to overcome nu-merous technical hurdles. A recent workshopsponsored by the SIA and attended by representa-tives of the semiconductor industry, its suppliers,government, and the national labs, analyzed thetechnological advances necessary to achieve thesegoals, in keeping with the Moore’s Laws projec-tions. The results of this workshop represent aconsensus view on industry needs and require-ments for the next 15 years. The group identified11 major areas in which technical progress will becritical (table 3-6). While each area presents anumber of difficulties, lithography may prove themost critical (box 3-A). Workshop participantsalso identified eight cross-cutting competenciesthat pervade these 11 technology areas. Advancesin these specific competencies, outlined below,will allow further progress in the technologyareas.

47 Moore’s hw is n~ed titer Gordon E. Moore who first noted the trend in 1964 and predicted it would continue. Robert N. Noyce,“Microelectronics,” Scienr.ifk American, September 1977.

da U.S. ConWess, Office of Technolo~ Assessmen~ Miniaturization Technologies, OTA-TCT-514 (Washington, DC: U.S. GovernmentPrinting OflIce, November 1991), p. 13.

49 Semiconductor Indus~ Associatio~ Semiconductor Technology: Workshop Working Group Reports, (San Jose, CA: semiconductorIndustry AssOciatiOQ 1993), p. 3.

Chapter 3–The Semiconductor Industry 70

Table 3-5—Overall Roadmap Technology Characteristics

Characteristic 1992 1995 1998 2001 2004 2007

Feature size (microns)Gates per chip (millions)

Bits per chipDRAMSRAM

Wafer processing cost ($/cm2)

Chip size (mm2)logicmemory

Wafer diameter (mm)

Defect density (defects/cm2)

Levels of interconnect (for logic)

Maximum power (watts/die)

high performance

portable

Power supply voltagedesktop

portable

Number of 1/0’s

Processing speed (MHz)off chipon chip

0.500.3

16M4M

$4.00

250132

200

0.10

3

103

53.3

500

60120

0.350.8

64M16M

3.90

400200

200

0.05

4-5

154

3.32.2

750

100200

0.252.0

256M64M

3.80

600320

200-400

0.03

5

304

2.22.2

1,500

175

350

0,185.0

1G256M

3.70

800500

200-400

0.01

5-6

404

2.21.5

2,000

250500

0,1210.0

4G1G

3.60

1,000700

200-400

0.004

6

40-1204

1.51.5

3,500

350700

0.1020.0

16G4G

3.50

1,2501,000

200-400

0.002

6-7

40-2004

1.51.5

5,000

5001,000

NOTES: DRAM . Dynamic Random Access Memory; SRAM - Static Random Access Memory; VO - inpu~output.

SOURCE: Semiconductor Industry Association, Semiconductor TAno/ogy Workshop Reports (San Jose, CA:Semiconductor Industry Association, 1993), p. 3.

Materials

There is a critical need for a wide range ofhigh-quality materials for the IC industry, but, todate, industry has undertaken little coordinatedmaterials research. Materials needs include im-provements in all feedstock materials, includingsilicon wafers; wet and dry chemicals used foretching and cleaning; construction materials forequipment and plant; consumables such as resists,masks, ceramics, glasses, metal sputtering tar-gets; packaging materials; and advanced substratematerials (insulators such as glass) on whichgroups of ICs can be produced and intercon-nected. Specific needs for substrate materialsinclude dielectrics that are effective insulators atthicknesses of just 50 angstroms; materials for

storage cells and capacitors; materials that can beintegrated with highly conductive metals forinterconnects; and materials for encapsulatingand protecting bare chips.

New materials can Provide a competitiveadvantage for fabrication equipment. Of particu-lar importance may be surface-treated materialsfor use in construction of etch chambers and othercorrosive environments and special materialssuch as those used in construction of electrostaticchucks.

MetrologyAdvances in the ability to measure the results

of processing operations are essential to maintainthe close production tolerance needed by future

71 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

Table 3-6-Technical Areas Identified in SIA Roadmaps

Technical area Required advances

Chip design and test

Lithography

Materials and bulk processes

Manufacturing systems

Process/device/structure CAD

Equipment modeling anddesign

Device/process integration

Interconnect

Environmental safety andhealth

Packaging

Manufacturing facilities

Enhanced computer-aided design tools to help engineers design ICswith more devices and complicated interconnections.

Reductions in the Iinewidth and overlay capabilities to allow smallerdevices to be drawn on a semiconductor (processes other thanphotolithography such as x-rays, electron beams, or ion beams maybe needed); compatible mask and resist technologies.

Improvement in processes used for creating oxides and depositingfilms on the semiconductor wafer; advances in temperature control,mass flow control, materials purity, and modeling of bulk processes.

More robust systems to handle increased volume of data used in lotscheduling and planning, wafer tracking, work-in-process control,failure analysis support, cost accounting, purchasing, and capacityplanning; new software tools for managing flexibility in the factoryconfiguration, including process equipment, product mix, and manu-facturing technology,

New computer-aided design tools to model new processes, circuits,factory equipment, and manufacturing systems; 3-D models tocharacterize processes such as ion implantation and diffusion. Use ofsuch models will help limit the amount of experimentation needed tobring new processes on-line,

Advances in models of manufacturing tools for lithography, plasmaetching, thermal processing, and epitaxy to allow design of new toolsthat can reduce base equipment costs, reduce time-to-marketthrough integrated design tools, and improve predictability of per-formance.

Progress along the Moore’s Law curves will require continuedattention to troth front-end (design) and back-end (assembly and test)issues. Advances in process integration will ensure the compatibilityof progress in these two areas.

Advances in dielectric and metal film formation and etch processes toallow multiple layers and more complex patterns of interconnection,and therefore higher operating speeds and chip densities.

Means to limit the use of chemicals and processes that are harmfulto human health and the environment, or to reduce the risk associatedwith their use.

Advances in the packages that house ICs to ensure the integrity of theelectrical signals and the power provided to the chip.

Advances in wafer handling systems and raw materials systems (forwafers and gases); development of smaller “micro-fabs” that canefficiently produce small batches of wafers, yet be scaled up for massproduction, and the manufacturing tools required to support them.

SOURCE: Office of Technology Assessment, 1993; based on Semiconductor Industry Association, Swnbonductor%chnology Workshop Reports (San Jose, CA: Semiconductor Industry Association, 1993).

Chapter 3–The Semiconductor Industry 72

Box 3-A–Advances in Lithography

Central to future advances in semi- Table 3-7—Requirements for Lithography Systemsconductor manufacturing will be advancesin lithography. Lithography is the primary 1992 1995 1998 2001 2004 2007

technology driver for boosting the perform- Leading Edge

ance of integrated circuits. Lithography \~~)M ‘ize

16M 6 4 M 2 5 6 M 1 G 4 G 1 6 Gincludes exposure, resist processing, coat-

ing and developing, masks, and their asso- Resolution (rim) 500 350 250 180 120 NA

ciated processing. It is also the dominant Overlay (nm)150 100-200 75-9550-7035-50 NA

cost factor in semiconductor fabrication,NOTES: M = megabits; G = glgabits; nm = nanometers; NA = not

accounting for 35 percent of the processed available.wafer cost, SOURCE: %mioonduotor Industry Association, Semkwr)ductor T6ch-

In order to further reduce the size of nobgy Wkshop Reports (San Jose, CA: Semiconductor Industry

the individual devices on an integrated ‘S-aibn’ 1993)’ ‘“ 37”circuit, continued improvement will be neededin both the resolution and overlay capabilities of lithography systems (table 3-7). Resolution determinesthe width of the smallest line that can be etched into the silicon wafer. Overlay capability refers to theability of the system to properly align subsequent layers of integrated circuit on top of those below it. Bothdepend strongly on the wavelength of light used in the exposure (shorter wavelengths allow higherresolution for narrower linewidths) and on the method used to project the light onto the wafer. Inprojection lithography systems, a mask about five times the size of the desired pattern is placed betweenthe light source and the wafer and is focused by a series of lenses onto the wafer below. In direct overlayor proximity systems, a mask the same size as the desired integrated circuit is placed directly over thesilicon wafer and exposed to the light. Projection systems allow greater resolution and overlay capabilityand are used inmost current systems, but may require sophisticated optics for future, higher-resolutionsystems.

(continued on next page)

IC technology. Lithography process control, for chambers is a major impediment to understandinginstance, requires that lines and spaces be measur-able to within one-third of the minimum dimen-sion. The present realistic capability for wafermeasurements is O.15 micron. Therefore, newmeasurement methods must be developed tocontrol processes with critical dimensions lessthan 0.5 micron to ensure adequate processcontrol.

Measurement capability also limits processcharacterization. For example, surface measure-ments required to evaluate materials growth andetch processes limit modeling and characteriza-tion of these important processes. The inability toaccurately analyze residual gases in process

chemical plasma processes. Similarly, metrologylimits the device structure evaluation; today’smethods for measuring vertical device structurescannot accurately resolve the sharp impuritygradients of the shallow junctions used in 0.25-micron processes.

Advances in metrology are necessary for im-provements in contamination control. Presentmeasurement techniques are capable of detecting109 (one billion) heavy metal contaminant atomsper cm2. Process controls required to achievecompetitive process yields 15 years from nowwill require detection of 107 (ten million) atomsper cm2. Advanced IC fabrication requires meas-

73 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

Box 3-A--Advances in Lithography--Continued

Current production-level lithography systems operate at optical wavelengths of light, typicallyeither 436 nanometers (G-line) or 365 nanometers (l-line), generated by mercury vapor lamps. Theyyield a resolution of about 0.5 micron. Smaller Iinewidths will require shorter wavelength light, in the“deep ultraviolet” portion of the spectrum (248 or 193 nanometers), generated by excimer lasers. Thesewavelengths could generate Iinewidths as narrow as 0.18 microns, but difficulties in narrowing the depthof focus and diffraction could limit their applicability y past 0.25 microns. Alternative technologies mayneed to be sought.

One possibility is x-ray lithography, which uses significantly shorter wavelengths of light (about 5nanometers), but otherwise operates much like an ultraviolet system. Proximity x-ray systems havebeen studied for several years, but require a bright source of x-rays such as a synchrotrons and cannotoffer the resolution of projection systems. The latter constraint could limit proximity x-rays from beingused past 0.18 microns. More recently, research has begun to focus on projection x-ray systems, whichcould offer Iinewidths of 0.12 micron or less and rely on less expensive sources of x-rays. Developmentof such systems would require significant advances in the manufacture of the necessary optics, aprocess that requires the creation of multiple-layered films with precisely controlled thickness.

Alternatively, smaller Iinewidths could be achieved with electron beam or ion beam techniques inwhich beams of high-energy electrons or charged particles (ions) are fired at the surface of the wafer.E-beams have demonstrated resolution as small as 2 nanometers in certain materials in a laboratorysetting,1 but are limited in their applicability to full-scale production lines because they can draw linesonly one at a time. Ion beams can generate Iinewidths as small as 100 nm, but, as with e-beams, mustbe scanned across the entire wafer surface one step at a time. Research is being conducted on methodsfor increasing the throughput of such direct-write systems, but is still in the early stages.

1 l.J.S. congress, Office of T~nology Assessment hfh?hdtudzatlon %C/?d0@8S, OTA-TCT-514 -mg-ton, DC: U.S. Government Printing Office, November 1991), p. 37.

urement capabilities consistent with tight process immediately. Sensors are also required to im-controls. Advances in the science of measurement(i.e., metrology) are important to both semicon-ductor producers and equipment suppliers.

Sensors For Process ControlNew low-cost, reliable, and sensitive sensors

are necessary to increase the rate of learning intool development, reduce the time to market forprocess equipment, improve tool and processcontrols, increase process yield, and reduce de-fects.

Greater use of real-time, in situ sensors isdriven by economics. Sensors are the criticalelements in closed-loop process control and arenecessary for detecting process problems whenthey occur, so that corrective actions can be taken

prove frost-pass success when introducing processvariations.

Accurate control of even such commonly usedprocesses as rapid thermal processing (RTP) andplasma deposition and etch requires new sensorapproaches. The technique used to control todaysRTP equipment (called back surface emission),for instance, leads to 50 to 200 degree Celsiustemperature errors. Reliable RTP control requiresnew temperature sensors that are more accurateand more responsive to real-time front surfaceconditions.

Sensors that monitor gas and chemical purityand cleanliness are also of major concern. Gasanalyzers, mass controller calibrators, chemically

Chapter 3–The Semiconductor Industry 74

selective sensors, and particle detectors are allessential to maintain process cleanliness. Envi-ronmentally conscious manufacturing will re-quire recycling and reuse of chemicals not only tominimize waste, but also to reduce cost. Chemicalgeneration and reuse will require sensors that candetect impurities at a parts per billion level foron-line monitors of chemical purity.

Modeling and SimulationIC technology has developed faster that the

capability for modeling and simulating its variouselements. Cost and complexity of IC fabricationmake the acquisition and application of advancedmodeling and simulation tools an imperative.

Computer-based modeling and simulation havebecome essential in all areas of semiconductortechnology. Models are now used for materials,devices, and processes, as well as for circuits andsystems. In addition, entire fabrication equipmentsystems—from process chambers and wafer han-dling systems to the design and operation ofcomplete factories-require modeling and simu-lation.

The physics base for models is still incomplete.Today’s modeling and simulation tools are unreli-able, incompatible with one another, and unableto cover the entire range of requirements. But,modeling and simulation are critical to the ICindustry because of the need for faster implemen-tation of error-free designs for chips, systems, andfactories. Modeling and simulation have perva-sive applicability and provide the tools necessaryin the design, test, and production of materials,equipment, processes, factories, devices, systems,packages, circuits, and ICs.

The highly complex task of developing suita-ble models requires a vast range of skills, fromphysics and chemistry to electrical/mechanicalengineering and computer science. These skillsare developed in environments such as nationallaboratories, universities, computer systems pro-ducers, and the semiconductor industry.

Reliability and QualityExisting manufacturing methods do not ensure

reliability and quality of complex semiconductorproducts, particularly where the physical limits ofthe materials, processes, and structures are chal-lenged. In submicrometer structures, processesand structures are being pushed to the physicallimits of breakdown voltage, interconnect current-carrying capacity, stress, defect and contamina-tion levels, alignment errors, and noise margins.Shallow junctions, trenches, stacks, capacitors,new device architectures, and ultra-thin intercon-nect lines each introduce new failure possibilities.

Commercial success of semiconductor tech-nology in all applications depends on reliable,long-term performance to specification. Withcurrent technologies, however, the reliability ofsubmicrometer devices cannot be determined inadvance. A systematic approach to reliabilityengineering must be therefore be developed.Standard test environments, based on design rulesand fabrication conditions, must be available tothe entire IC community. Standard tests applied tostandard test structures will simplify the interpre-tation and comparison of the resulting data. Asthey affect reliability, the roles of microstructure,topography, and stress must be determined. Bothempirical and fundamental models must be devel-oped and experimentally confined. Techniquessuch as design-for-reliability and an understand-ing of the relationships between process contami-nation and reliability are essential to a systemsapproach to reliability and quality.

Contamination-Free Manufacturing (CFM)Impurities and particles are unintended con-

taminants in all IC manufacturing steps. Sourcesof contamination, which include processing ma-terials (including chemicals and gases), processchambers, wafer handling systems, and facilities,contribute to reduced IC process yields. Asproducts and process complexity increase, thesize and density of defects or impurities must besubstantially decreased.

75 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

IC producers expect to achieve yields close to100 percent to meet cost and reliability goals.This requires processes, materials, equipment,and new fabrication facilities to be defect-free. Aclear understanding of the generation, detection,and elimination technologies for unwanted impu-rities and particulate is critical.

Manufacturing-Critical Software EngineeringIC manufacturing process equipment is in-

creasingly regulated by software-programmedcontrollers. Software failures are a major problemfor today’s fabrication equipment. This problemwill worsen with greater equipment and factoryautomation. Provisions for the creation, upgrade,and maintenance of equipment and factory soft-ware are essential.

Improved software design for reliability andtesting is key to efficient maintenance and re-duced equipment failure rate. Developers ofequipment control architectures must look be-yond immediate applications to include expanda-bility for future applications. Self-testing codes,modular structures to provide flexibility, use ofefficient high-level languages, noise immunity,and interrupt timing standards are all important toimprove software performance and reliability inthe semiconductor factory. Other manufacturing-critical software-related issues include manufac-turing databases, logistics planning, and factoryand equipment control. These factory systemapplications must interact closely with equip-ment.

B costsThe technical challenges outlined above will

not only stretch the scientific and engineeringtalents of U.S. semiconductor manufacturers,they will also stretch their financial resources.R&D and production facilities are becomingmore costly to the semiconductor industry, andwithout a radical change in manufacturing tech-nology will continue to rise on their current trendlines. With product life cycles as short as three or

four years for most semiconductor products, largeinvestments in R&D and capital must be continu-ally maintained. Although costs of capital seem tobe converging in the United States and Japan,U.S. manufacturers may still beat a disadvantagecompared with international rivals who oftenreceive direct government support of commercialtechnology development and whose industrystructure is more tolerant of large investmentswith longer payback periods. In the absence ofother mechanisms, U.S. companies may be forcedto enter into more strategic alliances to poolresources with other companies, both at home andabroad.

R&DIn order to remain competitive, U.S. manufac-

turers will have to maintain high levels ofspending on R&D. The rapid pace of innovationin the semiconductor industry requires suchinvestments to support new product and processdevelopment. As competition has grown, U.S.companies have been forced to increase theirR&D spending. Between 1980 and 1991, annualR&D expenditures by U.S. merchant producersincreased by a factor of five, from $600 million to$2.9 billion. This growth in R&D has far outpacedgains in sales revenues, reflecting the increasingR&D intensity of semiconductor manufacturing.As a result, R&D expenditures as a percent ofsales increased from 7.4 to 13.3 percent since1982 (figure 3-13). The semiconductor industry isnow the most R&D-intensive of all major indus-trial sectors except computer software and serv-ices (figure 3-14).

Production FacilitiesCost for new production facilities are likely to

continue growing over the next decade. Due torising equipment costs and the increasing numberof processes required for each new generation ofsemiconductor chip, the cost of a state-of-the-artwafer fab has risen from $25 million in 1989 toover $500 million in 1992, and is expected to

.

Chapter 3-The Semiconductor Industry 76

S billion3 j

1

2

1

k

Figure 3-13—Sales Revenues and ExpendituresU.S. Semiconductor Industry

on R&D in the

0/0 sales revenue~ 20

I

15

I 10

0 51978 1979 1980 1981 1982 1983 1984 1985 1986 1987 1988 1989 1990 1991

SOURCE: Semiconductor Industry Association, Annual Databaok: G/oba/ and U.S. Semiconductor CompetitiveTrerrds-1978-1991 (San Jose, CA: Semiconductor Industry Association, 1992), p. 41.

Figure 3-14—R&D Expenditures in Key Sectors of U.S. Industry

Electrical products

Business machines

General manufacturingTelecommunications

Aerospace

Automotive

ChemicalsInstrumentsElectronicsComputers

PharmaceuticalsComputer communications

SemiconductorsSoftware & services

0% 2% 4% 6% 8% 10% 1 2 % 14’%0

R&D expenditures as a percent of sales revenue

SOURCE: Semiconductor Industry Association, Annual Databook: Globa/ and U.S. Semiconductor CompetitiveTrends-1978-199f (San Jose, CA: Semiconductor Industry Association, 1992), p. 42.

exceed $1 billion by 199550 (figure 3-15). About of semiconductor manufacturing equipment, each75 percent of this cost is associated with fabrica- of which can cost between $200,000 and $3tion equipment as opposed to land and build- million, and each of which must be maintained inings.

51 Processing a typical wafer now requires a clean environment that allows fewer than oneover 300 steps, conducted on hundreds of pieces O. 15-micron particle per cubic foot.

~ w~em tie dish of silicon on which hundreds of semiconductor chips are simultaneously produced.

51 Semiconductor lndus~ Association, Annua[Databook: Global and U.S. Semiconductor Compefi”tive Trends, 1978-1991 (San Jose, CA:

Semiconductor Indushy Association, 1992), p. 38.

77 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

1000900800

700

600

500

400

300

200

100

0

Figure 3-15-Growing Cost of a WaferFabrication Facility

S million

1970 1975 1980 1985 1990 1995

SOURCE: Arati Prabhakar, Advanced Research Projects Agency,“Flexible Intelligent Microelectronics Manufacturing,” &iefing to OTAon June 15, 1993.

Moreover, the rapid pace of technologicalinnovation in the semiconductor industry requirescompanies to make these large investments inplant and equipment on a regular basis. Newfacilities may become outdated after only threeyears of operation. While they may then be usedto produce other types of devices that do not relyon state-of-the-art processes, much of the equip-ment cannot be modified for next-generationchips. The advantages gained by being first tomarket (cost reduction through learning curves,market expansion) pressures companies to bringnew facilities on-line rapidly.

Some alleviation of cost and economy of scaleconsiderations could be achieved with moreflexible manufacturing equipment. ARPA re-cently completed a program entitled Microelec-tronic Manufacturing Science and Technology(MMST) that investigated the economic benefitsof flexible manufacturing systems to semicon-ductor manufacturers and developed rudimentarysystems for flexibly processing small batches ofwafers. Such technologies appear capable ofreducing the economies of scale necessary for anefficient plant, but are applicable primarily tosmall batch manufacturing and will thus remain

outside the purview of mainstream semiconduc-tor manufacturers for some time.

ALTERNATIVES FOR FUTURE R&DThe high costs associated with continued

success in the semiconductor industry are rapidlyexceeding the financial capabilities of individualcompanies. Moreover, the long-term nature of therequired investments exceeds the planning hori-zons of most U.S. corporations. In recent years,many companies have redirected their researchdollars to short-term projects focused on near-term product development. The two U.S. compa-nies that had formerly filled the gap betweenuniversity research and corporate product devel-opment by focusing on initial prototype develop-ment, IBM and AT&T’s Bell Laboratories, haveredirected their R&D dollars to link researchprograms more closely to product developmentactivities.

In order to make up for this growing deficiency,semiconductor companies have entered into stra-tegic alliances with domestic, or more typicallyinternational, partners to pool their resources withother companies and share the risks associatedwith large R&D programs. An alternative sourceof R&D funding would be the federal govern-ment. The end of the Cold War provides anopportunity for the government to redirect itsinvestment in defense technologies to programsmore closely tied to commercial competitiveness.In this realm, federal laboratories may provide akey link in the R&D cycle.

1 Strategic AlliancesMany companies are financing R&D projects

and production facilities in part through strategicalliances with domestic or international partners.While strategic alliances are not new to thesemiconductor industry, their number has in-creased and their character has changed over thepast decade. Throughout the 1970s and into theearly 1980s, alliances between U.S. and Japanesecompanies were few and involved the licensing of

Chapter 3–The Semiconductor Industry 78

technology from small U.S. companies thatlacked capital and manufacturing facilities tolarger Japanese fins. By the late 1980s, thenumber of publicly announced strategic alliancesannounced each year had risen to about 100, abouthalf of which, in 1990, were joint developmentagreements, joint fabrication agreements, or othertypes of joint ventures (figure 3-16).52

Strategic alliances are also becoming moreprevalent among large U.S. semiconductor manu-facturers such as IBM, Motorola, and Intel asdevelopment and production costs continue torise, straining the financial resources of thesecompanies. For example, IBM, Toshiba, andSiemens A.G. have teamed to develop technologyfor 256-M DRAMs, the cost of which no com-pany could individually afford, given the lowprofit margins associated with memory devices.Similarly, Advanced Micro Devices Inc. andFujitsu Ltd. agreed to establish a $700-millionstate-of-the-art joint fab for producing a new typeof memory device called ‘‘flash memories. ’

These alliances allow companies to pursuetechnologies that might otherwise be too expen-sive to develop alone, and they provide ways oftapping into additional pools of funding. This isof interest not just to U.S. firms, but to Japanesecompanies as well. Overcapacity and a weakeconomy in Japan have pushed Japanese semi-conductor manufacturers’ capital spending levelsdown 29 percent in 1992; spending is expected tofall another 13 percent in 1993. Capital expendi-tures are likely to rise in North America by 13percent in 1993, due in large part to a 25-percentincrease by Intel Corp.

However, alliances raise concern about thepossible transfer of U.S. technology abroad.Alliances are typically structured to team up U.S.technology and strengths in design and innova-tion with Japanese manufacturing capability.While the transfer of the product technology to

Figure 3-1 6-U.S.-Japan Semiconductor Alliances,1984-1990

Number of new alliances’ 4 0 ~— 1

1201

100

8 0

6 0

40

201

01984 1985 1986 1987 1988 1989 1990

SOURCE: Bruce Kogut and Dong4ae Kim, “Strategie Alliances inSemiconductor Firms,” report to Dataquest, January 1991.

Japan can be fairly easily accomplished, thetransfer of manufacturing know-how back to theU.S. is more difficult. With manufacturing takingplace in Japan, U.S. partners have difficultylearning from their Japanese partners. Therefore,such alliances may be more beneficial to Japanesecompanies than to U.S. companies. A recentreport by the National Research Council warnsthat a continuation of strategic alliances of thekind found today in the semiconductor industrymay prevent both the United States and Japanfrom developing the complementary capabilitiesthey seek in their alliances.53

9 Greater Industry/GovernmentCollaboration

An alternative to strategic alliances would be agreater government role in supporting semicon-ductor R&D and/or production. The governmenthas many facilities capable of conducting re-search relevant to the semiconductor industry.With the end of the Cold War, resources that were

sz me ~Uber of ~cm~ alllances may be considerably larger than the number announced, perhaps by a factor of ‘o ‘r ‘ore” ‘atioti

Research Council, U, S.-Japan Strategic Alliances in the Semiconductor Industry (Washingto& DC: National Academy Press, 1992), p. 32.

53 Ibid, pp. 2-3.

79 I Contributions of DOE Weapons Labs and NIST to Semiconductor Technology

formerly devoted to defense missions maybe able ready has a mission to support industry, hasto serve commercial purposes. The Department of developed a series of plans targeted to foster andDefense and the Department of Energy laborato- support technological advances in the semicon-ries could serve as collaborators with industry on ductor industry.new technology development. NIST, which al-