32
The Development of In-Line Strain Measurements using High Resolution X-Ray Diffraction

The Development of In-Line Strain Measurements using … · The Development of In-Line Strain Measurements using High Resolution X-Ray Diffraction. ... GaN-on-Si, MEMS ... CVD chamber

  • Upload
    vuhuong

  • View
    214

  • Download
    0

Embed Size (px)

Citation preview

The Development of In-Line Strain Measurements using High Resolution X-Ray Diffraction

Bruker Corporate Profile

Bruker: The leading provider of high-performance scientific instruments and solutions for life science, diagnostic and applied markets. Founded in 1960

$1.79B revenue

>6,600 employees

>80% of revenues outside of the US

Direct sales organizations in 33 countries

1,000 R&D professionals with strong track record of innovation

KEY FIGURES

Bruker BioSpin

BrukerCALID

Bruker

Nano

03/05/2017 Bruker Confidential 2

We have distinct capabilities and leadership positions in many product lines

03/05/2017

Bruker

BioSpinBruker

CALIDBruker

NANO

Industries Served

1. Academic

2. Government

3. Pharma Biotech

Industries Served

1. Pharma/Biotech

2. Industrial

3. Academic

4. Diagnostics

Industries Served

1. Industrial

2. Semiconductor

3. Academic

Indicative: not representative of all products sold and industries served

Bruker Confidential 3

Bruker NANO Group Profile

Bruker Advance X-ray

Solutions Division

(AXS)

Bruker Nano Surfaces

Division

(BNS)

Bruker Nano Analytical

Division

(BNA)

Over $500M Revenue

~60% Industrial

~40% Academic/Gov’t

~24% N Amer; 32% Europe; 44%

APAC/Japan

~Over 280 R&D professionals

BNANO GROUP KEY FIGURES

Bruker Semiconductor

Division

(BSD)

New

Bruker Semiconductor Division

Formed January 2015 to focus on the

needs of Semiconductor customers

November 2015: Acquired Jordan

Valley Semiconductors

Bruker Nano Group

03/05/2017 Bruker Confidential 4

What can HRXRD give us, who uses it and for what?

• High-resolution X-ray diffraction (HRXRD) provides a wealth of information about epitaxial materials

• Crystal lattice misfit/strain, tilt and defectivity/quality…

• Composition and thickness

• Arrangement, shape and lattice distortion in arrays of patterned structures

• It is first-principles (no calibration) and non-destructive characterization and metrology technique

• Does not require material/process dependent optical constants

• Accurate and precise with very few assumptions

• Has been used for 30+ years in the compound semiconductor industry for a wide range of materials (III-V, III-nitride, II-VI…) and devices (LEDs, lasers, CPV, detectors…)

03/05/2017 Bruker Confidential 5

History of Production HRXRD

2000

-3000 -2000 -1000 0 100010

-6

10-5

10-4

10-3

10-2

experiment

simulation

Refl

ecti

vit

y

Delta Theta [ arcsec ]

QC200

First 200mm system with automated fitting

1986 1990 2002 2004 2008 2015

310

410

-250 -200 -150 -100 -50 0 50 100 150

Inte

nsit

y (c

ps)

AXIS 2 (arcsec)

QC1 / QC2

First PC Diffractometers

JV QC3

Production tool for GaN LEDS

010

110

210

310

410

510

-6000 -5000 -4000 -3000 -2000 -1000 0 1000 2000 3000

Standardized Chi Squared: 0.039 R Factor: 17.19

Inte

ns

ity

Seconds

Reference Comparison 1 (Active)

BedeMetrix family

First fully automated 300mm system

JVX7300LSI

Fully automated fast RSMs

Fab200

First fully automated 200mm system

CS to Si HBT

CS developments

Si developments

03/05/2017 Bruker Confidential 6

Silicon semiconductor metrology tools

• Tools: JVX7300 series

• Channels: XRF, XRR and HRXRD Applications:

• 7300HR: SiGe & Si:C on bulk or (FD)SOI, various ALD films, HKMG stacks, silicides…

• 7300LSI: Ge and III/V on Si for sub-10 nm, HKMG, FinFETs, GaN-on-Si, MEMS

• 7300F(R): Metal / magnetic films, WLP

• In-line tools for silicon semiconductor device manufacturers for process control of product wafers

JVX7300LSI

03/05/2017 Bruker Confidential 7

HRXRD in Si Logic

• Introduced into the Si industry with strain engineering for sub-100 nm logic devices

• Epitaxial SiGe S/D stressors forPMOS mobility enhancement

• Also Si:C / Si:P S/D stressors for NMOS, but less widespread

• Used for R&D, CVD chamber qual., process diagnostics / ramp and in-line metrology

• Solid metrology pads less relevant / not available

• Transition from planar to 3D (FinFET) devices

• Novel channel materials,e.g. SiGe, Ge and III-V for sub 10 nm nodes

Source: A. Steegen, “Logic Scaling Beyond 10 nm”, IMEC Technology Forum US (July 2013)

03/05/2017 Bruker Confidential 8

Principle of X-ray diffraction based stress/strain analysis

• X-ray diffraction uses the crystal lattice as a “strain gauge”

• The relation between the lattice parameter and diffraction angle is defined by Bragg’s law, 2𝑑 sin 𝜃𝐵 = 𝑛λ

• Most sensitive stress/strain analysis method for semi. (ITRS 2011)

• Broadening of peaks gives dislocation densities

03/05/2017 Bruker Confidential 9

What do we mean by “high-resolution”?

• Epitaxial films and structures have a high degree of crystalline perfection

• The features (peaks and interference fringes) in the diffracted X-ray intensity from epilayer-substrate material systems are very closely spaced

• angular range of a few degrees at most

• High-resolution is needed to resolve these features

∆𝑑

𝑑=∆λ

λ+

∆𝜃

tan 𝜃𝐵

• High-resolution usually means highly collimated and monochromatic X-ray beams and precise goniometry

03/05/2017 Bruker Confidential 10

High resolution XRD setups

• Most common setup uses a parallel beam and point (0D) detector

• Source and detector angles scanned using a motorized goniometer

• Large (mm) and small (50 um) spot configurations are available

• JV also developed an innovative small-spot, focused beam HRXRD approach for fast in-line measurements on planar pads

X-ray tube

Conditioningcrystal

Slits

Sample

Mirror

Slits

Detector

2θω

(a)

03/05/2017 Bruker Confidential 11

Common Bragg diffraction geometries and anatomy of a HRXRD curve

• Symmetric Bragg geometry is sensitive to lattice parameter perpendicular to the surface

• Can determine SiGe composition from ∆𝜔 assuming fully strained layer

• Asymmetric geometries are also sensitive to the lattice parameters both parallel and perpendicular to the surface

• Can use symmetric / asymmetric combination for unique composition / relaxation determination

Symmetric geometry

Asymmetric geometries

Glancingincidence

Glancingexit

(a) (b)

10

110

210

310

410

510

610

-2.0 -1.5 -1.0 -0.5 0.0 0.5 1.0

Inte

nsi

ty(a

rb. u

nit

s)ω (deg)

Δω

Substrate peak

Layer peak

Fringes

03/05/2017 Bruker Confidential 12

Example: Fully strained SiGe epilayer

• Composition / strain determined from measured lattice misfit

• Misfit normal to surface from layer peak position

• ∆𝑑/𝑑 = −∆𝜔 cot θ𝐵

• Thickness from interference fringe period

• 𝑡 = λ/(2∆𝜔𝑓 cos θ𝐵)

• No dependence on uncertain materials parametersSymmetric 004 reflection from 22.5 nm

epitaxial film of Si1-xGex with x = 49% on a Si(001) substrate

MeasurementSimulation

03/05/2017 Bruker Confidential 13

More complex SiGe/Si examples

• More complex stacks give rise to more complex interference effects

• Measured data can be automatically fit to dynamical X-ray diffraction theory by refining the parameters of a structural model

• Obtain individual layer thickness and composition for fully strained structures

Periodic superlattice structure: [8.2nm Si0.9Ge0.1/ 22.4nm Si]×5 on a Si(001) substrate

NIST SRM2000 standard:23.7nm Si/ 48.2nm Si0.84Ge0.16 on Si(001)

03/05/2017 Bruker Confidential 14

Lattice deformation in epitaxial thin-films

• If the lattice mismatch to the substrate and/or thickness is small, then an epilayer can be strained so that the in-plane lattice parameter is equal to that of the substrate (fully strained)

• Tetragonal distortion of the unit cell

• For large mismatch (high composition) or thickness, it may become energetically favorable to relax

• Creation of dislocations and/or roughening

• … more on this later

Misfit dislocations

03/05/2017 Bruker Confidential 15

Comparison of HRXRD data from strained and relaxed SiGe epilayers

• Degradation of device performance and yield loss

• Relaxed material has about 50% less strain than a pseudomorphic layer

• Relaxed material will contain dislocations at the interface and in the layer - increased leakage

• HRXRD provides a unique, automated solution for strain metrology and assessment of lattice defectivity

010

110

210

310

410

510

-3000 -2500 -2000 -1500 -1000 -500 0 500 1000

Inte

nsi

ty (

cps)

Omega (sec)

Fully strained layer

t = 492 Å, x = 19.6%

Interference fringes

010

110

210

310

410

510

-7000 -6000 -5000 -4000 -3000 -2000 -1000 0 1000

Inte

nsi

ty (

cps)

OMEGA-2THETA (arcsec)

Partially relaxed layer

t ~ 350 Å (XRR), x > 50%

No interference fringes

“Triangular” layer peak

No interference fringes“Triangular” layer peak

03/05/2017 Bruker Confidential 16

Pseudomorphic SiGe layer

• Fully automated alignment, measurement and analysis of relaxation was developed for monitoring SiGe epi for 65nm / 90nm process

• Needs 2 measurements, no information about defectivity

• State of the art analysis in 2000s

210

310

410

510

-2000 -1500 -1000 -500 0

Inte

nsity

(cps

)

OM EGA-2TH ETA (arcsec)

0

100

200

300

400

500

600

700

-10 0 10 20 30 40 50 60 70 80 90 100 110

Inten

sity

(cps

)

RE L A X A T IO N %

(004) scan relaxation scan

0

250

500

750

1000

1250

1500

1750

2000

0 25 50 75 100 125

Inte

nsity

(cps

)

(arcsec)

210

310

410

510

-1500 -1250 -1000 -750 -500 -250 0 250

Inte

nsity

(cps

)

OM EGA-2TH ETA (arcsec)

Relaxation = 100%

Composition = 20%

Relaxation = 0%

Composition = 12%

03/05/2017 Bruker Confidential 17

Fast reciprocal space mapping - FastRSMs

• Linear (1D) detector replaces analyzer crystal / slits and point (0D) detector and allows routine RSMs to be measured in the fab

• Simultaneously intensity acquisition over a large range of 2θ angles

• x10-100 faster than conventional approach (minutes not hours)

• Provides more information than available by single HRXRD curves

• Automated RSM analysis for epi. process development and control of thin-films and patterned nanostructures

X-ray tube

Conditioningcrystal

Slits

Sample

Mirror Linear detector

03/05/2017 Bruker Confidential 18

RSMs from fully strained epitaxial thin-films

• Layer peak position gives the lattice parameters

Τ∆𝑎 𝑎 = Τ∆𝐿 𝐿

Τ∆𝑎|| 𝑎 = Τ∆𝐻 𝐻

• Composition and relaxation can be obtained

• Tilt of bonded layers also available

• Peak in the asymmetric RSM is located at H = 1 indicating the layer is fully strained (𝑎𝑆𝑖𝐺𝑒,|| = 𝑎𝑆𝑖)

• Thickness fringes are visible in the L direction

𝑡 ∝ 1/∆𝐿𝑓𝑟𝑖𝑛𝑔𝑒𝑠

004 113ge

Si

SiGe

37.6 nm Si0.81Ge0.19 on Si(001)

03/05/2017 Bruker Confidential 19

RSMs from relaxed epitaxial thin-films

• SiGe peak is shifted away from H=2 in the asymmetric 224ge map indicating relaxation (𝑎𝑆𝑖𝐺𝑒,|| > 𝑎𝑆𝑖)

• Peak is broadened due to dislocations, 𝑤(𝐻)/𝐻 ∝ 1/√𝜌

03/05/2017

004 224ge Substratepeak

Layerpeak

r = 1

r = 0

0 < r < 1

H

LH

~35 nm Si0.33Ge0.67 (R = 70%) on Si(001)

Si

SiGe

Bruker Confidential 20

RSMs from strained thin-films on strain relaxed buffers (SRBs)

• Ge peak is shifted away from H < 2 in the asymmetric 224ge map indicating relaxation (𝑎𝐺𝑒,|| > 𝑎𝑆𝑖)

• GeSn peak is not shifted in H wrt to Ge peak (𝑎𝐺𝑒𝑆𝑛,|| = 𝑎𝑆𝑖)

• Composition and relaxation of each layer can be obtained

004 224geGe0.89Sn0.11 / Ge on Si(001)

Si

Ge

GeSn

03/05/2017 Bruker Confidential 21

Patterned epitaxial nanostructures

• In blanket epitaxy you have simple biaxial stress

• Blanket pads are less relevant and / or no longer available

• In epitaxial nanostructures you have

• Micro-loading effects in selective growth

• Stress-state is far more complex, i.e. elastic relaxation of the epi and distortion of the substrate lattice

03/05/2017 Bruker Confidential 22

RSM from Si fins made using spacer double patterning (SDP) lithography

• Fins act as a diffraction grating, 𝑃 ∝ 1/∆𝐻𝐺𝑇𝑅 = 42.2 ± 0.5 nm

• X-pattern is characteristic of trapezoidal features, 𝛼 = 9 ± 1°

• Evidence of significant pitch walking error from SDP lithography

• Strong half-order GTR peaks (corresponds to 2 x pitch), ∆𝑃 = 5 ± 0.5 nm

x1

x2

x3

Si fins SiGe fins

Thin-film Planar

(a) (b)

(c) (d)

0 +1 +2-2 -1-1.5 -0.5 +0.5 +1.5

GR order+2.5-2.5

(a)

(b)

03/05/2017 Bruker Confidential 23

x1

x2

x3

Si fins SiGe fins

Thin-film Planar

(a) (b)

(c) (d)

x1

x2

x3

Si fins SiGe fins

Thin-film Planar

(a) (b)

(c) (d)

Si

SiGe

RSMs from epitaxial SiGe finsAsymmetric 113ge reflection

• SiGe in a uniaxial stress state, cf. biaxial stress state for thin-films

• Elastic relaxation perpendicular to the line direction

• Other theory remains the same as planar films

• Composition and thickness determined from fitting

• x = 25%, t = 39.4 nm

03/05/2017 Bruker Confidential 24

Defectivity from RSMs

• Sharp peaks are from high quality material

• Broad peak is due to defectivity

• Ratio of these can be used to monitor defectivity

03/05/2017 Bruker Confidential 25

Next Generation Epi: Nanowires

• Complex nanowire films (before etch) can be measured and analysed for

• Individual layer thickness and composition

• Pitch and pitch walking

03/05/2017 Bruker Confidential 26

Andreas Schultze et al

RSMs from III-Vs deposited in narrow (60 nm) trenches etched into thick SiO2 layer on Si

• Interest as a high mobility channel materials in sub-10 nm nodes

• GaAs and InGaAs peaks are very broad due to the high density of threading dislocations, 𝜌~1010cm-2 despite the ART structures

004 115Si

GaAs

InGaAs

03/05/2017 Bruker Confidential 27

What next for X-rays?

The Future

• Key improvements are being made in X-ray source and detector technology for fab suitable systems

• Brightness and power improvements increase on an on-going basis

• Detector sizes and efficiencies increase for different energy lines

• Software developments

• Analysis of strain and composition for 3D structures

• Analysis of pitch walking from more complex patterning schemes

• Automated defect analysis of epi films in 3D structures

• Is there more shape information available?

03/05/2017 Bruker Confidential 29

X-ray based CD Metrology (XCD)

• HRXRD will give shape and size information but has major influences due to strain effects

• Small angle scattering of X-rays eliminates these issues giving the ability for shape profiling using X-rays

• Key requirements for production system are

• High brightness source for transmission geometry in small (50µm) area

• High efficiency detector for 1D data collection

• X-ray source compatible with small tool footprint and high availability

• Analysis using modelling rather than library approach

• Data being collected on 3D NAND and dense metal arrays for logic samples

03/05/2017 Bruker Confidential 30

Summary

• HRXRD has been used for many years for epi characterisation

• Started with simple AlGaAs / GaAs type films

• Developed through InP and into Si substrates

• Still demand for HRXRD in GaN LED and power

• Logic films have become more complex, with added complexity of 3D structures to measure

• HRXRD particularly suited as can measure films without being sensitive to the 3D nature

• Can add additional information about 2D and 3D nature using asymmetric RSMs

• Automated analysis and reporting is now possible

• Thanks to collaborators / colleagues

• Bruker: Matthew Wormington, Kevin Matney, John Wall and the rest of the apps teams

• Andreas Schultze (imec)

03/05/2017 Bruker Confidential 31

© Copyright Bruker Corporation. All rights reserved.

www.bruker.com