79
SUPERCRITICAL CARBON DIOXIDE TREATMENT OF PHOTORESISTS AND PLASMA-DAMAGED NANOPOROUS LOW-k FILMS by GANGADHARAN SIVARAMAN, B.E. A THESIS IN ELECTRICAL ENGINEERING Submitted to the Graduate Faculty of Texas Tech University in Partial Fulfillment of the Requirements for the Degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING December, 2003

SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

  • Upload
    others

  • View
    8

  • Download
    0

Embed Size (px)

Citation preview

Page 1: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

SUPERCRITICAL CARBON DIOXIDE TREATMENT OF

PHOTORESISTS AND PLASMA-DAMAGED

NANOPOROUS LOW-k FILMS

by

GANGADHARAN SIVARAMAN BE

A THESIS

IN

ELECTRICAL ENGINEERING

Submitted to the Graduate Faculty of Texas Tech University in

Partial Fulfillment of the Requirements for

the Degree of

MASTER OF SCIENCE

IN

ELECTRICAL ENGINEERING

December 2003

ACKNOWLEDGEMENTS

Firsdy I thank my advisors Dr Richard Gale and Dr Shubhra

Gangopadhyay for all the help guidance and support they have provided not only

for this work but throughout my term as a graduate student at Texas Tech

University

I would like to thank Bashar I Lahlouh a PhD Student from the Physics

Department TTU and Jorge Lubguban Post-Doctoral research associate Jack

Maddox lab for helping me with learning the different things required to

accomplish this work I will be failing in my duties if I fail to thank Dr Laurie

Williams and Dr Jerry King of the Los Alamos National Lab (LANL) for their

help during the course this work

I acknowledge the encouragement that I have received from all my

friends They have been really supportive during difficult times Finally I thank

my parents for providing me with a good education The support encouragement

and love I have received from my family has been a real motivation for me and

has always inspired me to do my best

11

IV

Table of contents

ACKNOWLEDGEMENTS

LIST OF TABLES

LIST OF FIGURES v

CHAPTER

I INTRODUCTION 1

II PHOTORESISTS H

III SUPERCRITICAL FLUIDS 19

rV INSTRUMENTATION 27

41 Fourier fransform infrared spectroscopy 27

42 Prism coupler 38

V EXPERIMENTS AND RESULTS 41

51 Photoresist removal using SCCO2 43

52 Treatment of low-k dielectric materials with SCCO2 52

VI CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK 65

61 Future Work 66

REFERENCES 67

APPENDIX 69

A - PREPARATION OF PIRANHA SOLUTION 69

B - CALCULATION OF AMOUNT OF CO-SOLVENT 70

m

LIST OF TABLES

31 Physical data for gaseous supercritical fluid and liquid states 23

41 Electromagnetic spectrum 29

51 Results of SCCO2 Acetone treatment 47

52 Results of SCCO2 PCO3 freatanent 48

53 Experimental conditions for correlating PR removal with cross-linking 50

54 Results for correlating cross-linking and photo resist removal 51

55 TEL samples used in these experiments 53

56 Contact angle measurements of HMDS treated TEL films 59

57 Contact angle measurements of TCMS freated TEL films 62

IV

LIST OF FIGURES

11 A coupling model for 3 adjacent interconnects 4

12 Schematic illustration of rinse liquid stored between resist patterns 7

21 Photolysis and Subsequent reactions of diazo naptho quinone upon 13 UV exposure

22 Three level dissolution scheme for a commercial DNQnovolak 14 resist

23 Contrast curve of an ideal positive resist 15

31 The phase diagram of a single substance 21

32 Pressure-temperature-density surface for CO2 23

33 Diffusivity of supercritical CO2 24

41 Light as an electromagnetic wave 30

42 Comparison between Absorption and the Transmission spectra 31

43 Illusfration of Beers law 32

44 Fundamental molecular vibrations possible for a molecule 34

45 Michelson interferometer 36

46 Schematic of a prism coupler 39

51 Schematic of supercritical carbon dioxide system 41

52 CN - absorption band of exposed and unexposed photoresist 43

53 Effect of heat in cross-linking DNQ photoresist 44

54 C=0 - absorption band DQN photoresist 45

55 Experimental conditions for removing PR using acetone as co- 46 solvent

56 SEM images depicting photo resist removal from SiTi surface 49

57 Photographs showing removal of cross-linked and uncross-linked 51 PR

58 Treatment of plasma damaged MSSQ with HMDS 52

59 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 54 Treated old way

510 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS 55 SCCO2 HMDS treatment

511 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 56 CO2 HMDS treatment

512 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS - 57 HMDS vapor freatment

513 CH-absorption band of HMDS feated film 1 58

514 2 step pulsing with TCMS 60

515 1 step pulsing with TCMS 61

516 CH Absorption band of TCMS freated Film 1 62

517 SEM micrographs for filml freated with TCMS 63

VI

CHAPTER I

INTRODUCTION

In semiconductor wafer manufacturing the removal of photoresist and

photoresist residue was until recently considered as a commodity process [1]

The removal of photoresists is basically a two-step process consisting of an ashing

step in which the bulk of the photoresist is removed and a wet cleaning step

during which the hard to remove highly cross linked photoresist is removed The

semiconductor industry is facing a number of challenges in this second step the

wet cleaning step Current solvents used particularly sulfuric acid and hydrogen

peroxide have proven to be costiy in terms of money safety to workers and

envfronmental contamination

The semiconductor industry should be able to meet the demand of all

modem luxuries we expect such as telecommurucations and computers To do this

they are required to use the best technology that is available [2] This technology

involves using a very high volume of chemicals and water because the fabrication

of wafers is a series of chemical steps and process Up to 20 of all process steps

are wafer surface cleaning steps and require consumption of huge quantities of

chemicals and ulfrapure water In 1992 it was estimated that a 55-gallon drum of

organic solvent from purchase to disposal cost about $5000 Since the solvents

have only limited lifetime and needs to be changed frequently these costs can add

up quickly In addition to the use of these chemicals there is a need to rinse

wafers with deionized water The industry also needs to freat the contaminated

water and dispose off the hazardous wastes With this we can see the total cost

1

rising rapidly The cost of operation of a deionized water system was estimated to

be $130000 per year in 1997 In comparison Smith and Huse (1998) showed that

the cash flow needed to sustain a supercritical carbon dioxide system performing

the same function after seven years of operation would be less than half of that of

system using deionized water [2]

Another major drawback of the wet stripping method is that these

methods of photoresist removal introduce hazardous chemicals into the

atmosphere causing health issues with the workers working with these chemicals

in the semiconductor industry Although most of the industries have implemented

stringent engineering and administrative controls to reduce the social costs

associated with these chemicals employee exposure to these chemicals continues

to be a great problem This has driven the industry to seek for alternative methods

of photoresist removal [2]

Low-K dielectric materials and Copper interconnects are needed to

address problems with power consumption signal propagation delays and

crosstalk between interconnects The semiconductor industry is now trying to

introduce these materials as the size of the ICs continues to reduce The use of

low-K dielectrics and Copper interconnect technology is inevitable and Copper

and low-K technology challenge the conventional stripping and cleaning

technologies There are four key reasons for this challenge [1]

1 The move from contact (oxide) Via (Oxide) and line (metal)

etch technology to single or dual damascene (oxide only) etch

technology

2 The change in metal from Aluminum (Al) to Copper (Cu)

3 The infroduction of low-K materials

4 The reduction in critical dimensions with the OlSfim

technology being in production right now and the 013 jam

technology will be completely in production by fourth quarter

2004 The 01-micron era could span 2005 to 2007 and 007-

micron technology may take the industry out to 2010 [3]

With the transition to porous low-K dielectrics combined with the copper

metallization the preferred approach would certainly be dual damascene

technology In this method only a few processing steps are needed and hence the

manufacturing cost would be lowered However the dual damascene method

would requfre an additional cleaning step when compared to conventional reactive

ion etching technology since the wafers need to be cleaned (ash and wet clean)

after the via etch (in case of the via first approach) and once again cleaned after

the trench etch

When changing the metallization scheme from Aluminum to Copper

special attention needs to be given to possible copper contamination of the wafer

backside particularly in case of wet benches special attention needs to be paid so

that the copper is not carried to the back side of the wafer This will probably

requfre a change in the way the cleaning is done and may also require a change in

cleaning chemistry The biggest cleaning issue with copper is preventing it from

getting into the front-end processing where it can desfroy the integrity of the gate

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 2: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

ACKNOWLEDGEMENTS

Firsdy I thank my advisors Dr Richard Gale and Dr Shubhra

Gangopadhyay for all the help guidance and support they have provided not only

for this work but throughout my term as a graduate student at Texas Tech

University

I would like to thank Bashar I Lahlouh a PhD Student from the Physics

Department TTU and Jorge Lubguban Post-Doctoral research associate Jack

Maddox lab for helping me with learning the different things required to

accomplish this work I will be failing in my duties if I fail to thank Dr Laurie

Williams and Dr Jerry King of the Los Alamos National Lab (LANL) for their

help during the course this work

I acknowledge the encouragement that I have received from all my

friends They have been really supportive during difficult times Finally I thank

my parents for providing me with a good education The support encouragement

and love I have received from my family has been a real motivation for me and

has always inspired me to do my best

11

IV

Table of contents

ACKNOWLEDGEMENTS

LIST OF TABLES

LIST OF FIGURES v

CHAPTER

I INTRODUCTION 1

II PHOTORESISTS H

III SUPERCRITICAL FLUIDS 19

rV INSTRUMENTATION 27

41 Fourier fransform infrared spectroscopy 27

42 Prism coupler 38

V EXPERIMENTS AND RESULTS 41

51 Photoresist removal using SCCO2 43

52 Treatment of low-k dielectric materials with SCCO2 52

VI CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK 65

61 Future Work 66

REFERENCES 67

APPENDIX 69

A - PREPARATION OF PIRANHA SOLUTION 69

B - CALCULATION OF AMOUNT OF CO-SOLVENT 70

m

LIST OF TABLES

31 Physical data for gaseous supercritical fluid and liquid states 23

41 Electromagnetic spectrum 29

51 Results of SCCO2 Acetone treatment 47

52 Results of SCCO2 PCO3 freatanent 48

53 Experimental conditions for correlating PR removal with cross-linking 50

54 Results for correlating cross-linking and photo resist removal 51

55 TEL samples used in these experiments 53

56 Contact angle measurements of HMDS treated TEL films 59

57 Contact angle measurements of TCMS freated TEL films 62

IV

LIST OF FIGURES

11 A coupling model for 3 adjacent interconnects 4

12 Schematic illustration of rinse liquid stored between resist patterns 7

21 Photolysis and Subsequent reactions of diazo naptho quinone upon 13 UV exposure

22 Three level dissolution scheme for a commercial DNQnovolak 14 resist

23 Contrast curve of an ideal positive resist 15

31 The phase diagram of a single substance 21

32 Pressure-temperature-density surface for CO2 23

33 Diffusivity of supercritical CO2 24

41 Light as an electromagnetic wave 30

42 Comparison between Absorption and the Transmission spectra 31

43 Illusfration of Beers law 32

44 Fundamental molecular vibrations possible for a molecule 34

45 Michelson interferometer 36

46 Schematic of a prism coupler 39

51 Schematic of supercritical carbon dioxide system 41

52 CN - absorption band of exposed and unexposed photoresist 43

53 Effect of heat in cross-linking DNQ photoresist 44

54 C=0 - absorption band DQN photoresist 45

55 Experimental conditions for removing PR using acetone as co- 46 solvent

56 SEM images depicting photo resist removal from SiTi surface 49

57 Photographs showing removal of cross-linked and uncross-linked 51 PR

58 Treatment of plasma damaged MSSQ with HMDS 52

59 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 54 Treated old way

510 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS 55 SCCO2 HMDS treatment

511 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 56 CO2 HMDS treatment

512 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS - 57 HMDS vapor freatment

513 CH-absorption band of HMDS feated film 1 58

514 2 step pulsing with TCMS 60

515 1 step pulsing with TCMS 61

516 CH Absorption band of TCMS freated Film 1 62

517 SEM micrographs for filml freated with TCMS 63

VI

CHAPTER I

INTRODUCTION

In semiconductor wafer manufacturing the removal of photoresist and

photoresist residue was until recently considered as a commodity process [1]

The removal of photoresists is basically a two-step process consisting of an ashing

step in which the bulk of the photoresist is removed and a wet cleaning step

during which the hard to remove highly cross linked photoresist is removed The

semiconductor industry is facing a number of challenges in this second step the

wet cleaning step Current solvents used particularly sulfuric acid and hydrogen

peroxide have proven to be costiy in terms of money safety to workers and

envfronmental contamination

The semiconductor industry should be able to meet the demand of all

modem luxuries we expect such as telecommurucations and computers To do this

they are required to use the best technology that is available [2] This technology

involves using a very high volume of chemicals and water because the fabrication

of wafers is a series of chemical steps and process Up to 20 of all process steps

are wafer surface cleaning steps and require consumption of huge quantities of

chemicals and ulfrapure water In 1992 it was estimated that a 55-gallon drum of

organic solvent from purchase to disposal cost about $5000 Since the solvents

have only limited lifetime and needs to be changed frequently these costs can add

up quickly In addition to the use of these chemicals there is a need to rinse

wafers with deionized water The industry also needs to freat the contaminated

water and dispose off the hazardous wastes With this we can see the total cost

1

rising rapidly The cost of operation of a deionized water system was estimated to

be $130000 per year in 1997 In comparison Smith and Huse (1998) showed that

the cash flow needed to sustain a supercritical carbon dioxide system performing

the same function after seven years of operation would be less than half of that of

system using deionized water [2]

Another major drawback of the wet stripping method is that these

methods of photoresist removal introduce hazardous chemicals into the

atmosphere causing health issues with the workers working with these chemicals

in the semiconductor industry Although most of the industries have implemented

stringent engineering and administrative controls to reduce the social costs

associated with these chemicals employee exposure to these chemicals continues

to be a great problem This has driven the industry to seek for alternative methods

of photoresist removal [2]

Low-K dielectric materials and Copper interconnects are needed to

address problems with power consumption signal propagation delays and

crosstalk between interconnects The semiconductor industry is now trying to

introduce these materials as the size of the ICs continues to reduce The use of

low-K dielectrics and Copper interconnect technology is inevitable and Copper

and low-K technology challenge the conventional stripping and cleaning

technologies There are four key reasons for this challenge [1]

1 The move from contact (oxide) Via (Oxide) and line (metal)

etch technology to single or dual damascene (oxide only) etch

technology

2 The change in metal from Aluminum (Al) to Copper (Cu)

3 The infroduction of low-K materials

4 The reduction in critical dimensions with the OlSfim

technology being in production right now and the 013 jam

technology will be completely in production by fourth quarter

2004 The 01-micron era could span 2005 to 2007 and 007-

micron technology may take the industry out to 2010 [3]

With the transition to porous low-K dielectrics combined with the copper

metallization the preferred approach would certainly be dual damascene

technology In this method only a few processing steps are needed and hence the

manufacturing cost would be lowered However the dual damascene method

would requfre an additional cleaning step when compared to conventional reactive

ion etching technology since the wafers need to be cleaned (ash and wet clean)

after the via etch (in case of the via first approach) and once again cleaned after

the trench etch

When changing the metallization scheme from Aluminum to Copper

special attention needs to be given to possible copper contamination of the wafer

backside particularly in case of wet benches special attention needs to be paid so

that the copper is not carried to the back side of the wafer This will probably

requfre a change in the way the cleaning is done and may also require a change in

cleaning chemistry The biggest cleaning issue with copper is preventing it from

getting into the front-end processing where it can desfroy the integrity of the gate

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 3: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

11

IV

Table of contents

ACKNOWLEDGEMENTS

LIST OF TABLES

LIST OF FIGURES v

CHAPTER

I INTRODUCTION 1

II PHOTORESISTS H

III SUPERCRITICAL FLUIDS 19

rV INSTRUMENTATION 27

41 Fourier fransform infrared spectroscopy 27

42 Prism coupler 38

V EXPERIMENTS AND RESULTS 41

51 Photoresist removal using SCCO2 43

52 Treatment of low-k dielectric materials with SCCO2 52

VI CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK 65

61 Future Work 66

REFERENCES 67

APPENDIX 69

A - PREPARATION OF PIRANHA SOLUTION 69

B - CALCULATION OF AMOUNT OF CO-SOLVENT 70

m

LIST OF TABLES

31 Physical data for gaseous supercritical fluid and liquid states 23

41 Electromagnetic spectrum 29

51 Results of SCCO2 Acetone treatment 47

52 Results of SCCO2 PCO3 freatanent 48

53 Experimental conditions for correlating PR removal with cross-linking 50

54 Results for correlating cross-linking and photo resist removal 51

55 TEL samples used in these experiments 53

56 Contact angle measurements of HMDS treated TEL films 59

57 Contact angle measurements of TCMS freated TEL films 62

IV

LIST OF FIGURES

11 A coupling model for 3 adjacent interconnects 4

12 Schematic illustration of rinse liquid stored between resist patterns 7

21 Photolysis and Subsequent reactions of diazo naptho quinone upon 13 UV exposure

22 Three level dissolution scheme for a commercial DNQnovolak 14 resist

23 Contrast curve of an ideal positive resist 15

31 The phase diagram of a single substance 21

32 Pressure-temperature-density surface for CO2 23

33 Diffusivity of supercritical CO2 24

41 Light as an electromagnetic wave 30

42 Comparison between Absorption and the Transmission spectra 31

43 Illusfration of Beers law 32

44 Fundamental molecular vibrations possible for a molecule 34

45 Michelson interferometer 36

46 Schematic of a prism coupler 39

51 Schematic of supercritical carbon dioxide system 41

52 CN - absorption band of exposed and unexposed photoresist 43

53 Effect of heat in cross-linking DNQ photoresist 44

54 C=0 - absorption band DQN photoresist 45

55 Experimental conditions for removing PR using acetone as co- 46 solvent

56 SEM images depicting photo resist removal from SiTi surface 49

57 Photographs showing removal of cross-linked and uncross-linked 51 PR

58 Treatment of plasma damaged MSSQ with HMDS 52

59 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 54 Treated old way

510 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS 55 SCCO2 HMDS treatment

511 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 56 CO2 HMDS treatment

512 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS - 57 HMDS vapor freatment

513 CH-absorption band of HMDS feated film 1 58

514 2 step pulsing with TCMS 60

515 1 step pulsing with TCMS 61

516 CH Absorption band of TCMS freated Film 1 62

517 SEM micrographs for filml freated with TCMS 63

VI

CHAPTER I

INTRODUCTION

In semiconductor wafer manufacturing the removal of photoresist and

photoresist residue was until recently considered as a commodity process [1]

The removal of photoresists is basically a two-step process consisting of an ashing

step in which the bulk of the photoresist is removed and a wet cleaning step

during which the hard to remove highly cross linked photoresist is removed The

semiconductor industry is facing a number of challenges in this second step the

wet cleaning step Current solvents used particularly sulfuric acid and hydrogen

peroxide have proven to be costiy in terms of money safety to workers and

envfronmental contamination

The semiconductor industry should be able to meet the demand of all

modem luxuries we expect such as telecommurucations and computers To do this

they are required to use the best technology that is available [2] This technology

involves using a very high volume of chemicals and water because the fabrication

of wafers is a series of chemical steps and process Up to 20 of all process steps

are wafer surface cleaning steps and require consumption of huge quantities of

chemicals and ulfrapure water In 1992 it was estimated that a 55-gallon drum of

organic solvent from purchase to disposal cost about $5000 Since the solvents

have only limited lifetime and needs to be changed frequently these costs can add

up quickly In addition to the use of these chemicals there is a need to rinse

wafers with deionized water The industry also needs to freat the contaminated

water and dispose off the hazardous wastes With this we can see the total cost

1

rising rapidly The cost of operation of a deionized water system was estimated to

be $130000 per year in 1997 In comparison Smith and Huse (1998) showed that

the cash flow needed to sustain a supercritical carbon dioxide system performing

the same function after seven years of operation would be less than half of that of

system using deionized water [2]

Another major drawback of the wet stripping method is that these

methods of photoresist removal introduce hazardous chemicals into the

atmosphere causing health issues with the workers working with these chemicals

in the semiconductor industry Although most of the industries have implemented

stringent engineering and administrative controls to reduce the social costs

associated with these chemicals employee exposure to these chemicals continues

to be a great problem This has driven the industry to seek for alternative methods

of photoresist removal [2]

Low-K dielectric materials and Copper interconnects are needed to

address problems with power consumption signal propagation delays and

crosstalk between interconnects The semiconductor industry is now trying to

introduce these materials as the size of the ICs continues to reduce The use of

low-K dielectrics and Copper interconnect technology is inevitable and Copper

and low-K technology challenge the conventional stripping and cleaning

technologies There are four key reasons for this challenge [1]

1 The move from contact (oxide) Via (Oxide) and line (metal)

etch technology to single or dual damascene (oxide only) etch

technology

2 The change in metal from Aluminum (Al) to Copper (Cu)

3 The infroduction of low-K materials

4 The reduction in critical dimensions with the OlSfim

technology being in production right now and the 013 jam

technology will be completely in production by fourth quarter

2004 The 01-micron era could span 2005 to 2007 and 007-

micron technology may take the industry out to 2010 [3]

With the transition to porous low-K dielectrics combined with the copper

metallization the preferred approach would certainly be dual damascene

technology In this method only a few processing steps are needed and hence the

manufacturing cost would be lowered However the dual damascene method

would requfre an additional cleaning step when compared to conventional reactive

ion etching technology since the wafers need to be cleaned (ash and wet clean)

after the via etch (in case of the via first approach) and once again cleaned after

the trench etch

When changing the metallization scheme from Aluminum to Copper

special attention needs to be given to possible copper contamination of the wafer

backside particularly in case of wet benches special attention needs to be paid so

that the copper is not carried to the back side of the wafer This will probably

requfre a change in the way the cleaning is done and may also require a change in

cleaning chemistry The biggest cleaning issue with copper is preventing it from

getting into the front-end processing where it can desfroy the integrity of the gate

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 4: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

LIST OF TABLES

31 Physical data for gaseous supercritical fluid and liquid states 23

41 Electromagnetic spectrum 29

51 Results of SCCO2 Acetone treatment 47

52 Results of SCCO2 PCO3 freatanent 48

53 Experimental conditions for correlating PR removal with cross-linking 50

54 Results for correlating cross-linking and photo resist removal 51

55 TEL samples used in these experiments 53

56 Contact angle measurements of HMDS treated TEL films 59

57 Contact angle measurements of TCMS freated TEL films 62

IV

LIST OF FIGURES

11 A coupling model for 3 adjacent interconnects 4

12 Schematic illustration of rinse liquid stored between resist patterns 7

21 Photolysis and Subsequent reactions of diazo naptho quinone upon 13 UV exposure

22 Three level dissolution scheme for a commercial DNQnovolak 14 resist

23 Contrast curve of an ideal positive resist 15

31 The phase diagram of a single substance 21

32 Pressure-temperature-density surface for CO2 23

33 Diffusivity of supercritical CO2 24

41 Light as an electromagnetic wave 30

42 Comparison between Absorption and the Transmission spectra 31

43 Illusfration of Beers law 32

44 Fundamental molecular vibrations possible for a molecule 34

45 Michelson interferometer 36

46 Schematic of a prism coupler 39

51 Schematic of supercritical carbon dioxide system 41

52 CN - absorption band of exposed and unexposed photoresist 43

53 Effect of heat in cross-linking DNQ photoresist 44

54 C=0 - absorption band DQN photoresist 45

55 Experimental conditions for removing PR using acetone as co- 46 solvent

56 SEM images depicting photo resist removal from SiTi surface 49

57 Photographs showing removal of cross-linked and uncross-linked 51 PR

58 Treatment of plasma damaged MSSQ with HMDS 52

59 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 54 Treated old way

510 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS 55 SCCO2 HMDS treatment

511 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 56 CO2 HMDS treatment

512 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS - 57 HMDS vapor freatment

513 CH-absorption band of HMDS feated film 1 58

514 2 step pulsing with TCMS 60

515 1 step pulsing with TCMS 61

516 CH Absorption band of TCMS freated Film 1 62

517 SEM micrographs for filml freated with TCMS 63

VI

CHAPTER I

INTRODUCTION

In semiconductor wafer manufacturing the removal of photoresist and

photoresist residue was until recently considered as a commodity process [1]

The removal of photoresists is basically a two-step process consisting of an ashing

step in which the bulk of the photoresist is removed and a wet cleaning step

during which the hard to remove highly cross linked photoresist is removed The

semiconductor industry is facing a number of challenges in this second step the

wet cleaning step Current solvents used particularly sulfuric acid and hydrogen

peroxide have proven to be costiy in terms of money safety to workers and

envfronmental contamination

The semiconductor industry should be able to meet the demand of all

modem luxuries we expect such as telecommurucations and computers To do this

they are required to use the best technology that is available [2] This technology

involves using a very high volume of chemicals and water because the fabrication

of wafers is a series of chemical steps and process Up to 20 of all process steps

are wafer surface cleaning steps and require consumption of huge quantities of

chemicals and ulfrapure water In 1992 it was estimated that a 55-gallon drum of

organic solvent from purchase to disposal cost about $5000 Since the solvents

have only limited lifetime and needs to be changed frequently these costs can add

up quickly In addition to the use of these chemicals there is a need to rinse

wafers with deionized water The industry also needs to freat the contaminated

water and dispose off the hazardous wastes With this we can see the total cost

1

rising rapidly The cost of operation of a deionized water system was estimated to

be $130000 per year in 1997 In comparison Smith and Huse (1998) showed that

the cash flow needed to sustain a supercritical carbon dioxide system performing

the same function after seven years of operation would be less than half of that of

system using deionized water [2]

Another major drawback of the wet stripping method is that these

methods of photoresist removal introduce hazardous chemicals into the

atmosphere causing health issues with the workers working with these chemicals

in the semiconductor industry Although most of the industries have implemented

stringent engineering and administrative controls to reduce the social costs

associated with these chemicals employee exposure to these chemicals continues

to be a great problem This has driven the industry to seek for alternative methods

of photoresist removal [2]

Low-K dielectric materials and Copper interconnects are needed to

address problems with power consumption signal propagation delays and

crosstalk between interconnects The semiconductor industry is now trying to

introduce these materials as the size of the ICs continues to reduce The use of

low-K dielectrics and Copper interconnect technology is inevitable and Copper

and low-K technology challenge the conventional stripping and cleaning

technologies There are four key reasons for this challenge [1]

1 The move from contact (oxide) Via (Oxide) and line (metal)

etch technology to single or dual damascene (oxide only) etch

technology

2 The change in metal from Aluminum (Al) to Copper (Cu)

3 The infroduction of low-K materials

4 The reduction in critical dimensions with the OlSfim

technology being in production right now and the 013 jam

technology will be completely in production by fourth quarter

2004 The 01-micron era could span 2005 to 2007 and 007-

micron technology may take the industry out to 2010 [3]

With the transition to porous low-K dielectrics combined with the copper

metallization the preferred approach would certainly be dual damascene

technology In this method only a few processing steps are needed and hence the

manufacturing cost would be lowered However the dual damascene method

would requfre an additional cleaning step when compared to conventional reactive

ion etching technology since the wafers need to be cleaned (ash and wet clean)

after the via etch (in case of the via first approach) and once again cleaned after

the trench etch

When changing the metallization scheme from Aluminum to Copper

special attention needs to be given to possible copper contamination of the wafer

backside particularly in case of wet benches special attention needs to be paid so

that the copper is not carried to the back side of the wafer This will probably

requfre a change in the way the cleaning is done and may also require a change in

cleaning chemistry The biggest cleaning issue with copper is preventing it from

getting into the front-end processing where it can desfroy the integrity of the gate

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 5: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

LIST OF FIGURES

11 A coupling model for 3 adjacent interconnects 4

12 Schematic illustration of rinse liquid stored between resist patterns 7

21 Photolysis and Subsequent reactions of diazo naptho quinone upon 13 UV exposure

22 Three level dissolution scheme for a commercial DNQnovolak 14 resist

23 Contrast curve of an ideal positive resist 15

31 The phase diagram of a single substance 21

32 Pressure-temperature-density surface for CO2 23

33 Diffusivity of supercritical CO2 24

41 Light as an electromagnetic wave 30

42 Comparison between Absorption and the Transmission spectra 31

43 Illusfration of Beers law 32

44 Fundamental molecular vibrations possible for a molecule 34

45 Michelson interferometer 36

46 Schematic of a prism coupler 39

51 Schematic of supercritical carbon dioxide system 41

52 CN - absorption band of exposed and unexposed photoresist 43

53 Effect of heat in cross-linking DNQ photoresist 44

54 C=0 - absorption band DQN photoresist 45

55 Experimental conditions for removing PR using acetone as co- 46 solvent

56 SEM images depicting photo resist removal from SiTi surface 49

57 Photographs showing removal of cross-linked and uncross-linked 51 PR

58 Treatment of plasma damaged MSSQ with HMDS 52

59 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 54 Treated old way

510 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS 55 SCCO2 HMDS treatment

511 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 56 CO2 HMDS treatment

512 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS - 57 HMDS vapor freatment

513 CH-absorption band of HMDS feated film 1 58

514 2 step pulsing with TCMS 60

515 1 step pulsing with TCMS 61

516 CH Absorption band of TCMS freated Film 1 62

517 SEM micrographs for filml freated with TCMS 63

VI

CHAPTER I

INTRODUCTION

In semiconductor wafer manufacturing the removal of photoresist and

photoresist residue was until recently considered as a commodity process [1]

The removal of photoresists is basically a two-step process consisting of an ashing

step in which the bulk of the photoresist is removed and a wet cleaning step

during which the hard to remove highly cross linked photoresist is removed The

semiconductor industry is facing a number of challenges in this second step the

wet cleaning step Current solvents used particularly sulfuric acid and hydrogen

peroxide have proven to be costiy in terms of money safety to workers and

envfronmental contamination

The semiconductor industry should be able to meet the demand of all

modem luxuries we expect such as telecommurucations and computers To do this

they are required to use the best technology that is available [2] This technology

involves using a very high volume of chemicals and water because the fabrication

of wafers is a series of chemical steps and process Up to 20 of all process steps

are wafer surface cleaning steps and require consumption of huge quantities of

chemicals and ulfrapure water In 1992 it was estimated that a 55-gallon drum of

organic solvent from purchase to disposal cost about $5000 Since the solvents

have only limited lifetime and needs to be changed frequently these costs can add

up quickly In addition to the use of these chemicals there is a need to rinse

wafers with deionized water The industry also needs to freat the contaminated

water and dispose off the hazardous wastes With this we can see the total cost

1

rising rapidly The cost of operation of a deionized water system was estimated to

be $130000 per year in 1997 In comparison Smith and Huse (1998) showed that

the cash flow needed to sustain a supercritical carbon dioxide system performing

the same function after seven years of operation would be less than half of that of

system using deionized water [2]

Another major drawback of the wet stripping method is that these

methods of photoresist removal introduce hazardous chemicals into the

atmosphere causing health issues with the workers working with these chemicals

in the semiconductor industry Although most of the industries have implemented

stringent engineering and administrative controls to reduce the social costs

associated with these chemicals employee exposure to these chemicals continues

to be a great problem This has driven the industry to seek for alternative methods

of photoresist removal [2]

Low-K dielectric materials and Copper interconnects are needed to

address problems with power consumption signal propagation delays and

crosstalk between interconnects The semiconductor industry is now trying to

introduce these materials as the size of the ICs continues to reduce The use of

low-K dielectrics and Copper interconnect technology is inevitable and Copper

and low-K technology challenge the conventional stripping and cleaning

technologies There are four key reasons for this challenge [1]

1 The move from contact (oxide) Via (Oxide) and line (metal)

etch technology to single or dual damascene (oxide only) etch

technology

2 The change in metal from Aluminum (Al) to Copper (Cu)

3 The infroduction of low-K materials

4 The reduction in critical dimensions with the OlSfim

technology being in production right now and the 013 jam

technology will be completely in production by fourth quarter

2004 The 01-micron era could span 2005 to 2007 and 007-

micron technology may take the industry out to 2010 [3]

With the transition to porous low-K dielectrics combined with the copper

metallization the preferred approach would certainly be dual damascene

technology In this method only a few processing steps are needed and hence the

manufacturing cost would be lowered However the dual damascene method

would requfre an additional cleaning step when compared to conventional reactive

ion etching technology since the wafers need to be cleaned (ash and wet clean)

after the via etch (in case of the via first approach) and once again cleaned after

the trench etch

When changing the metallization scheme from Aluminum to Copper

special attention needs to be given to possible copper contamination of the wafer

backside particularly in case of wet benches special attention needs to be paid so

that the copper is not carried to the back side of the wafer This will probably

requfre a change in the way the cleaning is done and may also require a change in

cleaning chemistry The biggest cleaning issue with copper is preventing it from

getting into the front-end processing where it can desfroy the integrity of the gate

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 6: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

52 CN - absorption band of exposed and unexposed photoresist 43

53 Effect of heat in cross-linking DNQ photoresist 44

54 C=0 - absorption band DQN photoresist 45

55 Experimental conditions for removing PR using acetone as co- 46 solvent

56 SEM images depicting photo resist removal from SiTi surface 49

57 Photographs showing removal of cross-linked and uncross-linked 51 PR

58 Treatment of plasma damaged MSSQ with HMDS 52

59 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 54 Treated old way

510 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS 55 SCCO2 HMDS treatment

511 SCCO2HMDS treatment of plasma damaged MSSQ with HMDS - 56 CO2 HMDS treatment

512 SCCO2HMDS freatment of plasma damaged MSSQ with HMDS - 57 HMDS vapor freatment

513 CH-absorption band of HMDS feated film 1 58

514 2 step pulsing with TCMS 60

515 1 step pulsing with TCMS 61

516 CH Absorption band of TCMS freated Film 1 62

517 SEM micrographs for filml freated with TCMS 63

VI

CHAPTER I

INTRODUCTION

In semiconductor wafer manufacturing the removal of photoresist and

photoresist residue was until recently considered as a commodity process [1]

The removal of photoresists is basically a two-step process consisting of an ashing

step in which the bulk of the photoresist is removed and a wet cleaning step

during which the hard to remove highly cross linked photoresist is removed The

semiconductor industry is facing a number of challenges in this second step the

wet cleaning step Current solvents used particularly sulfuric acid and hydrogen

peroxide have proven to be costiy in terms of money safety to workers and

envfronmental contamination

The semiconductor industry should be able to meet the demand of all

modem luxuries we expect such as telecommurucations and computers To do this

they are required to use the best technology that is available [2] This technology

involves using a very high volume of chemicals and water because the fabrication

of wafers is a series of chemical steps and process Up to 20 of all process steps

are wafer surface cleaning steps and require consumption of huge quantities of

chemicals and ulfrapure water In 1992 it was estimated that a 55-gallon drum of

organic solvent from purchase to disposal cost about $5000 Since the solvents

have only limited lifetime and needs to be changed frequently these costs can add

up quickly In addition to the use of these chemicals there is a need to rinse

wafers with deionized water The industry also needs to freat the contaminated

water and dispose off the hazardous wastes With this we can see the total cost

1

rising rapidly The cost of operation of a deionized water system was estimated to

be $130000 per year in 1997 In comparison Smith and Huse (1998) showed that

the cash flow needed to sustain a supercritical carbon dioxide system performing

the same function after seven years of operation would be less than half of that of

system using deionized water [2]

Another major drawback of the wet stripping method is that these

methods of photoresist removal introduce hazardous chemicals into the

atmosphere causing health issues with the workers working with these chemicals

in the semiconductor industry Although most of the industries have implemented

stringent engineering and administrative controls to reduce the social costs

associated with these chemicals employee exposure to these chemicals continues

to be a great problem This has driven the industry to seek for alternative methods

of photoresist removal [2]

Low-K dielectric materials and Copper interconnects are needed to

address problems with power consumption signal propagation delays and

crosstalk between interconnects The semiconductor industry is now trying to

introduce these materials as the size of the ICs continues to reduce The use of

low-K dielectrics and Copper interconnect technology is inevitable and Copper

and low-K technology challenge the conventional stripping and cleaning

technologies There are four key reasons for this challenge [1]

1 The move from contact (oxide) Via (Oxide) and line (metal)

etch technology to single or dual damascene (oxide only) etch

technology

2 The change in metal from Aluminum (Al) to Copper (Cu)

3 The infroduction of low-K materials

4 The reduction in critical dimensions with the OlSfim

technology being in production right now and the 013 jam

technology will be completely in production by fourth quarter

2004 The 01-micron era could span 2005 to 2007 and 007-

micron technology may take the industry out to 2010 [3]

With the transition to porous low-K dielectrics combined with the copper

metallization the preferred approach would certainly be dual damascene

technology In this method only a few processing steps are needed and hence the

manufacturing cost would be lowered However the dual damascene method

would requfre an additional cleaning step when compared to conventional reactive

ion etching technology since the wafers need to be cleaned (ash and wet clean)

after the via etch (in case of the via first approach) and once again cleaned after

the trench etch

When changing the metallization scheme from Aluminum to Copper

special attention needs to be given to possible copper contamination of the wafer

backside particularly in case of wet benches special attention needs to be paid so

that the copper is not carried to the back side of the wafer This will probably

requfre a change in the way the cleaning is done and may also require a change in

cleaning chemistry The biggest cleaning issue with copper is preventing it from

getting into the front-end processing where it can desfroy the integrity of the gate

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 7: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

CHAPTER I

INTRODUCTION

In semiconductor wafer manufacturing the removal of photoresist and

photoresist residue was until recently considered as a commodity process [1]

The removal of photoresists is basically a two-step process consisting of an ashing

step in which the bulk of the photoresist is removed and a wet cleaning step

during which the hard to remove highly cross linked photoresist is removed The

semiconductor industry is facing a number of challenges in this second step the

wet cleaning step Current solvents used particularly sulfuric acid and hydrogen

peroxide have proven to be costiy in terms of money safety to workers and

envfronmental contamination

The semiconductor industry should be able to meet the demand of all

modem luxuries we expect such as telecommurucations and computers To do this

they are required to use the best technology that is available [2] This technology

involves using a very high volume of chemicals and water because the fabrication

of wafers is a series of chemical steps and process Up to 20 of all process steps

are wafer surface cleaning steps and require consumption of huge quantities of

chemicals and ulfrapure water In 1992 it was estimated that a 55-gallon drum of

organic solvent from purchase to disposal cost about $5000 Since the solvents

have only limited lifetime and needs to be changed frequently these costs can add

up quickly In addition to the use of these chemicals there is a need to rinse

wafers with deionized water The industry also needs to freat the contaminated

water and dispose off the hazardous wastes With this we can see the total cost

1

rising rapidly The cost of operation of a deionized water system was estimated to

be $130000 per year in 1997 In comparison Smith and Huse (1998) showed that

the cash flow needed to sustain a supercritical carbon dioxide system performing

the same function after seven years of operation would be less than half of that of

system using deionized water [2]

Another major drawback of the wet stripping method is that these

methods of photoresist removal introduce hazardous chemicals into the

atmosphere causing health issues with the workers working with these chemicals

in the semiconductor industry Although most of the industries have implemented

stringent engineering and administrative controls to reduce the social costs

associated with these chemicals employee exposure to these chemicals continues

to be a great problem This has driven the industry to seek for alternative methods

of photoresist removal [2]

Low-K dielectric materials and Copper interconnects are needed to

address problems with power consumption signal propagation delays and

crosstalk between interconnects The semiconductor industry is now trying to

introduce these materials as the size of the ICs continues to reduce The use of

low-K dielectrics and Copper interconnect technology is inevitable and Copper

and low-K technology challenge the conventional stripping and cleaning

technologies There are four key reasons for this challenge [1]

1 The move from contact (oxide) Via (Oxide) and line (metal)

etch technology to single or dual damascene (oxide only) etch

technology

2 The change in metal from Aluminum (Al) to Copper (Cu)

3 The infroduction of low-K materials

4 The reduction in critical dimensions with the OlSfim

technology being in production right now and the 013 jam

technology will be completely in production by fourth quarter

2004 The 01-micron era could span 2005 to 2007 and 007-

micron technology may take the industry out to 2010 [3]

With the transition to porous low-K dielectrics combined with the copper

metallization the preferred approach would certainly be dual damascene

technology In this method only a few processing steps are needed and hence the

manufacturing cost would be lowered However the dual damascene method

would requfre an additional cleaning step when compared to conventional reactive

ion etching technology since the wafers need to be cleaned (ash and wet clean)

after the via etch (in case of the via first approach) and once again cleaned after

the trench etch

When changing the metallization scheme from Aluminum to Copper

special attention needs to be given to possible copper contamination of the wafer

backside particularly in case of wet benches special attention needs to be paid so

that the copper is not carried to the back side of the wafer This will probably

requfre a change in the way the cleaning is done and may also require a change in

cleaning chemistry The biggest cleaning issue with copper is preventing it from

getting into the front-end processing where it can desfroy the integrity of the gate

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 8: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

rising rapidly The cost of operation of a deionized water system was estimated to

be $130000 per year in 1997 In comparison Smith and Huse (1998) showed that

the cash flow needed to sustain a supercritical carbon dioxide system performing

the same function after seven years of operation would be less than half of that of

system using deionized water [2]

Another major drawback of the wet stripping method is that these

methods of photoresist removal introduce hazardous chemicals into the

atmosphere causing health issues with the workers working with these chemicals

in the semiconductor industry Although most of the industries have implemented

stringent engineering and administrative controls to reduce the social costs

associated with these chemicals employee exposure to these chemicals continues

to be a great problem This has driven the industry to seek for alternative methods

of photoresist removal [2]

Low-K dielectric materials and Copper interconnects are needed to

address problems with power consumption signal propagation delays and

crosstalk between interconnects The semiconductor industry is now trying to

introduce these materials as the size of the ICs continues to reduce The use of

low-K dielectrics and Copper interconnect technology is inevitable and Copper

and low-K technology challenge the conventional stripping and cleaning

technologies There are four key reasons for this challenge [1]

1 The move from contact (oxide) Via (Oxide) and line (metal)

etch technology to single or dual damascene (oxide only) etch

technology

2 The change in metal from Aluminum (Al) to Copper (Cu)

3 The infroduction of low-K materials

4 The reduction in critical dimensions with the OlSfim

technology being in production right now and the 013 jam

technology will be completely in production by fourth quarter

2004 The 01-micron era could span 2005 to 2007 and 007-

micron technology may take the industry out to 2010 [3]

With the transition to porous low-K dielectrics combined with the copper

metallization the preferred approach would certainly be dual damascene

technology In this method only a few processing steps are needed and hence the

manufacturing cost would be lowered However the dual damascene method

would requfre an additional cleaning step when compared to conventional reactive

ion etching technology since the wafers need to be cleaned (ash and wet clean)

after the via etch (in case of the via first approach) and once again cleaned after

the trench etch

When changing the metallization scheme from Aluminum to Copper

special attention needs to be given to possible copper contamination of the wafer

backside particularly in case of wet benches special attention needs to be paid so

that the copper is not carried to the back side of the wafer This will probably

requfre a change in the way the cleaning is done and may also require a change in

cleaning chemistry The biggest cleaning issue with copper is preventing it from

getting into the front-end processing where it can desfroy the integrity of the gate

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 9: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

2 The change in metal from Aluminum (Al) to Copper (Cu)

3 The infroduction of low-K materials

4 The reduction in critical dimensions with the OlSfim

technology being in production right now and the 013 jam

technology will be completely in production by fourth quarter

2004 The 01-micron era could span 2005 to 2007 and 007-

micron technology may take the industry out to 2010 [3]

With the transition to porous low-K dielectrics combined with the copper

metallization the preferred approach would certainly be dual damascene

technology In this method only a few processing steps are needed and hence the

manufacturing cost would be lowered However the dual damascene method

would requfre an additional cleaning step when compared to conventional reactive

ion etching technology since the wafers need to be cleaned (ash and wet clean)

after the via etch (in case of the via first approach) and once again cleaned after

the trench etch

When changing the metallization scheme from Aluminum to Copper

special attention needs to be given to possible copper contamination of the wafer

backside particularly in case of wet benches special attention needs to be paid so

that the copper is not carried to the back side of the wafer This will probably

requfre a change in the way the cleaning is done and may also require a change in

cleaning chemistry The biggest cleaning issue with copper is preventing it from

getting into the front-end processing where it can desfroy the integrity of the gate

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 10: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

oxide creating leakage currents Back-to-front-end contamination can occur when

copper flakes off the back of a wafer in solution during wet processing

Continuing improvement of microprocessor performance involves

decreasing device size This allows an increase in device packing density and an

increase in the number of functions that can reside on a single chip Higher

packing density requires a much larger increase in the number of interconnects

These enhancements have led to a reduction in the chip area dedicated to

interconnect and an increase in the number of levels The reduction in area of

intercormects increases the interconnect resistance The reduction in spacing

between intercormects increases the capacitance between interconnects

Crosstalk a phenomenon of noise induced in one signal line by a signal switching

on the neighboring line (vertical or lateral) is mainly caused by the coupling

capacitance between neighboring interconnects The following diagram illustrates

the crosstalk between interconnects

^

ltgtgt^

Co

Figure 11 A coupling model for 3 adjacent intercormects

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 11: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

Figure 11 [5] shows a general coupling model for 3 adjacent interconnects

running in parallel Co is the line to ground capacitance and Cm is the inter wire

capacitance The effective capacitance of the center wire Ceff is given equation

(11)

Y -Y~ Y -Y Ceff=C^+Cbdquo - ^ mdash ^ +Cbdquo mdash^ Equation (11)

_ poundi J _ h

Vi represent voltage deviation of wfre i E is the power supply voltage

When the center wire switches alone and both its neighbors are inactive then Ceff

= Co + 2 Cm If all the three wfres switch simultaneously in the same direction

then Ceff = Co and the RC delay for the center wire actually decreases from the

case of solitary transition If the center wire and the neighboring wfres switch

simultaneously in opposite directions then Ceff = Co + 4Cm this yields the worst

case wfre delay in the center wire In order to reduce this crosstalk we need to

reduce the capacitance between intercormects which essentially depends on the

dielectric constant of the separating insulator Currently two types of materials

seem to be the market leaders Spin on polymers (Dow Chemical Company) and

CVD deposited carbon containing Si02 dielectrics (C-Si02) (offered by

Novellus Applied Materials Mattson and others) Eventually the porous versions

of these materials with even lower k will be required These types of low-k

materials requfre a re-thinking of the way in which cleaning is done

Photoresists and photoresist residues are usually removed using Piranha

solution in the front end processing and by a combination of dry ashing and wet

cleaning m the backend processmg With 018^m technology in production right

now and 013|4m technologies being introduced by the end of the fourth-quarter 5

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 12: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

2004 cleaning will become more and more of a challenge for conventional

techniques A primary challenge in front end cleans is the continuous reduction in

the defect levels As a rule a killer defect is less than half the size of the device

hne width For example at 018 pm geometries 009 iim particles must be

removed [6] The issue is that smaller particles are physically more difficult to

remove because it is harder to deliver the necessary force to minuscule

dimensions If one considers that aspect ratios (heightwidth) for contacts in

DRAMs are afready approaching 101 one can imagine that cleaning technology

and in particular the wet bench industry will face increasing challenges in the

coming years

Another major drawback of the increase in aspect ratios (heightwidth) is

that the resist pattern collapse occurs when the rinse liquid is dried off and this is

due to the capillary force of the rinse liquid The resist pattern collapse depends

on the aspect ratio of the resist patterns The tolerable height of resist pattern is

lower for finer structures Resist pattern collapse is a serious problem m

lithography because its one limit of the critical dimensions [7] After the resist is

immersed in developer the resist surface acqufres hydrophilic property and the

surface of rinse liquid stored between the resist patterns is concave as shown in

Figure 12

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 13: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

Resist Pattern

^sy Rinse Liquid

fiffi^-jon - S]xUlaquotiatlaquo

Figure 12 Schematic illustration of rinse liquid stored between resist patterns

A negative pressure P in the rinse liquid is produced and the source of this

pressure is the surface tension of the rinse liquid The pressure P can be expressed

as

P = ^ R

a is the sxirface tension of the rinse liquid

R is the radius of curvature of the rinse liquid

The resist pattern peeling force is given by

Equation (12)

F= PxA

P is the pressure exerted by the rinse hquid

A is the aspect ratio of the resist pattern

Equation (13)

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 14: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

This equation clearly shows that the peeling force is directly proportional

to the aspect ratio of the resist pattern and the surface tension of the rinse liquid

and this force increase as the aspect ratio increases [7] In comparison if we use

super critical carbon dioxide for removing photoresist and photoresist residue

supercritical carbon dioxide almost has zero surface tension and hence the peeling

force on the resist patterns will be very minimal

Considering the issues and concerns which were discussed above most of

the semiconductor industries are looking for an alternate and an effective way to

remove the photoresist and photoresist residue Improvements are being made to

the existing cleaning technologies and also new cleaning technologies have

emerged over the last few years few examples of new cleaning technologies

include [1]

1 Cleaning wafers using dense fluid technology

2 Cleaning wafers using sulfur trioxide

3 Cleaning wafers using Supercritical carbon dioxide (SCCO2) and

surfactants

4 Cleaning wafers using SCCO2 and co-solvents

The study of gases under high pressure was a major topic 125 years ago it

was found that highly compressed gases were good solvents and that their ability

to dissolve substances was dependent on pressure and density of compressed

gases and it can be greatiy influenced by slight changes in pressure [4]

Supercritical fluid technology has been widely used in industry for extraction and

purification process and over the past few years it has been considered as a

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 15: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

possible alternative in areas where there are very few environmentally benign

alternatives are available such as photoresist and photoresist residue stripping

When semiconductor manufacturers begin to implement low-k materials

with a dielectric constant below 30 they enter a new dimension of manufacturing

challenges that begins witii choosing the material itself Any choice of these

materials appears to have downsides The manufacturers are wondering which

material shortcomings they are going to choose to integrate around Issues of

adhesion via poisoning resistance to plasma etching and various other issues

plague different materials Low-k dielectric integration in a dual-damascene

structure requires film compatibility with all etching stripping CMP lithography

and metallization processes

As-deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the film becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination In this work we have also tried to

treat the etched nanoporous organosilicate films with supercritical carbon dioxide

and a suitable co-solvent so that the methyl groups lost during the plasma

treatment are reintroduced and the film becomes hydrophobic making it less

susceptible to moisture contamination

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 16: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

In this work I will discuss the experiments which we have done using

SCCO2 and Propylene Carbonate (co-solvent) to remove photoresist and

photoresist residue We have also shown that the amount of co-solvent required to

remove the photoresist is considerably less when compared the amount of

chemicals and ulfra pure water used in the industries

The remaining chapters in this thesis are arranged as follows Chapter 2

describes photoresists and their use in semiconductor industry Chapter 3

describes the supercritical fluids and their application to photoresist and

photoresist residue removal Chapter 4 describes briefly the instrumentation used

in this project Chapter 5 describes the various experiments that were done and the

results Chapter 6 has the Conclusion

10

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 17: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

CHAPTER II

PHOTORESISTS

Integrated circuits are the most important products of the modem

elecfronics industry They are built up from various arrangements of transistors

diodes capacitors resistors and by metallization of the paths linking the active

circuit elements The pattems defining these regions and the linking pathways

must first be drawn by a lithographic process on a layer of resist material and

then fransferred onto the semiconductor substrate by an etching process

Lithography is the art of making precise designs on thin films of resist

material by exposing them to a suitable form of patterned radiation eg UV ion

beam or X-ray with the formation of a latent image on the resist that can

subsequendy be developed by treatment with solvents Photoresist is usually a

multi component material The active ingredient in the resist which is the

photoactive compound undergoes a chemical reaction upon exposure to light

There are 2 types of photoresist namely negative and positive photoresist

Negative photoresist upon exposure to light becomes less soluble in a developer

solution whereas positive photoresist becomes more soluble after exposure

Many polymers are altered on exposure to ultraviolet radiation and this

has led to the development of photolithographic techniques using conventional

UV radiation from a mercury vapor lamp with an emission spectmm in the near-

UV wavelength range of 430 405 and 365 nm The photoresists used for

integrated circuit manufacturing normally have three components a resin or base

11

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 18: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

material a photoactive compound and a solvent that controls the mechanical

properties such as the viscosity of the base keeping the photoresist in liquid state

[8] In this work I have used Shipley 1813 (S1813) positive photoresist and I

would like to discuss more about this photoresist

SI813 is a diazo-naptho-quinonenovolak (DNQnovolak) based positive

photoresist SI813 has 3 main ingredients a) diazo-naptho-quinone which is the

photo active compound b) novolak resin is the binder matrix of the photoresist

meta cresol is the novolak resin in this photoresist and c) a solvent propylene

glycol monomethyl ether acetate in this photoresist In positive photoresist the

photoactive compound acts as dissolution inhibitor before exposure and hence

slowing the rate at which resist will dissolve when placed in a developing

solution Upon exposure to light a chemical process occurs by which the inhibitor

becomes a sensitizer increasing the dissolution rate of the resist Ideally the

inhibitor will completely prevent any dissolution of the resist and the enhancer

would produce infinite dissolution rate this cannot be achieved in practice The

following reaction shows the effect of light on positive DNQnovolak

photoresists[8]

12

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 19: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

0

+ Light

-N

Diazo Naptho Quinone

(Dissclution Inhibitor)

o R

Wolff leaiTangement Q

C-OH

Water

J^ Dissohitiou Enhancer

Figure 21 Photolysis and Subsequent reactions of diazo naptho quinone

upon UV exposure

The nitrogen molecule in the photoactive compound is weakly bonded and

as shown in Figure 21 the addition of UV light will free the nitrogen molecule

from the carbon ring leaving behind a highly reactive carbon site One way to

stabilize the structure is to move one of the carbon outside the ring The oxygen

atom is then covalently bonded to the external carbon atom and this process is

knovra as Wolff rearrangement The resultant molecule is called a ketene In

presence of water a final rearrangement occurs in which the double bond to the

external carbon atom is replaced with a single bond and an OH group and this

final product is a carboxylic acid This process works as a photoactive process

because the starting material will not dissolve in the base solution and carboxyUc

acid on the other hand readily reacts with the base developer solution and

dissolves in it This dissolution occurs for two reasons The resincarboxylic acid 13

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 20: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

mixture will rapidly take up water the nitrogen released during the reaction also

foams the resist further assisting the dissolution [8] The chemical reaction that

occurs during this process is the breakdown of carboxylic acid into water soluble

amines such as aniline and salts of sodium or potassium (depending on the

developer) and this process continues until all the exposed resist is removed

Figure 22 clearly shows that unexposed DNQnovolak photoresist has a

non-zero but very small dissolution rate and the exposed resist has a higher

dissolution rate Modem resists show a dissolution ratio of well over three orders

of magnitudes between exposed and exposed resist regions [9]

D I s s o L u I I o N

R A I E (lun Sec)

1000 _

100

10

1

Dl

NovoWiResiii

Novolak resin photoh-si5 products

Novolak ieiii diazo naptho quinone

Figure 22 Three level dissolution scheme for a commercial DNQnovolak resist

Three important measures of performance of a photoresist is the contrast

of the photoresist The contrast of the resist is measured as follows Coat a wafer

with a layer of photoresist and measure the thickness The resist is given a

uniform exposure of light for a small period of time the exposure dose is tiien the

light intensity (in Mwcm^) multiplied by the exposure time Now the wafer is 14

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 21: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

unmersed in a developer solution for a fixed period of time and then remove it

from developer and measure the thickness If the light intensity was not too large

then very little of the photoactive compound would have changed from

dissolution inhibitor to dissolution enhancer and hence thiclaiess of the

photoresist would be about the same as the original thickness The experiment is

then repeated for increasingly large doses of exposure If we plot the normalized

remaining resist thickness versus logarithm of incident dose a contrast curve will

be obtained as shown in Figure 23 [8]

Fraction

o r Resist

Remaining

10

08 -

06 -^

04 -

02 -

0

D

f bull ^

1 1

Dioo

1 bull

01 10 100

Exposure Dose (mj cm-)

Figure 23 Confrast curve of an ideal positive resist

The contrast curve has three regions low exposure where almost all the

resist remains high exposure where all the resist is removed and the transition

region between these two extremes In order to derive a numerical value of

contrast of a photoresist we first approximate the steeply sloped portion of the

curve by a straight line The line extends from the lowest energy dose for which

all of the resist is removed We name the energy density at this point as Dioo The

dose at which the line has a Y value of 1 is approximately the lowest energy

15

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 22: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

needed to begin to drive the photochemistry The energy density at this point is

called Do The contrast (v) is defined as

1 V - bull Equation (21)

logioCAooZ-Do)

Contrast can be thought as the measure of ability of a resist to distinguish

between the light and dark portions of the mask The resist contrast is a very

convenient measure of the resolving power of the photoresist Higher the contrast

value of a resist higher is the resolving power Ideally a photoresist with

infinitely high contrast (a step function as a contrast curve) could resolve images

of structures as long as there still is a finite intensity difference in the diffracted

light (aerial image) Although it is not immediately apparent from its definition

the contrast value depends on the resist thickness there is an approximately linear

decrease in contrast value with increasing resist thickness and many lithographers

use a rule of thumb that fihn thickness multipHed with resist contrast is a constant

number

In this section I am briefly explaining the processing steps on using

photoresists The first step is to spin coat the photoresist on clean silicon wafers

from the point of view of photoresist manufacturer spin coating is the most

pleasurable step because in this step ahnost 90 of the photoresist flies off the

wafer and into the receptacle It has been attempted numerous times to reclaim

this material but all these attempts have failed since it has been proven impossible

to meet the exacting particle and contamination standards of photoresists The

second step is the pre-baking step this is also known as soft bake or pre-exposure

bake This is a physical process of converting the liquid cast film into a solid 16

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 23: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …

film Typical pre-baking conditions for DNQnovolak resist are 1 - 2 minutes in a

hot plate at 100 - 120 deg C or 30 minutes at 70 - 90 deg C in forced afr ovens After

this step the photoresist is exposed to UV light using an appropriate mask

Optical exposure apparatus have evolved from its simple begirmings (contact

printing) to todays multi-million dollar stepper tools Its complexity has grown

correspondingly I do not want to cover the theory behind optical lithography in

my thesis instead I will keep my attention focused on key practical aspects of

photoresist processing

A thermal treatment of exposed but undeveloped photoresist usually

called the post exposure bake is the next step Post exposure bakes are reported to

enhance sensitivity and process latitudes for a number of resists The most

stunning effect of post-exposure bake is the disappearance of standing waves

When a resist coatmg on a flat wafer surface is frradiated the tight which is not

absorbed by the resist is reflected with high efficiency by the wafer surface The

incoming and outgoing light waves interfere and form a standing wave pattem

which is fransferred into the resist [9] The next step would be the development

step there are number of developers in use for DNQnovolak photoresists The

most important two classes are buffered metal ion containmg and the metal ion

free developers A typical buffered system is sodium metasilicate which offers an

advantage of not intioducing an additional anion The metal ion free developers

are aqueous solutions of tetra methyl ammonium hydroxide The buffered system

can be used at lower pH for the same normality and thus offer better

discrimination and contrast However there is widespread concern about

17

contamination by sodium ions which may cause failure of finished IC devices

Metal ion fi-ee developers are gaining ground nowadays since their use allows

process engineers to exclude development as a source of sodium contamination

The next step is the post development bake this is also called as post-bake

or hard bake The finished resist images are subject to thermal treatment Besides

removal of residual solvent or water the remaining DNQ molecules are

decomposed quickly at temperatures above 110 deg C In the absence of water the

multifunctional DNQ reacts with novolak hydroxyl group to cause cross-linking

thus further increasing the thermal stability of the resist structures Even without

the DNQ molecules the novolak itself also cross-links at elevated temperatures

Post-baking also increases the adhesion of resist to substrate in part by removal

of solvent but also by a hot melt effect by which the contact surface between

the resist and the surface subsfi-ate is maximized For dry etching post baking is

requfred for all but for the mildest etch processes When usmg fluorine plasma for

etching siUcon dioxide a post bake of 125-130 deg C may be adequate but while

using chlorine plasma to etch aluminum post bakes up to 160 C may be required

tins is needed to yield high etch resistance [9]

CHAPTER III

SUPERCRITICAL FLUIDS

When two molecules approach each other in a fluid at a temperature

where their relative speed is likely to be low their mutually attractive forces will

bring a temporary association between them If there is a sufficient density of

molecules there is a possibility of condensation to a liquid This may lead to

surface tension in a fluid because of attraction between the molecules that make

up the liquid In the absence of other forces this mutual attraction of the

molecules causes the liquid to coalesce to form spherical droplets This can be

seen for example when rain falls on freshly polished metal surfaces

As a general rule the greater the proportion of polar groups (eg OH

groups) m a molecule the stronger the atfractive forces between them Strong

attractive forces give rise to a high surface tension and a tendency to form discrete

droplets on a surface rather than wet it evenly The large proportion of OH groups

in water is responsible for its high surface tension whereas alcohols with then

smaller proportion of OH groups have lower surface tensions

Surface tension can be thought of as the force that holds a liquid together

In the depths of a volume of liquid each molecule is surrounded on all sides by

other molecules the forces between them balance out and the entire mass is in

equilibrium The situation is different at the surface of the liquid At a liquid-afr

interface for example the molecules at the surface are bemg attracted by the

surrounding liquid but not by the air The forces are imbalanced and consequendy

19

the liquid behaves as if had a sfretched skin Surface tension can therefore be

quantified in terms of the forces acting on a unit length at the liquid-air interface

Micro Electro Mechanical System (MEMS) devices are made using a

combination of masking plasma etching of polysilicon film deposited on the

wafer and wet etching done in liquid phase solution such as hydrofluoric acid

The final HF etch is followed by water rinse Silicon is practical MEMS material

that is capable of great amount of flexibility before fracturing However the

compliant nature of silicon makes it susceptible to fabrication problems A

significant problem in the fabrication of MEMS components is the sticking of

released structures to the substrate during the conventional rinsing and drying

step A major reason for this stiction is liquid bridging [14] Liquid bridging is

due to the surface tension effects of frapped capillary liquids upon drying The

liquid usually water used to rinse the nfrcrostructure is trapped in the narrow gap

between the suspended structures and the silicon substrate And obviously

reduction or elimination of surface tension will lessen or eliminate surface stiction

due to liquid bridging

On the other hand if the temperature and the relative speeds between

these molecules are high the attractive forces between these molecules will be too

weak to have more than slight effect on molecular velocities and condensation

cannot occur however high the molecular density It is reasonable to expect on

basis of molecular behavior that for every substance there is a temperature below

which condensation to a liquid and evaporation to a gas is possible but above

which these processes cannot occur There is a temperature above which a single

20

substance can only exist as fluid or supercritical fluid and not as either liquid or

gas [10] This temperature is called as the critical temperature

This phenomenon can be explained using Figure 31 [10] which is a phase

diagram of a single substance The diagram is a schematic and the pressure axis is

not linear the solid phase at high temperature occurs at very high pressures The

areas where the substance exists as a single solid liquid and gas are labeled the

triple point is where the three phases coexist The curves represent coexistence

between two phases

^ni eiciiacjaii FMtl

Critical point

TEMPERATURE

Figure 31 The phase diagram of a single substance

If we move upwards along the gas-liquid coexistence curve both the

temperattire and pressure increase The liquid becomes less dense because of

thermal expansion and the gas becomes denser because of increase in pressure

Eventtially the densities of the two phases become identical the distinction

21

between gas and liquid disappears and the curve comes to an end at the critical

point The substance is now described as a supercritical fluid The temperature

and pressure corresponding to the critical point are known as the critical

temperature and critical pressure respectively

The supercritical state of any material is attained when the temperature

and pressure are raised above the critical point (critical temperature (Tc) and

critical pressure (Pc)) The critical temperature (Tc) can be defined as the highest

temperature at which the gas can be converted to liquid by increasing the

pressure The critical pressure can also be defined as the highest pressure at which

a liquid can be converted to a gas by increasing the temperature

Today the semiconductor manufacturing industry is faced with daunting

task The manufacturing width of individual lines of a cfrcuit has reached 025

micron one-quarter the size it was in 1990 [3] Considering these line widths and

aspect ratios (heightwidth) of 7 and above we do not know whether it is possible

to get the cleaning liquids mto these kinds of dimensions The attractiveness of

super critical fluids as process solvents arises from then unique combination of

liquid-like and gas-like properties Super critical fluids exhibit gas-like transport

properties of difftisivity and viscosity yet possess liquid-like mechanical

properties such as density rapid wetting and excellent penetration characteristics

[1] Table 31 shown below has a comparison of the physical data for the gaseous

supercritical and liquid states [1] It is clearly seen that the physical properties of

the supercritical state are in between those of liquids and gases

22

Table 31 Physical data for gaseous supercritical fluid and liquid states

State

Gas (ambient)

Supercritical fluid

Liquid (ambient)

Density

(gmL)

00006-0002

02-05

06-16

Dynamic Viscosity

(gcm-sec)

00001-0003

00001-00003

0002-003

Diffusion

Coefficient

(cm^sec)

01-04

00007

0000002-000002

The critical values of temperature and pressure are unique for every

compound The critical temperature of CO2 is 311 degC and the critical pressure is

738 bar (1072 psi) Figure 32 [11] shows the pressure-temperature-density

surface of pure CO2 As can be seen m Figure 32 the density of supercritical

CO2 can vary over a wide range from gas-like values of 0002 gcm^ (STP) to

liquid-like values of over 10 gcm [11]

6000 4000

2000 _ l o S M

Figure 32 Pressure-temperattire-density surface for CO2 23

This reflects a density change of several orders of magnitude by relatively

modest variations of temperadjre and pressure which we were able to achieve in

the supercritical CO2 system that was built in the Jack Maddox lab Texas Tech

University [Chapter 5]

A black dark circle shows the critical point of C02 and it can be seen that

relatively small changes in temperature or pressure near the critical point result

in large changes in density It is this tunability of density and therefore tunability

of solvent power makes upper critical CO2 more attractive for cleaning

apptications In addition to the high liquid-like densities achievable in

supercritical CO2 it also possesses gas-like difftisivity shown in Figure 33 [11]

10-V

0i-DirfusivTES or S0-tJTrs Jji IN tiDHMAL LIOIJiS

bullao s o 80

TEMPCTiATUr-T f C i

Figure 33 Difftisivity of supercritical CO2 [ 11 ]

24

Use of supercritical fluids especially supercritical CO2 as alternatives for

photoresist removal offers many potential advantages over conventional organic

solvents CO2 is in general chemically inert with respect to inorganic materials

and is therefore compatible with a variety of substrates CO2 is non polar and

hence forces of attraction between thefr molecules would be very small as

described earlier in this chapter Low surface tension results in reduced stiction in

MEMS devices and makes the submicron devices less vulnerable to pattem

collapse CO2 is noncombustible readily available in high purity inexpensive and

its critical conditions are easily achievable CO2 also has extensive transportation

infrastructure as virtually all restaurants serve carbonated drinks and this requires

the use of pressurized CO2 cylinders After the cleaning step carbon dioxide is

easily separated from the extracteddissolved poljoners resulting in streams of

concentrated polymer (or polymer residue) and pure reusable CO2 Also

supercritical CO2 evaporates completely when depressurized leaving no residue

and hence subsequent aqueous rinsing and drying steps are also not requfred

This unique combination of physical economical and chemical properties of

supercritical CO2 has prompted an evaluation of its use as a replacement for

environmentally threatening chemicals currently used in semiconductor

manufacturing [12]

In the supercritical state carbon dioxide behaves as a classical non-polar

organic solvent Consequentiy pure super critical carbon dioxide is good for

removing non-polar materials such as oils and greases To remove polar

substances such as water it is common to add modifiers which increase the polar

25

nattire of the fluid Common modifiers are methanol and acetone [13] It has been

found that pure liquid propylene carbonate is an effective low toxicity

replacement for methylene chloride and methyl chloroform in the de bonding of a

negative Poly Methyl Methacrylate (PMMA)-based photoresist [13] This finding

and the fact that super critical carbon dioxidepropylene carbonate based

photoresist removal is being tried by a number of research organizations

prompted us to investigate the use of propylene carbonate as a SCC02 modifier

for its ability to remove hard-baked photoresists Propylene carbonate is an

environmentally fiiendly solvent having no Personal Exposure Limit (PEL) It is

non-flammable non-toxic and biodegradable [13]

The mechanism by which the supercritical carbon dioxideco-solvent

freatment removes the photoresist has not yet been determined However it is

well known that polymeric materials can be made to swell by diffirsion of CO2

molecules and it is likely that such a swelling occurs effectively softernng the

resist At the same time the reactive ester groups of the propylene carbonate acts

to degrade the photoresist reducing its molecular weight [13] Such a reduction of

molecular weight promotes solubility of the photoresist in the supercritical fluid

promoting its removal

26

CHAPTER IV

INSTRUMENTATION

In this chapter I will discuss about the different instmmentation

techniques which were used to characterize the all the experiments done The

following characterization techniques are discussed in this chapter

41 Fourier Transform Infrared specfroscopy and

42 Prism coupler

41 -Fourier Transform Infrared spectroscopv

Spectroscopy is a type of chemical analysis done by shining light on a

sample to determine what is inside the sample Chemists commonly measure the

absorbance how much light is absorbed by the sample or the transnuttance how

much light passes through the sample An analogy of how spectroscopy woks is

that if you imagine that light as food and the sample is a room full of people a

complete spectrum of light would be like giving all the food in a grocery store to

the people in the room Imagine that you knew there was only one person who

would eat asparagus If all of the asparagus came through the room uneaten that

person could not be in the room but if some were missing you would know that

person was in the room Furthermore no matter how much broccoli you put in the

room the asparagus-lover would never eat any and so you could never know if

he or she was in the room or not [20]

27

Similarly in a chemical analysis many different kinds (wavelengths or

energies) of light (a spectmm) are shone through a sample Some of the light is

absorbed By knowing what wavelengths of light are absorbed by the sample we

know what is inside But if we are looldng for a specific molecule or

characteristic and shine the wrong wavelengths of light through a sample no

matter how much light we put through we will never leam anything about the

sample

Infrared spectroscopy measures the vibrations of molecules Each

functional group or stmctural characteristic of a molecule has a unique

vibrational frequency that can be used to determine what functional groups are in

a sample When the effects of all the different functional groups are taken

together the result is a unique molecular fmgerprint that can be used to confirm

the identity of a sample

Fourier transform infrared (FTIR) spectroscopy is a characterization

technique widely used in physics chemistry and biology It is an easy way to

identify the presence of certain functional groups in a molecule Infrared

specfroscopy is a technique based on the interaction of infrared radiation with the

vibrations and rotations of the atoms of a molecule An infrared absorption

spectium can be obtained by passmg radiation through a sample and detennining

what fraction of the incident radiation is absorbed at a particular energy The

energy at which any peak in an absorption spectmm appears corresponds to the

frequency of a vibration of a chemical bond of a sample molecule [21]

28

To understand how infrared radiation interacts with material we need first

to have a quick look at the properties of light First of all light can have both

wavelike and particles like properties In the wave-like picttire light is an

elecfromagnetic wave as shown in Figure 41 It is a wave with both electric and

magnetic fields perpendicular to each other The IR radiation occurs in the long

wavelength side of tire electromagnetic spectinm as shown in Table 41 In IR

specti-oscopy wavenumbers (m units of cm) are usually used The wavenumber

is the number of elecfromagnetic waves in a length of one centimeter

Equation (41)

where k is the wave number c is the speed of light A is the wavelength and v is

the frequency

In some cases wave number is defined in units of radians per centimeter

in that case wave number is given by k = 2 pi gt

X c

Table 41 Electromagnetic spectrum

Radiation

Type

Wave

number

(cm-)

Visible UV

and

X-rays

gt 14000

Near

Infrared

14000 to

4000

Mid

Infrared

4000 to

400

Far

Infrared

400 to

4

Microwaves

Radio Waves

lt 4

29

Electromagnetic Wave bull Magnetic Field (B)

Electric mdash Field (E)

Wavelength (A)

Propagation Direction

Figure 41 Light as an electromagnetic wave

IR radiation is energetic enough to excite molecular vibration or rotation

to higher energy levels IR specfra usually have sharp features that are

characteristic of specific types of molecular vibrations making the spectra useful

for identification purposes Different molecules absorb infrared radiation at

different wavelengths Thus infrared spectmm contains both qualitative and

quantitative information of the sample material

The IR spectmm can be plotted in different ways but the most popular

ways are the absorption and fransmission specfra Figure 42 [21] shows a

comparison between these two specfra the IR absorption (transmission) intensity

is plotted as a function of the IR wavenumber Absorbance is defined as follows

[24]

A = logi-^) Equation (42)

30

i 0 b 2 0 0 D laquo 0 0 2 D D Q

W a v e n u m b e r ( c m )

pound raquo Q 0 4 D 0 0 4 - 0 0 0

T 0 0 t o o o o t i o f O ( i s o o o

W a v e n u m b e r ( c m ]

3 S 0 O 4 0 0 0 4 ^ 0 0

Figure 42 Comparison between Absorption and the Transmission spectra [21]

Where A is the absorbance is the light intensity with the sample in the IR

beam (sample spectmm) and lo is the light intensity measured with no sample

(background spectrum) The purpose of measuring Ig is to measure all the

contributions from sources other than the sample (contributions of the

spectrometer and the envfronment to the sample)

31

Transmission can be defined as follows [22]

I Equation (43)

Where T is the fransmittance lo and I have the same meaning as discussed

above From equations (42) and (43) we get

^ = log(i) Equation (44)

The basic law in absorption spectroscopy for quantitative analysis is

Beers law Beers law relates the concentration of the sample to the measured

absorbance of the sample spectrum

Consider a radiation of intensity bdquo is entering a sample of length b as

shown m Figure 43 Because some of the light will be absorbed the fransmitted

light intensity is less than lo

I

bull4 bull

b

Figure 43 Illustration of Beers law

Beers law is commonly expressed as [21]

^ = log (^ ) = log (^ )=abc

32

Equation (45)

where

lo = the radiation intensity entering the sample

1 = the radiation intensity that has passed through the sample

A = the absorbance

T = the fransmittance

a = the absorptivity in Lmol cm

b = the optical path length in cm

c = the sample concentration mol L

The absorptivity a is a specific to each molecule at certain wavenumber

that characterizes the capacity of that molecule to absorb infrared radiation The

value of a varies from one molecule to another and from one wavelength to

another but is constant for a given molecule at a given wavelength The quantity

b is the optical path length that is the distance the infrared radiation beam

traverses in the sample The quantity c indicates the concentration of the required

sample molecules in the entire sample If the optical path length is held constant

Beers law states that the absorbance is directly proportional to the concenfration

of the sample at a given wavelength

There must be a change in a dipole moment during the vibration in order

for a molecule to absorb infrared radiation If the dipole moment does not change

vibration is infrared inactive For the same reason the homo nuclear diatomic

molecules (N2 O2 H2 and CI2) and noble gases do not absorb IR radiation When

a molecule absorbs IR radiation it vibrates in different ways The bonds can

33

stretch contract and bend Figure 44 [23] shows the fundamental vibrations that

can be observed for a molecule [26]

L-J v l J Stretching vibration (v)

Ph (7gt (7^ In plane bend (8) ^mdash ^-^ -h Out of the page

Into the page

^ ( ] Out of plane bend (y)

ti-

Rock in plane bend (p)

+

+

Wag out of plane bend (x)

Twist (x)

Bend symmetrical

Figure 44 Fundamental molecular vibrations possible for a molecule

34

Fourier Transform Infrared (FTIR) fransmission spectra for all the samples

were recorded with a Perkin-Elmer spectirometer with 16 cm resolution The

FTIR specfra of the samples were obtained by subtracting the spectmm of the

silicon substi-ate from the spectmm of the silicon substrate with the

photoresistnanoporous film The absorption spectmm a((i)) was determined using

the Lambert-Beers law [21]

t

t is the film thickness in cm and To(Gi) is the fitted baseline corresponding

to zero absorption The concentration of the bonds contributing to the IR-active

bands (TV) is proportional to the integrated absorption of the band It can be

described using the following equation [21]

N=c^^dco^CI

Where C is a proportionality constant which varies as the inverse of the

oscillator sfrength a is the absorption coefficient and is the integrated

absorption Since the oscillator strength of the various bonds used m the present

study was not available to us and since the integrated absorption is dfrectly

proportional to the concentration the integrated absorption (7) values were used to

measure the concentiation of the bonds We beheve that this assumption is valid

for the qualitative analysis of the data

A Fourier transform infrared spectroscope is a device based upon the

Michelson interferometer The development of interferometry was initiated in

1880 when Dr Albert A Michelson invented his mterferometer to study the speed

35

of light and to fix the standard meter with the wavelength of a known spectral line

[23] FTIR method is based on the old idea of the interference of two radiation

beams to yield an interferogram An interferogram is a signal produced by two

radiation beams Interferogram is the interference intensity as a function of the

change of optical path difference The two domains of distance and frequency are

inter convertible by the mathematical Fourier transformation Figure 45 shows a

schematic of a Michelson interferometer

Movable Mirror

^ bull

Fi-ced Mirror

Figure 45 Michelson interferometer

Light that enters a Michelson interferometer is split into two beams Each

beam completes its own path and then the beams are recombined The

recombmed beam enters a power detector All of this is accomplished using a

beam splitter (a semi-silvered mirror) and two nurrors one fixed and one

36

movable Assuming that the incident light is monochromatic (of a single color)

and coherent (all of the photons are in phase) the intensity of the recombined

beam is dependent entirely on the path length difference between the two possible

paths through the interferometer If the path lengths differ by an integer multiple

of the optical wavelength for example the two beams will interfere

constructively (producing a maximum intensity output) If one path length is

changed by half a wavelength then the beams will interfere destmctively

resulting in a minimum (zero theoretically) intensity at the output

The Michelson interferometer modulates the incoming optical radiation by

changing the optical path difference (OPD) between the two possible paths in the

interferometer in a smooth continuous fashion A change in path difference

(called retardation) is accomplished by moving one of the two mirrors at a

constant velocity over a fixed distance When the mirror has traveled the required

distance which is governed by the required spectral resolution ft is quickly

returned to the start position to begm the next scan During the motion of the

moving mirror each wavelength of the collected radiation is modulated at a

unique frequency that is a function of the wavelength of the radiation and the

velocity of the movmg mirror The signal generated would be a suie wave of

constant amplitude and constant frequency assuming a broadband source such as

a blackbody taking into account all the wavelengths which make up the target

radiation and adding together all these sinusoids produces what is called an

interferogram Therefore the interferogram is a coded representation of tiie target

37

spectmm The Fourier Transform or decoding of the interferogram provides the

spectmm of the target radiation

Michelson interferometers provide a significant sensitivity advantage over

grating prism and circular variable filter spectrometers [22] There are two

significant reasons for the sensitivity advantage The first can be described as a

multiplex advantage The Michelson interferometers single detector views all the

wavelengths simultaneously throughout the entire measurement This effectively

lets the detector dwell on each wavelength for the entire measurement time

measuring more photons This improvement is called the multiplex advantage

and in effect increases the integration time

The second advantage is due to the light gathering capability or larger

tiiroughput The interferometer is not limited in aperture (sht width or height) as

severely as dispersive or cfrcular variable filter instmments This translates into a

much higher throughput or light gathering capability Both of these advantages

enable the Michelson FTIR to provide superior sensitivity over otiier

spectrometers over the uifrared portion of the spectmm

42-Prism Coupler

During the course of this work a Metricon 2010 prism coupler was used

to measure the thickness of the photoresist Prism Coupler utilizes advanced

optical wave guiding techniques to rapidly and accurately measure both the

thickness and the refractive index of dielectric and polymer films The technique

consists of measuring the angles at which a prism wiU couple tight from a laser

38

beam into the sample film The thickness and refractive index of the film are

calculated from the measured angles [25]

Figure 46 [26] shows the schematics of a prism coupler The sample to be

measured is brought into contact with the base of a prism by means of a

pneumatically-operated coupling head creating a small air gap between the film

and the prism

Laser Source detector

Coupling head

Figure 46 Schematic of a prism coupler

A laser beam stiikes the base of the prism and is normally totally

reflected at the prism base onto a photo detector At certain discrete values of the

incident angle 9 called mode angles photons can ttmnel across the afr gap into

the film and enter into a guided optical propagation mode causmg a sharp drop m

the intensity of light reaching the detector Measurements are made by loading the

sample against the prism and rotating the laser tight until coupling occurs

Coupling is indicated by a minimum in the output from the photo detector The

angle is measured and the rotation continued until the next coupling mode is

39

observed When coupling occurs a part of the incident energy ttmnels through the

low-index gap into the film It is then altemately reflected at the film-substrate

and at the film-gap interfaces so that a zigzag propagation along the guide results

Because the reflection at the film-gap interface is not total but is in tum perturbed

by the presence of the prism the guide is leaky and part of the energy m the film

escapes back into the prism [25] The coupling phenomenon described here is

shnilar to that of the tunneling of electrons through a barrier hence the term

optical tunneling has been used

To a rough approximation the angular location of the first mode

determines fihn index while the angular difference between the modes

determines the thickness allowing thickness and index to be measured completely

independently Measurements are made using a computer-driven rotary table

which varies the incident angle 9 and locates each of the film propagation modes

As soon as two of the mode angles are found film thickness and index can be

calculated The entfre measurement process is fully automated and requires

approximately twenty seconds

40

CHAPTER V

EXPERIMENTS AND RESULTS

In this chapter I will describe the different experiments that we did for

photoresist removal and surface modification treatment of nanoporous

organosilicate films using supercritical carbon dioxide and suitable co-solvents

Figure 51 shows the schematics of the supercritical carbon dioxide (SCCO2)

system that we used for our experiments

Liquid injection unit

High pressiu-e gauges C

TC

High purity CO2 at 600 psi

Exhaust

Vi

pump

V High pressure filter

Drive air High pressme y^ waives

Tc Thermocouple

Figure 51 Schematic of supercritical carbon dioxide system

This system consists of a stainless steel chamber designed to handle 16000

pounds per square inch (PSI) a high pressure air driven Haskel booster pump

[15] is used to pressurize this chamber and a Jasco liquid injection pump [16]

(operate at pressures up to 7000 PSI) is used for co-solvent injection The

chamber assembly is placed inside an oven which can be heated up to 360degC The

41

inlet and the outlet lines of this system are heated to improve the solubility of the

co-solvents in supercritical carbon dioxide This is expected to keep the lines

clean and free of co-solvents after the process is complete A thermocouple (TC)

is placed in the chamber so that we can sense the temperature of the chamber

Two high pressure gauges are provided one at the inlet and one near the chamber

to sense the pressure in the chamber The outlet is connected to exhaust through a

separation chamber The separation chamber is used to collect the co-solvents and

particles recovering the reactants for reuse The system can also be evacuated

using a mechanical vacuum pump to minimize atmospheric contamination in the

system The temperature and pressure of the high-pressure chamber could be

controlled to suit the experimental needs

In all experiments the high-pressure vessel was pre-flushed with CO2

(between 100-700 PSI) five thnes Pre-flushing helps reduce any contamination

from atmosphere At the end of each freattnent the vessel was also post-flushed

five times with supercritical carbon dioxide (between treatment pressure and 1500

PSI) Post-flushing helps hi removing the remaining co-solvent and other

particulate matter from the system Fourier Transform Infrared (FTIR)

spectioscopy contact angle measurements prism coupler optical mterferometer

and Scanning Election Microscope (SEM) were used for characterizing different

experiments done The theory behind these characterization techniques was

explained in Chapter 4

42

51-Photoresist removal using SCCO

Before starting any photoresist removal experiments we wanted to

characterize the photoresist In order get a good understanding of FTIR

spectroscopy I started characterizing the S-1813 photoresist for different

exposure times and different cross-linking temperatures When we expose the

photoresist to ultraviolet (UV) light nitrogen is released from the photoresist

[Chapter 2 Figure 21] This can be verified by taking the FTIR spectmm of the

photoresist before and after exposing to the UV and analyzing the 2100 cm band

in the FTIR spectmm which represents the CN-absorption band of the

photoresist Figure 52 shows the CN absorption band of the exposed and

unexposed photoresist

pound 2D0

Photoresist not exposed to U V - Photoresist ocposed to U V

1900 1950 2000 2050 2100 2150 2200 2250

Wave number in cm

Figure 52 CN-absorption band of exposed and unexposed photoresist

The photoresist in both cases was spun on pfranha cleaned [Appendix A]

silicon wafer at 6000 rpm for 30 seconds yielding post bake thickness of

approxunately 12 microns One of them was exposed to UV for 15 seconds and

the other was not exposed to UV light It is cleariy seen from Figure 53 that the 43

photoresist exposed to UV light has very littie nitrogen and the photoresist which

was not exposed has substantial amount of nitrogen in it The ratio of the areas of

these curves is 18979 This also verifies the fact that nitrogen is liberated when

we expose the SI813 photoresist to UV light

We then went on to characterize the cross linking of the SI813

photoresist Figure 53 shows the effect of heat on cross linking the DNQ based

positive photoresist

0 II

c

Meta Cresol Novolak

Figure 53 Effect of heat in cross-linking DNQ photoresist

When we heat DNQ based photoresist the nitrogen molecule in the

photoactive compound which is weakly bonded is liberated and results in

formation of an intermediate compound called ketene If we further continue to

44

heat the photoresist ketene reacts with meta cresol novolak resin and starts to

cross link If we observe Figure 53 carefully we can see that the C=0 bond

changes to C-O C=0 is an IR active but the C-0 is not IR active Consequentiy

with cross linking of the photoresist we should be able to see a reduction in the

C=0 peak when we take FTIR spectmm of photoresists cross linked at different

temperatures Figure 514 shows the FTIR spectmm of photoresists cross-linked

at 60 80 100 and 120 degree Celsius respectively it can be clearly seen that as

we cross-link the photoresist at higher temperatures the intensity of C=0 bond

(1700 cm) reduces

FTIR Spectrum of photo resist crosslinked at different temperatures

1400 -

1200 -

- 1000

i 800 4 o

o 600-1 o ^ 400 -

o pound 200 4

- 2 0 0 -i 1 i 1 1 T 1640 1660 1680 1700 1720 1740 1760 1780 1800

Wave number in cm

Figure 54 C=0 - absorption band DQN photoresist

45

S1813 photoresist was spin-coated on silicon wafers at 6000 rpm for 30

seconds thickness of the photoresist was measured using a Metricon 2010 [17]

prism coupler and thickness In all our experiments photoresists spun under these

conditions was used

We used acetone as a co-solvent in supercritical carbon dioxide to remove

this photoresist Figure 55 schematically represents the photoresist removal

experiments done with acetone

7000

Pressure in PSI

1500

Injection of acetone

Figure 55 Experimental conditions for removing PR using acetone as co-solvent

Three pulses of 30 acetone per pulse [Appendix B] (Ihr 30 minutes 30

minutes) was used with supercritical carbon dioxide to remove the photoresist the

treatment temperature was 85degC This treatment was not effective in removing the

photoresist from the silicon substrate Thickness of photoresist was measured

using a dektak we were not able to use the prism coupler Table 51 shows the

thickness of photoresist before and after treatment

46

Table 51 Results of SCCO^Acetone treatment

Photoresist cross linking

Temperature (^C)

60

80

100

120

Thickness in microns

(Before treatment)

133

126

121

119

Thickness in microns

(After treatment)

0 3-0 4

0 6-0 7

0 2-03

0 4-0 5

At this point we stopped using acetone as a co-solvent and started to use

propylene carbonate (PCO3) as a co solvent We wanted to verify whether the co-

solvent with SCCO2 or SCCO2 alone was responsible for the photoresist removal

Photoresist was treated with only SCCO2 no co-solvent was used and we found

that this treatment has no effect on photoresist removal Photoresist was then

freated with SCCO2 and 30 PCO3 [Appendix B] three pulses (30 minutes each)

of SCCO2PCO3 was used The system was pulsed between 1500 and 3500 PSI

The photoresist was completely removed from the sihcon substrate This

experiment confirmed that co-solvent was responsible for the photoresist removal

and SCCO2 alone has very little effect on photoresist removal We then wanted to

reduce the amount of PCO3 to optimize for complete removal of photoresist We

also wanted to show that the freattnent would be effective in removing the less

cross linked photoresist than highly cross-linked photoresist We decided to take

only two samples of photoresist one which is barely cross-linked (baked at 60degC

for 5 minutes represented by O) and the second sample which was sttirdily

47

cross-linked (baked at 100degC for 90 minutes represented by X) Table 52

shows the list of experiments that were done to optimize the photoresist removal

All the experiments were done using PCO3 as co-solvent and we pulsed the

system between three times between 1500 and 3500 PSI the co-solvent was

injected at 1500 PSI and then the pressure was raised to 3500 PSI and it was

retained at this pressure for 30 minutes The chamber temperature was kept

around 85C

Table 52 Results of SCCO2 PCO3 treatment

Exp

1

2

3

4

Experimental conditions

343 ) co-solvent (098 ml per pulse)

68 co-solvent (195 ml per pulse)

136 co-solvent (39 ml per pulse)

171 co-solvent (4875 ml per pulse)

Result

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

Only a small amount of

photoresist residue was

left behind

The photoresist was

completely removed

Our industrial contacts tell us that 171 of PC03 is too much to be

considered for a production process When we used 343 PCO3 we had only a

very little amount of photoresist residue left behind We tiiought of using 5

PCO3 [Appendix B] (142 ml per pulse) for 3 pulses and 5 [Appendix B] (214

ml) acetone in the fourth pulse too see if acetone can dissolve this residue We

48

were eventually successful in removing the photoresist completely from the

silicon substrate We wanted to see the effect of this treatment on metal substrate

1000 Angstroms of titanium was deposited on a clean silicon substrate using the

electron beam evaporation system SI813 photoresist was spun over this titanium

substrate and was cross linked at 100degC for 90 minutes We tried to remove the

photoresist using the above-mentioned recipe We were able to remove the

photoresist completely Figure 56-a shows the SEM image before treatment and

56-b shows the SEM image after treattnent

Figure 56 SEM images depicting photoresist removal from SiTi surface

a - before treatment b - after tteatment

We also wanted to verify whether cross-linking of photoresist had any

effect on its removal from the silicon substrate For the removal to be effective its

necessary to achieve some amount of sorption of the SCCO2 (andor

SCCO2PCO3) into the polymer Cross-linking lessens the amount of free volume

in the polymer (photoresist) available for CO2 sorption This is not so difficult to

appreciate when we consider that cross-linked polymers also tend to be more

impervious to any type of solvent And with the increased difficulty in creating 49

this sorption of SCCO2 we also limit the amount of swelling which can be

effected upon the photoresist This mechanism promotes photoresist removal [12

13] We used the following experimental conditions to verify this fact The system

was pulsed three times between 1500 and 3500 PSI the co-solvent was injected at

1500 PSI and then the pressure was raised to 3500 PSI and it was retained at this

pressure for 30 minutes The chamber temperature was kept around 85degC

Table 53 Experimental conditions for correlating PR removal with cross-linking

Exp

1

Experimental conditions

21 PCO3 (058 ml per pulse)

Result

More residue was left in

the cross-linked

photoresist than the un

cross-linked photoresist

Figure 57-a shows the picture of stripped photoresist which was highly

cross-linked (X) and 57-b shows the picture of stripped photoresist which was

barely cross linked (O) Figures 57-c and 57-d shows the picture of the substrate

and substrate coated with photoresist respectively

50

Figure57 Photographs showing removal of cross-linked and uncross-linked PR

Since Figure 57-c and 57-d has no white spots I assume that the white

spots seen in Figure 57-a and 57-b are the residual remain of photoresist that has

not been removed We counted the total number of white points in figure a and

figure b and the results are summarized in Table 54

Table 54 - Results for correlating cross-linking and photoresist removal

Sample

Highly cross-linked photoresist

Barely cross-linked photoresist

Number of white points

111706

10470

From Table 54 it is evident that the number of white points in the cross-

linked photoresist after treatment is greater than the number of white points in the

barely cross-linked photoresist after treatment This indicates that more 51

photoresist residue is left over in the photoresist which was highly cross-linked

than the barely cross-linked counterpart

52-Treatment of low-k dielectric materials with SCC02

As deposited nanoporous organosilicate films are hydrophobic and

etching stripping and cleaning processes must be precisely tuned to keep the

material hydrophobic Plasma treatment of this low-k film removes methyl group

from the fihn and replaces it with polar silanol group If methyl groups are

removed the fihn becomes hydrophilic and thereby increasing k value and

making it subject to moisture contamination We tried to tteat the plasma-etched

nanoporous organosilicate films with supercritical carbon dioxide and a suitable

co-solvent so that the methyl groups lost during the plasma tteatment are

reinttoduced and the film becomes hydrophobic making it less susceptible to

moisture contamuiation Figure 58 explams the sequence of reaction

schematically

-

Si mdashCH3

Si-l-CH

M S S Q

imdashOH

Plasma mdash-^imdashOH

Treatment O

-Si -T-OH

Plasma damaged M S S Q

CH3 Y CH3

+ CH3-S-N-S1-CH3

-SimdashOH c k CH3

HMDS

Plasma damaged

MSSQ

CH

^ 1 - 0 mdash S 1 - C H 3

- CH3 bullOH

Treated MSSQ

Figure 58 Treatment of plasma damaged MSSQ with HMDS 52

The nanoporous organosilicate samples used in these experiments (Table

55) were provided by Tokyo Electron America The etching and ashing processes

damaged the dielectric properties of the nanoporous films These processes

removed the methyl group and replaced it with the highly polar silanol group

HMDS and TCMS dissolved in Supercritical CO2 (SCCO2) were used at several

temperatures and pressures to treat the damaged samples The goal of these

experiments was to determine if the methyl group could replace silanol group in

the film FTIR specttoscopy and contact angle measurements were used to

characterize these tteatments 2800 cm to 3000 cm band in the FTIR spectmm

which represents the CH-absorption band of the low-k film is used for

characterizing the CH content before and after tteatment

Table 55 TEL samples used in these experiments

Sample

1

2

3

4

5

Description

Etched and ashed

Undamaged

Undamaged

Etched and ashed

Etched and ashed

Substrate

P-type silicon

P-type silicon

P^-type silicon

P^-type sihcon

P-type silicon with extta under-layer of Si02 of 2OOA

Used for

FTIR amp Contact angle

FTIR amp Contact angle

Dielectric

Dielectric

FTIR and CV

Dielectric Constant

225 plusmn01

30 plusmn01

53

For the SCCO2HMDS treatment four experiments were performed In the

first experiment the required amount of HMDS was directly added to the vessel

The vessel was then pre-flushed as mentioned earlier After the last pre-flush the

vessel pressure was kept at 700 PSI and the vessel-heating process was started

When the vessel temperature reached 150degC the pressure was increased to 3000

PSI for 30 minutes The vessel was then post-flushed as mentioned before After

the last post-flush the vessel was depressurized to 700 PSI and it was left to cool

down to room temperature The vessel was then finally depressurized to

atmospheric pressure Figure 59 schematically represents the sequence of this

experiment This is the way our group tteated the low k samples from IBM All

these freatments on IBM samples were done in the chemical enghieering

department We wanted to verify whether this treatment would work on our

system m the Maddox lab We name this experiment as Treated old way

i

Pre ssure (p

si)

(

^OO psi

^

H]n)S fliiec Aildedtoves

Treated Old way

5000 i)si

1 30 minutes

l O (les C 1 sel

Time in minutes

S

0 mpere tu

re

a

0

Figure 59 SCCO2HMDS freatment of plasma damaged MSSQ witii HMDS - Treated old way

54

At 700 PSI CO2 is still a gas and as the temperature of the vessel is

increased the added HMDS starts to evaporate This fact led us to do the second

experiment where we repeated the same procedure as above with one major

difference In this experiment instead of leaving the vessel pressure at 700 PSI

during the vessel-heating process the pressure in the vessel was raised to

supercritical pressure (3000PSI) as the temperature reached 35degC This prevents

the HMDS from evaporating and only the SCCO2HMDS effects are the dominant

freatment mechanism The vessel temperature was then increased to 150degC After

30 minutes at this temperature the vessel was post-flushed and allowed to cool

down as mentioned above In this experiment we attempted to isolate the SCC02

tteatment from any possible HMDS vapor treatment In this experiment the

vessel was kept at supercritical presstire from start to end the pressure was

increased to 3000 PSI at 35degC to insure a supercritical state and to prevent HMDS

evaporation during the whole experiment Figure 510 schematically represents

the sequence of this experiment

i

Pressu

re (p

si)

k

SCCO2 HAroS Treatment

3000 psi

0 minutes I

150 (lee C

hO^l)

bull mpera tu

ra

Q Cft M

s I

nmgt^ directly Time in minutes

Adileltl to ressel

Figure 510 SCCO2HMDS treattnent of plasma damaged MSSQ with HMDS SCCO2 HMDS tteatment

55

In the third experiment the same procedure as in the first experiment was

repeated but the pressure was never raised beyond 700 PSI The vessel was then

heated to 150degC In this experiment the effects of HMDS vapor mixed in 700 PSI

CO2 were studied The post flushing was performed between 700 and 100 PSI in

this experiment Figure 511 schematically represents the sequence of this

experiment

C02 IDVroS Treatment

CD CA CO

c CD

bulla

00 psi

30 minutes

150 deg C

I

c

a

HMDS duectly Added to vessel

Time in minutes

Figure 511 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -CO2 HMDS treatment

Finally in the fourth experiment the vessel was used to perform a pure

HMDS vapor treatment at 150degC for 30 minutes The same pre-flushmg and post-

flushing procedure was used but no CO2 was used during the heating process

Figure 512 schematically represents the sequence of this experiment

56

HMDS ^al)or treatment

CO cgt CO

c CD

bull o CO

30 niiiiiites

15(f rlesr C

1

- bull

Q 9 9k

laquo ^

HMDS directly Added to vevsel

Time in minutes

Figure 512 SCCO2HMDS tteatment of plasma damaged MSSQ with HMDS -HMDS vapor tteatment

Figure 513 shows the effects of the four HMDS treatments on the CH

content of the damaged film (fihnl) This tteatment as usual mcreased the CH

content to the same level as the undamaged film but deeper understandmg for this

process is requfred to optimize the tteatment In the second experiment FTIR

analysis shows no increase in the CH content after the SCCO2 treattnent hi this

experiment no HMDS vapor was present The third and the fourth experiments

were designed to further study the heathig procedure used m the old experiments

In both experiments the CH content was recovered to same level as m the

undamaged film (exactiy as in the fnst experiment) The common factor in the

fnst third and fourth experiments is that the HMDS was allowed to evaporate

during the heating process hi the first experiment the HMDS was added dfrectiy

to the vessel then the vessel was pre-flushed with CO2 and it was left at 700 PSI

until the vessel was heated to 150degC During the heating process HMDS will 57

evaporate and this will cause a surface modification to of the sample After the

temperature reaches 150C the pressure was increased to 3000PSI to complete

the tteatment In the thfrd experiment the CO2 pressure was kept at 700PS1 until

the temperature of the vessel reached 150degC and it was left there for 30 minutes

In the fourth experiment the HMDS was added to the vessel and no CO2 was

used The temperature of the vessel was then increased to 150degC for 30 minutes

As can be seen from the FTIR analysis in Figure 513 these three experiments

were able to recover the CH content in the damaged film while the second

experiment where no HMDS vapor was allowed was not able to recover the CH

content These facts indicate that the HMDS vapor and not the SCCO2 is the

main factor in recovering the CH content of the damaged films

350 -

300 -

C 2 30 -

i t 200 ^ lt]gt o

Z bullbulli 100 -

o

lt ffi 50-J

0 -

-50

-U n d a m g e d

S C C 0 2 H M D S t r e a t e d ( e x p 2 )

T r e a t e d o l d w a y ( e x p P l )

-D a m a g e d

CO H M D S 7 0 O p s ( e x p 3 )

H M D S v a p o r in t h e

S C C 0 2 s y s t e m ( e x p 4 )

- r 1 r 2750 2800 2850 2900 2950 3000 3050

W a v e n u m b e r ( c m )

Figure 513 CH-absorption band of HMDS treated film 1

58

Table 56 Contact angle measurements of HMDS treated TEL films

Exp

1

2

3

4

5

6

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

Treated old way

SCC02 HMDS

HMDS vapor treatment

C02 HMDS at 700 PSI

Contact angle in degrees

89

28

80

102

101

105

Table 56 shows the results of contact angle measurement on these

samples before and after the HMDS tteatmentThe contact angle measurements

mdicate that the surface which was rendered hydrophihc by the plasma tteattnent

has been recovered and made hydrophobic by the SCCO2HMDS tteatment [19]

The recovery of the CH content in ttie HMDS vapor treatment can be attributed to

die formation of a thm layer on the surface of the damaged film Y S Mor etal

also assumed the formation of this layer [18] in thefr work on shnilar plasma

damage treatment

A second set of experiments was aimed at dying alternative chemicals for

this treattnent One atttactive choice was ttichloromethylsilane (TCMS) This

molecule has only one methyl group attached to Si in comparison to the three-

methyl groups in case of the HMDS Also the size of the TCMS molecule is

59

relatively smatier than that of the HMDS so it might be more efficient in

diffusing into the nanoporous organosilicate matrix

In one experiment after pre-flushing the vessel 10 TCMS [Appendix B]

was used in two-steps pulsed SCC02 treatment In the first step three pulses

(1500 -7000 PSI) for 30 min each at 70degC were used to treat the damaged

samples In the second step the temperature was increased to 160degC and then

similar pulsing procedure as in the first step was applied at this temperature

Post-flushing depressurization and cooling down were done in the same way as

in the SCC02HMDS experiments Figure 514 schematically represents the

sequence of this experiment

2 step pulsing with TCMS

CD CO

CD

CO

000 psi

30 minutes

lez Time in minutes

Injection of TCMS

Figure 514 2 step pulsing with TCMS

hi another experiment only the 160degC pulsing treatment (see the previous

experiment description) was done This experiment was performed to differentiate

between the effects of the high-temperature and the low-temperattire effects on

this treatment Table 57 gives the results of contact angle measurement on these

60

samples before and after the TCMS treatment Figure 515 schematically

represents the sequence of this experiment

Time in mimites Injection of TCv IS

Figure 515 1 step pulsmg with TCMS

Figure 516 shows die effects of the SCCO2TCMS tteatment on the

damaged film (flhnl) on CH and Si-CHn bands As it can be seen clearly from

this flgure the pulsed tteatment was successful to recover and add to the CH

content of the damaged films The inset of this figure also shows the increase in

the Si-CHs content of this fihn which is consistent with the increase of the CH

content

61

Q 2 0 0 -

o

t t a p p u l laquo l n g vIHi T C M S i lt p laquo l 1

- 1 11raquop p u l l i n g VI t i l T C M S IS s p S i I

- U n - d a m a g e d

2 S D ZSOCI raquoS ( I

bull M bullbulllaquobull n um b 9 r fo m i

Figure 516CH Absorption band of TCMS tteated Fihn 1

Table 57 Contact angle measurements of TCMS tteated TEL films

Exp

1

2

3

4

Treatment

TEL Sample undamaged

(As given)

TEL Sample (Plasma

etched)

2 step pulsing with

TCMS

1 step pulsing with

TCMS

Contact angle in degrees

89

28

91

82

Table 57 gives the results of contact angle measurement on these samples

before and after the TCMS treatment

62

J

Film 1 tteated with TCMS Magnified Image

Figure 517 SEM micrographs for filml treated with TCMS

SEM nucrograph of fihnl after the SCCO2TCMS tteatment is shown in

Figure 517 This figure shows that the SCCO2TCMS treattnent left a deposit on

the surfaces of the treated fihns The formation of deposits explains the enhanced

CH and Si-CHa intensities The deposit formation was verified by taking multiple

SEM micrographs for each sample as can be seen in Figure 517 In addition to

the deposit formation TCMS is also very corrosive and it is extremely reactive

with water These facts led us to abandon usmg ttiis material as an alternative for

the HMDS

The SCCO2HMDS treatment used earlier to treat the MSQl (JSR4) and

MS02 (JSR6) is in fact HMDS vapor treatment The set of experiments expl

through exp4 discussed above indicates clearly that the HMDS vapor is the

only factor that causes the increase of the methyl concenttation in the treated

63

films For the SCCO2TCMS tteatment deposits formed on the film surface

These deposits enhance the methyl concentration considerably The OH content

of these films reduced Due to the corrosive nature and the high water reactivity

of the TCMS this material was abandoned

64

CHAPTER VI

CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

Supercritical fluids are receiving wide attention in manufacturing

processes as cleaning solvents and reaction media The use of supercritical fluids

for the exttaction of organic compounds has been a commercial process for many

years In this work we have investigated the use of supercritical CO2 and co-

solvents to remove photoresist from silicon substrate and to cure the plasma

induced damage in low-k dielectric films

Results of photoresist removal experiments indicate significant promise in

the use of supercritical fluids for replacement of organic liquid solvents removing

photoresist from silicon substrate We were able to remove photoresist from

silicon subsfrate by sung 3 pulses of 5 propylene carbonate (142 ml per pulse)

and 1 pulse of 5 acetone (214 ml) This accounts to 64 ml of co-solvents this

is much lesser than that used by the industry for removing photoresist an

photoresist residue from the sihcon subsfrate

Due to the attractive physical properties of SCCO2 it was used as a carrier

for HMDS and TCMS to cure the plasma-damaged porous low-k films The

HMDS treatment turned out to be a vapor tteatment and it was found that HMDS

vapor was indeed responsible for the recovery of methyl content in the plasma

damaged porous low k films In the SCC02TCMS treatment deposfts formed on

the film surface These deposits enhance the methyl concenttation considerably

The OH content of these films reduced TCMS was found to be extremely

65

reactive with water and extremely corrosive Due to the corrosive nature and the

high water reactivity of the TCMS this material was abandoned

61-Future Work

Pulsed SCCO2 co-solvent treatment for removing photoresist from silicon

subsfrate needs to be optimized The process temperature and pressure needs to be

optimized to the lowest possible values to make the process more practical for

industry The conttol of all the valves needs to be changed from manual control to

computer confrol where a better control can be achieved for the pressurization

and depressurization process A recycling system for recycling the CO2 needs to

be designed by doing so I believe tat we can reuse the CO2 for at least five or six

times and there saving some money

66

REFERENCES

1 Maximilian A Biberger amp Paul Schilling Supercritical Systems Inc Fremont CA USA Don Frye amp Michael E Mills The Dow Chemical Company Midland MI USA Photoresist and Photoresist Residue Removal with Supercritical CO2 (Journals Edition 12 Semiconductor Fabtech Published July 2000)

2 Brian D Knutson Risk Control MS The use of Supercritical CO2 based solvents as a cost effective and environmentally sound alternative to current photoresist stripping solvents ND

3 International Technology Roadmap for Semiconductors 1999 roadmap (httppublicittsnet^

4 Spall WD Supercritical carbon dioxide precision cleaning for solvent and waste reduction International J Environmentally conscious design and manufacture 281 (1993)

5 Hsinwei Chou and Shengkai Chiu Cross talk reduction and tolerance in deep sub-micron intercormects Dept of ECE University of Wisconsin Madison ND

6 Trends in wafer cleaning - Ruth DeJule Associate Editor mdash Semiconductor International 811998 (httpwww^e-insitenetsemi conductorindexasplayout=articleamparticleid=CAl 63977)

7 Toshihiko Tanaka Mitsuaki Morigami Nobufumi Atoda SORTEC Corporation 16-1 Wadai Tsukuba Ibaraki 300-42 Japan Mechanism of Resist Pattem collapse ND

8 Steven A Campbell The Science and Engineering of Microelectronic Fabrication Second Edition (Oxford series in Electrical Engineering) Chapter 8

9 R R Dammel Diazonaphthoquinone Based Photoresists SPIE Optical Eng Press Bellingham WA 1993

10 Tony Clifford Fundamentals of Supercritical Fluids Oxford University Press Oxford 1998

11 Laurie L Williams Removal of Polymer Coating with Supercritical Carbon Dioxide Doctoral dissertation Dept of Mechanical Engineering Colorado State University Fall 2001

67

12 J Rubin LB Davenhall J Barton CMV Taylor and K Tiefert A comparison of chilled DI water Ozone and C02 - Based Supercritical fluids as replacements for photoresist - stiipping solvents lEEECMPT international electtonics manufacturing technology symposium 1998

13 L T Taylor Supercritical Fluid Extraction Wiley New York (1996)

14 Edward M Russick Carol L J Adkins and Christopher W Dyck Super critical carbon dioxide extraction of solvent from micro machined structures Supercritical Fluids Extraction and Pollution Prevention Edited by Martin A Abraham and Aydin A Sunol oxford university press 1997

15 Haskel booster pump httpwwM^haskelcom

16 Jasco liquid injection pump httpwv^wjascoinccom

17 Metricon prism coupler httpv^^wwmetriconcom

18 Y S Mor et al Effective repafr to ultra-low-k dielectric material (k~2) by Hexamethyldisilazane tteatment J Vacuum Science technology B 20(4) JulyAug 2002

19 RS Ward Y Tian Z Chen and G Somorjai Environmentally Induced Surface Rearrangement of Polyurethanes using SFG AFM XPS and Contact Angle Goniometry 25th Annual Meeting of the Society for Biomaterials April 29 - May 1 1999 Providence Rhode Island USA

20 httpwwwwoostereduchemistrvisbrubakerdefaulthtm

21 Bashar I Lahlouh Plasma-Enhanced Chemical Vapor Deposition of Low Dielectric Constant Materials Doctoral dissertation of Submitted to Texas Tech University Spring 2003

22 B Smith Infrared Spectral interpretation CRC Press Boca Raton FL

1998

23 Theory of FTIR Specfroscopy Temet Instruments Oy - Pulttitie Helsinki Finland (httpwwwgasmetfiTechnical DataTheory ofFT-IP_gpgrtroscopvPDF)

24 E Meloan Elementaiy Infrared Spectroscopy The Macmillan Company New York 1963

75 bttpsairontechcom

76 wwwhghtutorontocatsargentecel465sllbppt

68

APPENDIX A

PREPARATION OF PIRANHA SOLUTION

A piranha solution is used to remove organic residues from substrates

Piranha solution is a 51 mixture of concentrated sulfuric acid (H2SO4) with

hydrogen peroxide (H2O2) Piranha solutions are extremely energetic and may

result in explosion or skin bums if not handled with extreme caution When

preparing the pfranha solution its advisable to always add the hydrogen peroxide

to the sulfuric acid Piranha solution is very energetic and can explode if it reacts

with organic compounds like acetone It is very likely to become hot more than

100 degrees C When preparing piranha solution we should follow the safety

precautions such as wearing goggles to protect our eyes and gloves to protect our

hands

69

APPENDIX B

CALCULATION OF AMOUNT OF CO-SOLVENT

An ideal gas is defined as one in which all collisions between atoms or

molecules are perfectiy elastic and in which there are no intermolecular attractive

forces One can visualize it as a collection of perfectiy hard spheres which collide

but which otherwise do not interact with each other An ideal gas can be

characterized by three state variables absolute pressure (P) volume (V) and

absolute temperature (T) The relationship between them may be deduced from

kinetic theory and is called the ideal gas law

PV^nRT Equation (Bl)

where n = the number of moles of the gas m consideration

R = the universal gas constant = 8315 Joules mole Kelvin

P = the pressure of the gas

V = the volume occupied by the gas

T = the temperature of the gas in Kelvin

Johannes Van der Waals was interested in the kinetic theory of gases and

fluids and his primary work was to develop an equation which apphed to real

gases unlike that of ideal gas which assumes that there are no attractive forces

between molecule and that molecules have zero volume In reality molecules

have a small volume and atttactive forces exist between them Van der Waals

70

introduced these properties into the theory by means of two constants which were

specific to each gas Van der Waals law states that

P + a[mdashf [V - nb] = nRT Equation (B2)

Where a and b are constant for a particular gas For Carbon dioxide a=

0365 (J mVmole^) and b = 427x10^ (mVmole) Van der Waals was awarded a

Nobel Prize in 1910 for his work on the equation of state of gases and hquids The

weak electtostatic attractions between atoms were named Van der Waals forces

in his honor

In this work to calculate the amount of co-solvent required to be added I

used the Van der Waals equation of state [equation B2] If we plug in the values

for pressure temperature volume and R a and b beuig constants the Van der

Waals equation becomes a cubic equation with n (number of moles of CO2 m this

case) as a variable to be solved This equation can be solved for n A mole is the

quantity of a substance whose weight fri grams in equal to the molecular weight of

the substance

So when we get the number of moles of CO2 if we multiply that by the

molecular weight of CO2 we will be able to get the amount of CO2 in grams This

is the amount of CO2 hi the high-pressure vessel at a give pressure and

temperature Let Y be the percent of co-solvent required be added The amount of

co-solvent in grams will be given by

[amount of CO in grams] Amount of co-solvent = Yx^ ^ grams

71

Amount of co - solvent (grams) The amount of co-solvents m (ml) -

Density of co - solvent (gramscm^)

72

PERMISSION TO COPY

In presenting this thesis in partial fulfillment of the requfrements for a

masters degree at Texas Tech University or Texas Tech University Health Sciences

Center I agree that the Library and my major department shall make it freely

available for research purposes Permission to copy this thesis for scholarly purposes

may be granted by the Dfrector of the Library or my major professor It is

understood that any copying or publication of this thesis for financial gain shall not

be allowed without my further written permission and that any user may be liable for

copyright infringement

Page 24: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 25: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 26: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 27: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 28: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 29: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 30: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 31: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 32: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 33: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 34: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 35: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 36: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 37: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 38: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 39: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 40: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 41: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 42: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 43: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 44: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 45: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 46: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 47: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 48: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 49: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 50: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 51: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 52: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 53: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 54: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 55: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 56: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 57: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 58: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 59: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 60: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 61: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 62: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 63: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 64: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 65: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 66: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 67: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 68: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 69: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 70: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 71: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 72: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 73: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 74: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 75: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 76: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 77: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 78: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …
Page 79: SUPERCRITICAL CARBON DIOXIDE TREATMENT OF …