3
Summer 2003 Yield Management Solutions 62 Product News TeraScan™ DUV Reticle Inspection System TeraScan system is the first deep ultraviolet (DUV) reticle inspection tool developed for sub-90-nm IC production. TeraScan combines a new high-speed DUV image acquisition system with KLA-Tencor’s proven Tera™ advanced defect detection algorithms. The DUV image acquisition provides high- resolution inspection for advanced reticles, while optimizing signal-to-noise for low false defects, maximizing the capability for fast inspection throughput — and — delivering a significant increase in pattern inspection sensitivity. TeraScan has demonstrated the capability to inspect numerous reticle types, including chrome-on-glass, embedded phase shift, and alternating phase shift, at both 248-nm and 193-nm wavelengths. AccuFilm in-situ AMC Control Solution AccuFilm, an option on KLA-Tencor’s SpectraFx 100 film metrology sys- tem, enables measurements on advanced, ultra-thin <20Å gate films for the 90-nm node and below. AccuFilm eliminates the effects of airborne molec- ular contamination (AMC) from gate product wafer measurements by delivering a near instantaneous clean locally in the measurement area. AccuFilm has two components: A single wavelength self-calibrating ellip- someter (SWE), which delivers ultra-stable measurements; and iDesorber, an integrated cleaning source that cleans the measurement site, eliminating AMC, so the SWE can measure it immediately afterwards, within two sec- onds of clean. AccuFilm cleans and measures in the scribe lines, enabling measurement on product wafers as well as monitor wafers. It significantly shortens time to information, reducing work in process (WIP) risk for the critical gate process, and quickly detects gate thickness and non-uniformity excursions. AccuFilm relieves the pressure on fab wafer flow caused by con- straints on queue times before measurement (required in advanced logic fabs) to avoid the effects of AMC on gate dielectric measurement. MPX Focus/Exposure Line Monitor MPX provides an innovative methodology for monitoring photo excursions of focus and exposure on production wafers, enabling lithographers to meet the new control requirements for stepper defocus and exposure variations in sub-130-nm IC production. With focus error alone accounting for as much as 50 percent of the critical dimension (CD) variation in new processes and devices at 130 nm and below, having the ability to monitor focus-exposure variations inline is critical to maintaining tight CD control at these advanced design rules. MPX, an option on the Archer series advanced overlay metrology systems, capitalizes on the unique dual-tone target capability of separating exposure from focus for more accurate process monitoring while providing real-time focus and exposure metrology. Because of robust high resolution Archer imaging, MPX technology is capable of detecting focus (<50 nm) and dose (<1 percent) deviations on product wafers. Stepper productivity is increased by eliminating the need for test wafer monitoring.

Summer03 product news

Embed Size (px)

DESCRIPTION

 

Citation preview

Page 1: Summer03 product news

Summer 2003 Yield Management Solutions62

Product NewsTeraScan™ DUV Reticle Inspection SystemTeraScan system is the first deep ultraviolet (DUV) reticle inspection tooldeveloped for sub-90-nm IC production. TeraScan combines a new high-speedDUV image acquisition system with KLA-Te n c o r’s proven Tera™ advanceddefect detection algorithms. The DUV image acquisition provides high-resolution inspection for advanced reticles, while optimizing signal-to-noisefor low false defects, maximizing the capability for fast inspection thro u g h p u t— and — delivering a significant increase in pattern inspection sensitivity.TeraScan has demonstrated the capability to inspect numerous reticle types,including chrome-on-glass, embedded phase shift, and alternating phaseshift, at both 248-nm and 193-nm wavelengths.

AccuFilm in-situ AMC Control Solution AccuFilm, an option on KLA-Tencor’s SpectraFx 100 film metrology sys-tem, enables measurements on advanced, ultra-thin <20Å gate films for the90-nm node and below. AccuFilm eliminates the effects of airborne molec-ular contamination (AMC) from gate product wafer measurements bydelivering a near instantaneous clean locally in the measurement area.AccuFilm has two components: A single wavelength self-calibrating ellip-someter (SWE), which delivers ultra-stable measurements; and iDesorber,an integrated cleaning source that cleans the measurement site, eliminatingAMC, so the SWE can measure it immediately afterwards, within two sec-onds of clean. AccuFilm cleans and measures in the scribe lines, enablingmeasurement on product wafers as well as monitor wafers. It significantlyshortens time to information, reducing work in process (WIP) risk for thecritical gate process, and quickly detects gate thickness and non-uniformityexcursions. AccuFilm relieves the pressure on fab wafer flow caused by con-straints on queue times before measurement (required in advanced logicfabs) to avoid the effects of AMC on gate dielectric measurement.

MPX Focus/Exposure Line MonitorMPX provides an innovative methodology for monitoring photo excursionsof focus and exposure on production wafers, enabling lithographers to meetthe new control requirements for stepper defocus and exposure variations insub-130-nm IC production. With focus error alone accounting for as muchas 50 percent of the critical dimension (CD) variation in new processes anddevices at 130 nm and below, having the ability to monitor focus-exposurevariations inline is critical to maintaining tight CD control at these advanceddesign rules. MPX, an option on the Archer series advanced overlay metro l o g ysystems, capitalizes on the unique dual-tone target capability of separatingexposure from focus for more accurate process monitoring while providingreal-time focus and exposure metrology. Because of robust high resolutionA rcher imaging, MPX technology is capable of detecting focus (<50 nm) anddose (<1 percent) deviations on product wafers. Stepper productivity isincreased by eliminating the need for test wafer monitoring.

Page 2: Summer03 product news

Summer 2003 Yield Management Solutions 63

Electrica l line monitoring allowed TI DMOS6 to re d u c e

the magnitude and frequency of open-via e x c u r s i o n s .

The reduction in metal 2 open-via defect density corre-

sponds to a 15-20 percent yield impro v e m e n t .

Reference: Soucek, M., et al., "Electrical Line Monitoring ina Cu Fab," Semiconductor International, July 2003.

F i g u re 1. The via contamination defect

re q u i res line monitoring by an e-beam

inspection system in pro d u c t i o n .

E-beam inspection enabled a major

Japanese logic manufacturer to ramp

their 90-nm process about 25 perc e n t

faster than their 130-nm process ramp.

R e f e rence: Mizuta, et al., KLA-Tencor Yield Management Seminar, Japan, Dec. 2002.

eS30 Benefits• More than 2x improvement

in throughput*

• More than 2x improvementin sensitivity and re s o l u t io n *

• Benchmark sensitivity for65 nm and beyond

• Rapid identification ofyield-relevant defects inline

• E n h a nced capture of voltagecontrast defects usinge-Control™

• E x t e nded optics for ma x i mu msensitivity

• Improved review resolutiondown to 20 nm

*compared to the eS20XP

eS30: Electrical Line Monitoring for sub-130 nm High Volume Production

TIME

The combination of smaller process windows, new materials and more c o m-plicated process integration at and below the 130-nm node has given rise t o anew an d ch a l l e n g i n g cl a s s of de f e c t s that lead to significant yield and re l i a b i l i t yissues. These defects — extre m e l y small in size sub-surface or buried, andwithin filled and unfilled high aspect ratio structures (Figure 1) — are pre-dominantly electrical in nature, and cannot be detected using conventionalinspection technologies.

For previous-generation processes, e-beam inspection has proven useful inidentifying and sourcing these y i e l d - relevant defects during integrated c i rc u i t(IC) development. To d a y, however, many of the problems uncovered in devel-opment are found to persist in volume production, costing millions of dollarsper excursion. Overcoming this new challenge re q u i res dedicated, high-speede-beam inspection at every key process step — true electrical line monitoring.

K L A - Tencor has filled in this critical gap in process control with its new eS30e-beam inspection system — the industry ’s fastest, most sensitive and easiest-to-use electrical line monitoring solution. Overc o m i n g the throughput andcost-of-ownership (CoO) hurdles that have traditionally interf e red with theadoption of e-beam inspection on the production flo o r, the eS30 has become asystem of choice for all phases of the IC technology life cycle — deveopment,ramp and production. Leveraging the eS30, device manufacturers can adopt andp roliferate cost-effective electrical defect monitoring in production to meet theirtime-to-market goals, while reaping substantial gains in yield and pro fit a b i l i t y.

eS30

Page 3: Summer03 product news

©2003 KLA-Tencor Corporation

Accelerating Yield®

Learn how one fab used eLM to improve yield by 15-20%

in one layer alone. Visit www.kla-tencor.com/eLM

Don’t miss the e-Line express.

Introducing

eS30Electrical Line Monitoring.

Defects occurring within unfilled and

filled contacts and vias are among the

biggest barriers to production success

at 130 nm and below. And the only

way to detect them is with dedicated,

high-speed electrical line monitoring

at every key process step. Introducing

the eS30—the industry’s fastest e-beam

inspection system. With more than 2x

throughput and sensitivity improve-

ments, and the ability to rapidly trend

by defect type, the eS30 meets the

production requirements for electrical

line monitoring. And it maintains the

engineering analysis capabilities you

need for development and ramp. All

in an easy to use, single platform

solution. Next stop: eS30. The fastest

way to improve and protect your yield.