5
Strategies Toward Sub-0.25 µm Lithography Bruce Smith discusses various issues relating to sub-0.25 μm lithography, including source wavelength, illumination, masking, and materials. Left: Simulated aerial images of 0.14 μm features through 0.5 μm of focal depth using a 193 nm exposure wavelength and modified illumination. By Bruce W. Smith Despite predictions of its demise, optical lithography has been a principal force behind improvements to integrated circuits (ICs). 1 Feature resolu- tion down to 0.35 μm is now routine using the 365 nm Hg i-line wave- length and optical projection tools operating at numerical apertures above 0.55 with aberration levels below 0.05 RMS OPD. The industry is now at a point where resolution is limited for current optical lithographic technologies. To extend capabilities for the next millennium toward sub-0.25 μm, modifications in source wavelength, optics, illumination, masking, and process technology are required, and are getting a tremendous amount of attention. But achieving sub-0.25 μm lithography now requires reliance on methods not Optics & Photonics News/March 1997 23 1047-6938/97/3/0023/05-$0010.00 ©OpticalSociety of America

Strategies Toward Sub-025 μm Lithography

  • Upload
    bruce-w

  • View
    213

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Strategies Toward Sub-025 μm Lithography

Strategies

Toward

Sub-0.25 µm

Lithography

Bruce Smith

discusses various

issues relating to

sub-0.25 μm

lithography,

including source

wavelength,

illumination,

masking, and

materials.

Left: Simulated aerial images of 0.14 μm features through 0.5 μm of focal depth using a 193 nm exposure wavelength and modified illumination.

By Bruce W. Smith Despite predictions of its demise, optical lithography has been a principal force behind improvements to integrated circuits (ICs).1 Feature resolu­tion down to 0.35 μm is now routine using the 365 nm Hg i-line wave­length and optical projection tools operating at numerical apertures above

0.55 with aberration levels below 0.05 RMS OPD. The industry is now at a point where resolution is limited for current optical lithographic technologies. To extend capabilities for the next millennium toward sub-0.25 μm, modifications in source wavelength, optics, illumination, masking, and process technology are required, and are getting a tremendous amount of attention.

But achieving sub-0.25 μm lithography now requires reliance on methods not

Optics & Photonics News/March 1997 23 1047-6938/97/3/0023/05-$0010.00 © Optical Society of America

Page 2: Strategies Toward Sub-025 μm Lithography

purely optical. Historically, the Rayleigh criteria for res­olution and depth of focus has been used to evaluate the performance of a given technology. As wavelength is decreased and numerical aperture (NA) is increased, resolution capability improves. By considering the wave­length-linear and NA-quadratic loss in focal depth, rea­sonable estimates can be made for system performance. Such simple methods of performance evaluation do not, however, do an adequate job of describing the influ­ences and interdependencies of such things as photore­sist materials, mask properties, or illumination. Those working in the field must consider innovations in litho­graphic systems, materials, and processes that can allow for production worthy improvements in resolution, focal depth, field size, and process performance.

Moving toward shorter wavelengths The mercury-rare gas discharge lamp is a source well suited for photolithography and is relied on almost entirely for production of radiation between 350 and 450 nm. Since output below 365 nm is weak, other sources have been used for shorter wavelength exposure. Although several laser sources have the potential to deliver high power deep ultraviolet (DUV) radiation for photoresist exposure, the rare-gas halogen excimer has been accepted as the most viable source for IC produc­tion. Other possible sources include excimer lasers using argon fluoride (ArF) and krypton fluoride (KrF), pro­ducing radiation at 193 nm and 248 nm, respectively. DUV lithography at 248 nm is now being incorporated into manufacturing operations and is capable of resolu­tion at least to 0.25 μm and with optical enhancement down to 0.18 μm. The road has not been short to this point, however, and continual progress with i-line tech­nologies has helped push optical lithographic capabili­ties in general. The excimer laser has matured enough to be robust for manufacturing applications. Lens designs have evolved that either make use of mostly reflective elements (catadioptric) or refractive elements with a spectrally narrowed laser (< 2 pm). At first, it may seem that the transition from the 248 nm KrF excimer to the 193 nm ArF excimer would be straightforward. If source wavelength were the only issue, this might be the case.

A larger issue requiring much more thought is that of optical materials. The use of a high power source operat­ing near 200 nm adds to the already difficult task of designing high NA large-field projection optics. Transmission, homogeneity, and surface quality are all issues related to the fused silica or crystalline fluoride materials required for such short wavelength lenses. A larger concern is irradiance-induced material damage that occurs in fused silica at this wavelength and the resulting compaction and color center formation.2 As these issues are addressed, it is likely that lithography at 193 nm will allow resolution to 0.18 μm and possibly to 0.13 μm with enhancement techniques. This will carry IC development technology into the next century. The path beyond these two wavelength generations, however, is uncertain.

Developing 248 nm and 193 nm lithography has required a significant amount of work, but the technolo­

gies are similar enough to their predecessors to allow the industry to tap into a tremendous knowledge base. The lithographic generation beyond 193 nm will likely be a sig­nificant departure from what can be considered "optical" microlithography. There are excimer wavelengths below 193 nm that can be pursued, specifically the F2 laser at 157 nm and the Ar2 lamp at 126 nm. Some efforts have started at 157 nm, but 126 nm is for the most part unex­plored. Operation in this vacuum UV region places tremendous limits on optical and photoresist materials for an arguably small improvement in resolution perfor­mance. The costs involved with developing entirely new concepts for masks, sources, and optical systems may be prohibitive for a technology that may potentially only allow for a one generation progression in IC technology. One successor to 193 nm lithography may instead be 1:1 x-ray lithography, under development for over 20 years, but with inherent problems in unity magnification. Another approach may be projection x-ray (EUV) at 13 nm. The advantage of projection EUV lithography at 13 nm using laser generated x-rays is the tremendous reso­lution potential, suggesting lithography toward the sub-0.10 μm regime. Several challenges do exist for this tech­nology, however. X-ray reflective technology may require between three and eight multilayer mirrors along with a reflective mask.3,4 The reflection efficiency of current mir­ror designs is only about 65%, leading to extremely low throughput. Reflector fabrication is also very expensive and aspheric surfaces are required for systems containing less than six or seven elements. Additional problems include irradiation damage, absorbancy, and surface conta­mination at soft x-ray wavelengths. Other possible litho­graphic strategies are being explored as alternatives to opti­cal and x-ray/EUV technologies. These include ion projec­tion lithography (IPL), where 150 keV hydrogen ions are projected through a stencil mask,5 and projection elec­tron beam lithography.6

Optimized illumination approaches The control of partial coherence has historically been used to optimize the performance of a lithographic pro­jection tool. This is accomplished through specification of the condenser lens pupil size with respect to the pro­jection lens pupil in a Köhler illumination system. Essentially this allows for manipulation of the optical processing of diffraction information. An off-axis illu­mination (OAI) system can be further refined by con­sidering illumination apertures that are not necessarily circular. Figure 1 illustrates a mask object that is .illumi­nated obliquely so that the fundamental and first dif­fraction orders coincide and are distributed symmetri­cally in the projection lens pupil on either side of the lens optical axis. A doubling effect in resolution results as features with diffraction orders corresponding now to twice the lens NA can be imaged.

The most significant impact of off axis illumination is realized when considering focal depth. In this case, the fundamental and first diffraction orders now are cap­tured in identical radial positions in the projection lens pupil and travel an identical path length regardless of the

Optics & Photonics News/March 1997 24

Page 3: Strategies Toward Sub-025 μm Lithography

defocus amount. The consequence is a depth of focus that is effectively infinite. To affect features oriented along any direction in an x-y plane, an annular or ring aperture has been used, which delivers illumination at all angles as shown in Figure 2a. For most integrated circuit applica­tion, features are limited to horizontal and vertical orien­tation and a quadrupole configuration as that shown in Figure 2b may be more suitable. Poles are now only at diagonal positions with respect to horizontal and vertical mask features and each pole is off axis to all mask features. Several com­mercial imaging tools have become available with OAI.7

By considering dense grating type mask features, optical analysis of off-axis and conventional illumina­tion can be quite straight­forward. Isolated line per­formance, however, is mini­mally affected by off-axis illumination. When both dense and isolated features are considered together, a feature size bias or proximi­ty effect will be introduced. Several off-axis illumination schemes have been proposed by which the modulation of non-periodic features is improved.8 The addition of small auxiliary patterns can be added close to an isolat­ed feature to allow for the required interference effects. By adding features below the resolution cut-off of an imaging system and placing them at optimal distances, peak amplitude can be improved. Another modification of off-axis illumination has been introduced that modi­

fies the illumination beam profile.9 This technique fills the condenser lens pupil with weak quadrupoles, where energy is distributed within and between poles allowing for better control of depth of focus (DOF) and proximi­ty effect for a variety of feature types.

Innovative masking Control of the phase information at a mask may allow

for additional manipula­tion of imaging perfor­mance.10 Phase-shift masking (PSM) uses con­structive and destructive interference to improve both resolution and focal depth for a variety of fea­ture types. For dense fea­tures, a transparent phase shifter added to or sub­tracted from alternating mask openings doubles resolution by decreasing the mask and wafer elec­tric field frequency. A lens acting on this diffracted mask information has a 50% decrease in the NA

requirements. Phase-shift masking using such an alter­nating shifter approach can also result in reduced sensi­tivity to defocus and other aberrations, but is limited to dense grating type mask features.

Variations in the alternating phase-shift mask enable use with non-repetitive structures. Figures 3a-3d show several approaches in which phase-shifting structures are applied at or near the edge of isolated features. These techniques do not offer the doubling resolution improve­

Figure 1. Off-axis illumination, where a mask object is illuminated so that zero- and first-diffraction orders coincide in the lens pupil.

Figure 2. Annular (a) and quadrupole (b) off-axis illumination schemes.

Figure 3. Phase-shift mask approaches: (a) etched outriggers, (b) additive rim shifters, (c) etched rim shifters, (d) phase edge,

and (e) attenuated phase shift.

Optics & Photonics News/March 1997 25

Page 4: Strategies Toward Sub-025 μm Lithography

ment of the alternating approach, but do produce a zero in minimum intensity at the wafer due to a phase transi­tion at feature edges. Shadow regions can then be trans­ferred to photoresist with minimum exposure. The required shifter thicknesses for a π phase shift for any of these approaches at 365,248, and 193 nm wavelengths in fused silica are 3720, 2470, and 1850 Å, respectively. Depending on the mask fabrication technique, this may limit the manufacturability of these types of phase-shift masks for short UV wavelength exposures. To control phase-shifting to within ± 5°, 193 nm phase shifter thick­

ness must be held to within 50 A in fused silica. If etching techniques cannot oper­ate within this tolerance level over large mask substrates, phase-shift masks for IC production may be limited to longer wavelengths.

Each of these phase-shift masking approaches also requires some level of added mask and process complexity and none of these techniques can be used universally for all feature sizes and shapes. An approach that minimizes mask design and fabrication complexity may gain the greatest acceptance. An attenuated phase-shift mask (APSM) may be such an approach. In this tech­nique, conventional opaque areas on a binary mask are replaced with partially transmitting regions (5-15%) that pro­

duce a π phase shift with respect to clear regions as shown in Figure 3e.11 Recent work in areas of attenuat­ed phase-shift masking has demonstrated both resolu­tion and focal depth improvement for a variety of fea­ture types.1 2 , 1 3 As such technologies are considered for IC mask fabrication, practical materials that can satisfy both the 180° phase-shift and the required transmit­tance at wavelengths to 193 nm are also being investigat­ed.14

IC lithography requires imaging of dense and isolat­ed features in two dimensions at diffraction-limited res­olution. Fundamental nonlinearities in imaging and

photoresist systems, as well as the loss of high-order fre­quency terms, result in object to image pattern distor­tion. By characterizing the optical and chemical process­es involved in resist patterning, image degradation can be predicted. If the degradation process is understood, small feature biases can be introduced to account for losses. This predistortion technique is often referred to as optical proximity compensation (OPC). This tech­nique is not a true correction because it doesn't account for lost diffraction detail. Mask biasing for simple shapes can be accomplished with an iterative approach, but complex geometry or large fields likely require rule-based computation schemes.15 Figure 4a shows a simple two-dimensional mask pattern and the resulting image. Feature rounding is evident at both inside and outside corners. Figure 4b shows a biased version of the same simple pattern and resulting simulated aerial image. Comparisons of the two images depict the improvement realized with such correction schemes. Unlike other methods, these techniques are relatively inexpensive to implement.

Aberrations and tolerances To establish aberration tolerances, photoresist require­ments as well as process specifications need to be consid­ered. For a reasonably good photoresist process, a bal­anced aberration level of 0.05 λ OPD may be acceptable.16 As shorter wavelength technology is pur­sued, resist and process demands may require that aber­ration tolerance levels also be reduced. When imaging into photoresist materials, the photoresist layer itself can impact the evaluation of aberrations. Figure 5 shows the effects of imaging in positive photoresist with various levels of spherical aberration. Shown are plots of pho­toresist feature width as a function of focal position and exposure. The greatest symmetry for positive resist is produced with a small amount of negative spherical aberration. Feature linearity can also be influenced by spherical aberration.

Resist materials and processes For many years, conventional optical resists based on

Diazonapthoquinone (DNQ) No­volac chemistry have been used for IC microlithography. As exposure wavelengths below 300 nm are pur­sued, however, these resists absorb too heavily to allow for sufficiently thick layers. The development of 248 nm DUV lithography has required that a new class of chemically ampli­fied resists (CAR) based on protected poly(vinly phenol) be developed. The transmission window of this resin is sufficiently high at 248 nm but very low at 193 nm. Resist materials for 193 nm will likely be based on methacrylate resins but a good deal of work is needed before they are ready for production. Because the IC

Figure 4. Predistorted optical proximity compensation (OPC) technique to improve image

fidelity: (a) no correction (b) with correction.

Table 1. Potential lithographic strategies for resolution to 0.10 μm.

Optics & Photonics News/March 1997 26

Page 5: Strategies Toward Sub-025 μm Lithography

industry cannot afford to wait too long for the development of a new resist system, alternative approaches are being pursued. These include techniques that make use of thin top surface imaging (TSI) of a resist material and incorporate inorganic materials to produce dry etch selectivity for pattern transfer. As sub-193 nm wavelengths are developed, the resist issue will again need to be addressed.

Other image plane substrate issues include substrate reflectivity. Any unsuppressed reflection at the resist or substrate surfaces will ultimately result in the loss of focal depth and resolution. Antireflective coatings (ARC) for both top and bottom resist surface application are being developed and routinely implemented into manufacturing. In addition, any process step that can reduce the required focal depth will also boost the capability of any lithographic technique. Through chemical mechanical polishing (CMP), silicon wafer substrates are planarized during IC fabrication. A reduced DOF requirement allows for higher NA optical systems (approaching 0.75), thinner photoresist materials, and higher throughput.

The future Table 1 summarizes the potential resolution of various lithographic strategies. It is generally agreed that optical lithography has not run out of steam and will continue to be the work horse for IC fabrica­tion well into the next decade.

If optical techniques (those that can still use refractive optical components) can allow for resolution to 0.13 μm, there will be a fur­ther delay in the need to implement non-optical approaches. The present challenges for strategies below 193 nm may keep these tech­niques at the R&D level for as long as 10 more years. It is likely that as optical enhancement techniques such as OAI and PSM are imple­mented, they will be considered a routine component of the litho­graphic process. It is also likely that a great deal will be learned as 193 nm lithography is inserted into production.

References 1. C. A. Mack, "Trends in optical lithography," Opt. and Phot. News 7 (4),

29 -33 (1996). 2. R. Schenker et al., "Material limitations to 193-nm lithographic lifetimes,"

SPIE 2726, 698-720 (1996). 3. S. Katagiri et al., "Optical system for high-throughput EUV lithography," SPIE

2723, 34 -45 (1996). 4. D. A. Tichenor et al., "Recent results in the development of an integrated

EUVL laboratory tool," SPIE 2437, 292-307 (1995). 5. W. Finkelstein, "Ion beam lithography—a paradigm shift," Semicond. Intl. 18

(5), 55-58 (1995). 6. W. DeVore and S. Berger, "High emittance electron gun from projection litho­

graphy," J . Vac. Sci. Tech. B 14 (6), 3764-3769 (1996). 7. M. D. Levenson, "Welcome to the DUV revolution," Solid State Technol. 38

(9), 81 -88 (1995). 8. S. Asai et al., "High performance optical lithography using a separated light

source," J . Vac. Sci. Tech. B 10 (6), 3023-3026 (1992). 9. T. Ogawa et al., "The effective light source optimization with a modified

beam for depth of focus enhancements," SPIE 2197, 19-30 (1994). 10. M. D. Levenson, "Wavefront engineering for photolithography," Phys. Today

46, 28-36 (1993). 11. Y. Ku et al., "Use of a pi-phase shifting x-ray mask to increase the intensity

slope at feature edges," J. Vac. Sci . Tech. B 6 (1), 150-153 (1988). 12. R. Kostelak et al., Proc. of the OCG Interface Conference, 125 (1993). 13. B. W. Smith and S. Turget, "Phase-shift mask issues for 193 nm lithogra­

phy," SPIE 2197, 201-210 (1994). 14. B. W. Smith et al., "Attenuated phase shift mask materials for 248 and 193

nm lithography," J. Vac. Sci. Tech. B 14 (6) 3719-3723 (1996). 15. Y. Liu and A. Zakhor, IEEE Trans. Semicond. Mfg. 5, 138 (1992). 16. B. W. Smith, "Aberration tolerancing for 193 nm lithography," First

International Symposium on 193 nm Lithography, (1995).

Figure 5. Focus-exposure matrices for imaging of dense features with various levels of spherical aberration: (a) -0.2 waves, (b) -0.05 waves, (c) -0.03 waves, (d) 0.00 waves, (e) +0.2 waves.

Bruce W. Smith is an associate professor of Microelectronic Engineering at Rochester Institute of Technology.

Optics & Photonics News/March 1997 27