56
SSCS SSCS SSCS SSCS IEEE SOLID-STATE CIRCUITS SOCIETY NEWS Spring 2008 Vol. 13, No. 2 www.ieee.org/sscs-news Brian Kernighan What Should an Educated Person Know about Computers?

SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

SSCSSSCSSSCSSSCSIEEE SOLID-STATE CIRCUITS SOCIETY NEWSSpring 2008 Vol. 13, No. 2 www.ieee.org/sscs-news

Brian KernighanWhat Should an

Educated Person Know about Computers?

sscs_NLspring08.qxd 3/26/08 9:42 AM Page 1

Page 2: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Welcome tothe Spring2008 issue

of SSCS News!With this issue,

we would like topresent a review ofessential topics in

computing prepared by Prof. BrianKernighan of the Department ofComputer Science at Princeton Uni-versity. This review essay is the firstsince the SSCS News began present-ing Feature Authors in September,2006, with articles describing their

impact on solid-state circuits, com-puters, and technology during thepast several decades. In this issue,Prof. Brian Kernighan has gracious-ly agreed to write “What Should anEducated Person Know About Com-puters?” We are grateful that he hascontributed this overview for SSCSNews.

I would like to take the opportu-nity in this column to thank our Fea-ture and Technical Authors, SSCSAdCom, SSCS Past-President Prof.Richard Jaeger, current SSCS Presi-dent Prof. Willy Sansen, current

IEEE President and previous SSCSNews Editor Lewis Terman, SSCSExecutive Director Anne O’Neill,SSCS Administrator KatherineOlstein, and SSCS Layout SpecialistPaul Doto for their ongoing and tire-less efforts that have successfullydeveloped SSCS News during thepast year. Each issue has been excit-ing, and we are grateful for SSCSAdCom support! Each issue has alsopresented many opportunities tocommunicate much informationabout activities throughout SSCS aswell as technical information aboutthe long-term impact of several ofour colleagues’ and Feature Authors’work. We hope that our readersenjoy these issues! We continue tobe delighted to receive feedback,and we are grateful to reprint corre-spondence in the Letters to the Edi-tor section of the SSCS News.

With this increase in coverage,we are delighted to report thatKatherine Olstein has agreed to jointhe editorial staff and take on theposition of News Editor. Please doconsider communicating informa-tion about news in your area toKatherine: [email protected]. Weare also delighted that Dr. RakeshKumar has agreed to join the edito-rial staff as Tutorials Editor, andProf. Richard Jaeger has agreed tojoin the editorial staff as TechnicalEditor. Both of these roles areextremely important to support therecently-approved vote by the SSCSAdCom to move the SSCS News to arefereed magazine with additionaltechnical content and technicalreview articles. We are very fortu-nate that Katherine, Rakesh, andDick have been supportive of SSCSNews and that they have agreed toprovide their guidance and expandtheir roles as SSCS News continuesits development. Please welcomethem to the editorial staff!

Dr. Katherine Olstein joined SSCSin 2005 as SSCS Administrator aftercareers in higher education andinformation technology. In 2007,she completed a certificate programin journalism at NYU’s School ofContinuing and Professional Studies.She has led the upgrade of SSCSNews on the IEEE side and contin-

2 IEEE SSCS NEWS Spring 2008

SSCS NewsEditor-in-Chief:Mary Y. LanzerottiIBM T. J. Watson [email protected]: +1 914 945 1358

Technology Editor:Richard C. JaegerAlabama MicroelectronicsCenter AuburnUniversity, AL

Tutorials Editor:Rakesh KumarTechnology ConnexionsPoway, CA

Associate Editor forEurope/Africa:Tony HarkerAlba Centre Alba CampusLivingston Scotland EH54 [email protected]

News Editor:Katherine OlsteinIEEE [email protected]

Administrative CommitteePresident:Willy SansenK. U. Leuven, [email protected]: +32 16 321975

Vice-President:Bernhard BoserUniversity of CaliforniaBerkeley, CA

Secretary:David A. JohnsUniversity of TorontoToronto, Ontario, Canada

Treasurer:Rakesh KumarTechnology ConnexionsPoway, CA

Past- President:Richard C. JaegerAlabama MicroelectronicsCenterUniversity, AL

Other Representatives:Representative to SensorsCouncil

Darrin YoungRepresentative from CAS toSSCS

Domine LeenaertsRepresentative to CAS fromSSCSUn-Ku Moon

Elected AdCom Members atLargeTerms to 31 Dec. 08:Wanda K. GassAli HajimiriPaul J. Hurst

Akira MatsuzawaIan Young

Terms to 31 Dec. 09:John J. CorcoranKevin KornegayHae-Seung (Harry) LeeThomas H. LeeJan Van der Spiegel

Terms to 31 Dec. 10:Terri S. FiezTadahiro KurodaBram NautaJan SevenhansMehmet Soyuer

Region 8 Representative:Jan Sevenhans

Region 10 Representative:C.K. Wang

Chairs of Standing Committees:Awards John J. CorcoranChapters Jan Van der SpiegelEducation C.K. Ken YangMeetings Bill BidermannMembership Bruce HechtNominations Richard C. JaegerPublications Glenn Gulak

For detailed contact informa-tion, see the Society e-News:www.ieee.org/portal/site/sscs

IEEE Solid-State Circuits Society

Editor’s Column

continued on page 50

Executive Director:Anne O’Neill IEEE SSCS-West: 1500 SW 11th Avenue #1801Portland, OR 97201Tel: +1 732 981 3400Fax: +1 732 981 3401Email: [email protected]

Administrator:Katherine OlsteinIEEE SSCS445 Hoes LanePiscataway, NJ 08854Tel: +1 732 981 3410Fax: +1 732 981 3401

For questions regarding Society business, contact the SSCS Executive Office. Contributions for theSummer 2008 issue of the Newsletter must be received by 8 May 2008 at the SSCS Executive Office.A complete media kit for advertisers is available at www.spectrum.ieee.org/mc_print. Scroll down tofind SSCS.

sscs_NLspring08.qxd 3/26/08 9:42 AM Page 2

Page 3: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 3

Photo of Brian W. Kernighan

by Bjarne Stroustrup.

Spring 2008 Volume 13, Number 2

Editor’s Column . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2

President’s Message . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4

Letters to the Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4

10

18

35

TECHNICAL LITERATUREWhat Should an Educated Person Know about Computers?, Brian W. Kernighan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5

PEOPLEAbidi Receives IEEE Pederson Award at ISSCC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12Eight Society Members Congratulated at ISSCC for Elevation to IEEE Fellow Grade .12JSSC 2006 Best Paper Award, Katherine Olstein . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15Best Student Designs Spark Discussions at ISSCC Poster Sessions, Bruce Hecht & Katherine Olstein . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18Nine Lecturers Join SSCS DL Program . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21Chandrakasan Discusses Micro-power Integrated Circuits & Systems in Ottawa,Ram Achar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .23Kuroda Speaks in Seoul on CMOS Proximity Inter-Chip Communications, Sung Min Park . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24Dennard Receives Medal from Benjamin Franklin Institute, Beth Scheraga . . . . . . . . .25New Senior Members . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25Tools: How to Write Readable Reports and Winning Proposals, Part 1: The Five-Minute Miracle, Peter and Cheryl Reimold . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26

CONFERENCESISSCC 2008 Plenary Talks Spotlight Futuristic Consumer Electronics . . . . . . . . . . . . . . . .28ISSCC Replay on Demand, David Pricer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31Classic Books and New Releases Share Best-Seller Status at ISSCC 2008,Katherine Olstein . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31Family Expectations Spawn Successful Careers, Katherine Olstein . . . . . . . . . . . . . . . .34ISSCC 2007 Update DVD Needs Foundations Disk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35SSCS-Seoul Chapter was Local Organizing Committee for A-SSCC 2007 . . . . . . . . . . .352008 Symposium on VLSI Circuits in Honolulu on 18-20 June . . . . . . . . . . . . . . . . . . . . . .36RFIC Symposium to Open Microwave Week 2008 in Atlanta, J. Lin, T. Quach, & Y. Deval . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38Hot Chips 2008 Will Meet on 24-26 August, Don Draper . . . . . . . . . . . . . . . . . . . . . . . . .39ISLPED Moves to Asia in 2008, Vojin Oklobdzija . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .39

CHAPTER NEWSSSCS-Montreal Receives Outstanding Chapter Award for 2007,Anas Hamoui & Mohamad Sawan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .403rd Annual Austin Conference on Integrated Systems and Circuits, Mike Seningen .41New York ED/SSCS IEEE Chapter Formed in October 2007, John Kymissis . . . . . . . . . .42SSCS-Israel Revitalized in Collaboration with IEEE MTT & AP Societies, M. Ruberto, D. Gidony, M. Moyal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .43F. Svelto Presents Short Course on CMOS Wireless Receiver Design in Hsinchu & Taipei . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .44SSCS-Seoul Hosts DVD Replay of ISSCC 2007 Short Courses, Jeongjin Roh . . . . . . . . .45Santa Clara Valley Chapter Sponsors RFIC Course, Dan Oprica . . . . . . . . . . . . . . . . .45SSCS West Ukraine: A Twelve Year Retrospective, Ihor Isayev . . . . . . . . . . . . . . . . . . . .4621 SSCS Chapters Represented at February Meeting in San Francisco, Katherine Olstein . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .49Seeking Nominations for IEEE Medals and Recognitions . . . . . . . . . . . . . . . . . . . . . . . .50

NEWSAdCom Endorses Newsletter Conversion to Magazine, Katherine Olstein . . . . . . . . .51CEDA Currents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .52Call for Nominations: SSCS Predoctoral Fellowships 2008-2009 . . . . . . . . . . . . . . . . . . .54

40

12

sscs_NLspring08.qxd 3/26/08 9:43 AM Page 3

Page 4: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

4 IEEE SSCS NEWS Spring 2008

President’s MessageWilly Sansen, K. U. Leuven, [email protected]

It is with great pleasure and asense of duty that I have taken upthe position of President of the

IEEE Solid-State Circuits Society fortwo years. This Society is mostfamous for its Journal of Solid-SateCircuits and its conferences, amongwhich the International Solid-StateCircuits Conference is probably thebest-known, not to forget the VLSI-Circuits Conference, the CICC and theA-SSCC, and ESSCIRC. All of themwill have to be rethought, however, ifthis Society wants to find an answerto the surge in multimedia tools. Vir-tual journals and virtual conferencesare being discussed on various levels.Xplore can only be the first step.Actions have already been taken inthis respect, and will have to be fol-lowed up.

First of all, however, I want tothank Dick Jaeger for his guidanceand wise suggestions during thepreceding years. He has played avital role in important issues such asthe succession of the chair of the

ISSCC, who will be Anantha Chan-drakasan. I know I will be able tocount on him for several moreyears, and I appreciate it. Otherrecent appointments are Bill Bider-mann for the Meetings committeeand Glenn Gulak for the Publica-tions committee, with Bram Nautaas General Editor of the Journal.This is a new team, indeed. I amlooking forward to working with allof them towards a better SSCS.

I also want to thank the membersof the AdCom, who have helped tostreamline my arrival in this envi-ronment. I mention Rakesh Kumarspecifically, because he is responsi-ble for finances and he also plays aprominent role in strategic planning.He has probably attended more TABmeetings of the IEEE than any of us.In this way he prepares steps to betaken with respect to the IEEE as awhole, and with respect to the otherSocieties. This relationship betweenthe SSCS and the other Societies iscertainly another point of action.

I am convinced that all AdCommembers will join me in thankingAnne O’Neill, Executive Director ofthe Society. She has been in contin-uous support of the past presidentsand me, and all members of theAdCom indeed. Whenever a ques-tion arises, she has an answer.Thanks also go to Katherine Olstein,SSCS Administrator, and MaryLanzerotti, who is technical Editor ofthe Newsletter. It is because of thisteam that the SSCS Newsletterexpects to be converted into a Mag-azine available on Xplore.

I would call on all of them to con-tinue to support the activities of theSociety to better serve the Solid-State Circuits Community in thisworld.

Willy SansenPresident

Letters to the EditorDear Ms. Lanzerotti,

You asked for comments so here aremine.

Overall the Newsletter design andproduction is excellent. But I havea couple of gripes.

In Winter 2008, the reprint of theDennard paper and patent on pp.10-25 is unnecessarily difficult toread. The font was already small butyou then made things worse byreducing the pages even smaller inorder to leave large white margins.Please treat reprints as you do regu-lar editorial content by making mar-gins as small as possible. Your reg-ular page layout and typography isvery good.

In Fall 2007, the Barrie Gilbertarticle was a joy to read. I have longbeen an enthusiastic fan of translin-ear BJT circuits. His recounting ofhistory was most interesting. The

References are a treasure, but someof the web links don't work, e.g.those in [6]. It would be helpful ifyou could get Mr. Gilbert to sendyou updated links and a suggestionas to how to access the severalMullard Technical Communicationsreferences. Then you could publishthe info in a future Newsletter.

Keep up the good work.Best wishes,

Byron Blanchard(retired analog circuit designer)

16 Round Hill Rd.Lexington, MA 02420

Dear Ms Lanzerotti,

I want to congratulate you for con-sistently choosing subjects of greatinterest to present in the SSCSNEWS.

I am working with the FranklinInstitute in Philadelphia and we just

gave the 2007 Award in ElectricalEngineering to Robert Dennard.Your publications have been ofgreat help to us.

I have been in communicationwith Ms. Olstein, Administrator ofthe SSCS and thanked her and toldher of the award to Dr. Dennard.

Thank you ,Larry Dobbins

Dr. Lanzerotti/Wisniewski:

Although the Spring 2007 SSCS issuewas outstanding, you raised the bareven higher with the Fall 2007 issue.The two articles by Lee and andYoung (pp. 38-57) were so com-pelling that I could hardly put theissue down each time I started read-ing it. I was especially intrigued bythe story of the man who started

continued on page 27

sscs_NLspring08.qxd 3/26/08 9:43 AM Page 4

Page 5: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 5

TECHNICAL LITERATURE

IntroductionSince the fall of 1999, I have been teaching a courseat Princeton called “Computers in Our World”1 thatattempts to convey the important ideas of computersand communications, which are such a pervasive partof our lives. Some computing is highly visible: everystudent has a computer (each of which is far morepowerful than the one that served the whole campuswhen I was a graduate student in 1964). Everyone hashigh speed Internet access, everyone uses email tokeep in touch with friends and family, and we allshop and search online.

But this is a tiny part of a computing iceberg, mostof which lies hidden below the surface. We don't see,and usually don't think about, the computers that lurkwithin appliances and cars and airplanes and theubiquitous electronic gadgets -- cell phones, cameras,music players, games -- that we take for granted.

Nor do we think much about the degree to whichinfrastructure like the telephone system, air trafficcontrol and the power grid depends on computing.Although we are from time to time reminded of thegrowth of surveillance systems, invasions of our pri-vacy, and the perils of electronic voting, we perhapsdo not realize the extent to which those are enabledby computing and communications.

Most people will not be directly involved in creat-ing such systems, but everyone is strongly affected bythem, and some people will be required to makeimportant decisions about them. The students in myclass are for the most part not technical; their primaryinterests are in the humanities and social sciences. ButI believe that any educated person ought to know atleast the rudiments of what computers can do andhow they do it; what they can't do at all, and what'smerely hard; and how they relate to the world aroundus. An educated person should be able to read andunderstand a newspaper article about computing, tolearn more from it, and perhaps to spot places whereit is not accurate. More broadly, I want my students tobe intelligently skeptical about technology, and ableto reason about its good and bad aspects. Realistical-ly, many of the important decisions in our world aremade by people who are not particularly technical inbackground. Surely it would be good if everyone hada decent understanding of crucial technologies likecomputing.

Three Topics, Three Big IdeasWhat should an educated person know about com-puting? Everyone will have their own idea; my viewfocuses on three core pieces: hardware, software, andcommunications.

Hardware is the tangible part, the computers thatwe can see and touch in our homes and offices. Themain idea here is that computers are general purposedevices that process a universal digital representationof information: everything they work with, even theinstructions that tell them what to do, is ultimately justnumbers, usually expressed as bits -- zeros and ones.

Software -- the instructions that programmers writeto control computers -- is by contrast hardly tangible atall, but it's what makes computers do things for us.Here, the idea is that programs describe computationin excruciatingly detailed steps, each one of whichmust be perfect for correct operation. But programmersare not perfect, so all software has errors, even soft-ware that must work perfectly.

Communications means computers talking to eachother on our behalf: the Internet and the Web andemail and chat and file-sharing. All of our computers,and increasingly our other gadgets, are connected bya universal digital network that moves the universaldigital representation of information among universaldigital processors. The network hides the differencesamong myriad different kinds of equipment at all lev-els, so almost anything can be connected, and ulti-mately everything will be.

HardwareThe basic structure of computers was more or lessunderstood by Charles Babbage in the 1830s, though hewas never able to complete one of his mechanicalengines (see Figure 1). ([Swore] is a very good treatmentof Babbage’s work.) Figure 2 is a picture of Babbage.

Figure 1. Babbage’s Differential Engine (Source: www.msu.edu/course/lbs/126/lectures/images/babbage.jpg)

The clearest modern statement of how a computerworks is found in the classic paper “Preliminary discus-sion of the logical design of an electronic computing

What Should an Educated Person Know aboutComputers? Brian W. Kernighan, Department of Computer Science, Princeton University, [email protected]

1www.cs.princeton.edu/courses/archive/fall07/cos109

sscs_NLspring08.qxd 3/26/08 9:43 AM Page 5

Page 6: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

TECHNICAL LITERATURE

6 IEEE SSCS NEWS Spring 2008

instrument” by Burks, Goldstine, and von Neumann[BGvN, 1946], which is well worth reading even today.

The so-called von Neumann architecture has aprocessor (the CPU in today's terminology) that doeslogic and computation and controls the rest of themachine, a memory for instructions and data, andother devices for storing or communicating informa-tion. The crucial idea is that instructions that tell thecomputer what to do are encoded as numbers andstored in the same memory as the data beingprocessed. This is why the computer is a general pur-pose device: change the numbers that tell it what todo and it does something different.

Computers are digital devices: they deal with num-bers, and nothing else. Though we live in an analogworld, digital is much simpler to work with -- it's justplain easier to make devices that only have two states:voltage high or low, current flowing or not, chargedor uncharged, magnetized up or down, reflectancehigh or low, and so on. The abstraction of all thesetwo-state physical systems is captured in the twobinary digits 0 and 1. No matter what the particularphysical representation, we can encode and processinformation in combinations of bits. The many differ-ent kinds of analog devices of earlier times -- longplaying records, photographic film, VCR tapes, and soon -- have converged on numeric representations as acommon denominator. The modern trend is stronglytowards converting information from analog to digitalas early as possible in any system, and convertingback to analog as late as possible.

How can information be reduced to numbers? Con-sider music: If we sample a sound waveform often

enough and accurately enough, we will generate asequence of numbers (a voltage level, perhaps) that canbe used to reproduce the original accurately. Nyquist'stheorem tells us that sampling a waveform (see Figure3) at twice the highest frequency it contains is enoughto capture all the information in the waveform; thus thesampling rate of 44,100 samples per second used inaudio CD's is adequate to capture the roughly 20 KHzrange of human hearing. The samples are usually meas-ured to 16 bits of accuracy, that is, 65,536 distinct levels.

Figure 3. Sampling a Waveform (Source: upload.wikime-dia.org/wikipedia/commons/thumb/1/15/Zeroorder-hold.signal.svg/400px- Zeroorderhold.signal.svg.png)

Similarly, if an array of closely spaced photocellssamples the intensity of light at different wavelengths,that too produces numbers that capture an image andthat can be used to reproduce it later; this is the basisof digital cameras. Movies and TV are just a sequenceof pictures with sound, so they too are readily repre-sented as numbers. Text of any kind is straightforward:assign a different number to each letter or character.And so on: ultimately a digital representation is easy.

The logical structure (the architecture) of a computer asset forth in the von Neumann paper has not changed sig-nificantly since 1946, but the physical forms have evolvedamazingly, from mercury delay lines and vacuum tubes tointegrated circuits with billions of transistors on a chip.Most of the progress has come about because compo-nents are so much smaller, cheaper and faster. In 1956,Gordon Moore observed that the number of devices thatcould be placed on an integrated circuit was doublingrapidly and predicted that this growth would continue(see Figure 4.) Moore's Law, by now a sort of self-fulfill-ing prophecy, says that every year or two, things will betwice as good. If computing power doubles every 18months, that is a factor of a thousand (since 210 is 1024)in 15 years, and a million (220) in 30 years. Moore's Lawhas held for 45 years now, so we are indeed a billiontimes better off computationally than we were in 1960.

In 1967, Gene Amdahl (Figure 5) [Amdahl] exploredthe relationship between performance and (amongother things) multiple processors. One of the mostrecent advances in computer hardware, the develop-ment of processors with multiple "cores" or CPUs on asingle chip, brings Amdahl's work back as a centralconcern. Multiple cores are standard in consumer lap-tops today, but it is an open problem how to make thebest use of this architecture, both from the hardwarestandpoint and from a software perspective.

Figure 2. Charles Babbage (Source://commons.wikime-dia.org/wiki/Image:Charles_Babbage.jpg)

sscs_NLspring08.qxd 3/26/08 9:43 AM Page 6

Page 7: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 7

TECHNICAL LITERATURE

Figure 5. Gene Amdahl (Courtesy of Dr. Amdahl)Of course computers can't do everything, nor are

they arbitrarily fast. There are practical and theoreticallimits to how fast we can compute. Alan Turing (seeFigure 6) showed in the 1930s [Turing] that all com-puters have the same computational power, in thesense that they can all compute the same functions(arguing by simulation: a "universal Turing machine"that could simulate any other computer) and he alsodemonstrated classes of computations that could notbe performed in any reasonable amount of time. Andnaturally digital computers can't help if the problemcan't be expressed in terms of numeric computations.

SoftwareBy itself, computer hardware doesn’t do much; itneeds something to tell it what to do. “Software” is thegeneral term for sets of instructions that make a com-puter do something useful. It’s “soft” by comparisonwith “hard” hardware because it's intangible, not easyto put your hands on. Hardware is quite tangible: ifyou drop a computer on your foot, you'll notice. Nottrue for software.

There is a strong tendency today to use generalpurpose hardware wherever possible -- a processor, amemory, and suitable peripherals -- and create spe-cific behaviors by means of software. The conven-

tional wisdom is that software is more flexible, easierto change (especially once some device has left thefactory), and cheaper. In fact, all of these presumedadvantages are somewhat debatable, but the trend isthere anyway. For example, if a computer programcontrols the way that power and brakes are appliedto the drive wheels of a car, then apparently differentfeatures like anti-lock braking and electronic stabilitycontrol can be implemented in software, since theyare just different ways of controlling the power to thewheels.

A popular metaphor for explaining software com-pares it to recipes for cooking. A recipe spells out theingredients needed to make some dish and thesequence of operations that the cook has to perform.By analogy, a program needs certain data to operateon and it spells out what to do to the data. Realrecipes are much more vague and ambiguous thanprograms could ever be, however, so the analogy isnot good. Tax forms are better: they spell out inpainful detail what to do ("Subtract line 30 from line29. If zero or less, enter 0. Multiply line 31 by 25%,...") The analogy is still imperfect, but tax forms bet-ter capture the computational aspects -- performingarithmetic operations, copying data from one place toanother, and having values and computational stepsdepend on earlier ones -- and show more of the needto be precise and cover all possible cases.

An algorithm is the computer science version of acareful, precise, unambiguous recipe or tax form, asequence of steps that is guaranteed to perform somecomputation correctly. Each step is expressed interms of basic operations whose meaning is com-pletely specified, for example "add two numbers".There's no ambiguity about what any operationmeans. The input data is unambiguous. And all pos-sible situations are covered; the algorithm neverencounters a situation where it doesn't know what to

Figure 4. Moore's original graph and Intel's site aboutMoore's Law (Source: www.intel.com/pressroom/kits/events/ moores_law_40th/index.htm)

Figure 6. Alan Turing (Source: www.bletchleypark.org.uk/edu/lectures/turing.rhtm)

sscs_NLspring08.qxd 3/26/08 9:43 AM Page 7

Page 8: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

TECHNICAL LITERATURE

8 IEEE SSCS NEWS Spring 2008

do next. (Computer scientists add one more condi-tion: the algorithm has to stop eventually, so the clas-sic shampoo instruction to "Lather, Rinse, Repeat" isnot an algorithm.)

One crucial aspect of both algorithms and programsis how efficiently they operate -- how long they arelikely to run in proportion to the amount of data to beprocessed. Algorithm analysis is an active research areain computer science, but most algorithms in day to daylife are "linear" -- the amount of work is directly or lin-early proportional to the amount of data. Others arefaster. For instance, searching for a specific item in asorted list of n items can be done in time proportionalto log n, by a divide and conquer strategy that mimicshow we look up names in a phone book. Sorting itselftakes n log n time to sort n items into order.

Although in general the goal is to find the fastestalgorithm, some crucial processes depend on theapparent impossibility of finding a fast algorithm. Forexample, public-key cryptography, which is the basisof the security of electronic commerce, digital signa-tures, and the like, is based most often on the diffi-culty of factoring large (hundreds of digits) compositeintegers. So far as we know, the time to factor suchnumbers grows exponentially with their lengths, thusmaking it computationally infeasible to crack encryp-tion schemes by brute force computation. But if someadvance in mathematics or quantum computing ren-ders factoring easy, this whole edifice will collapse.

Algorithms capture the abstract notion of how toperform a task, but real computers are not abstract,and they need detailed concrete instructions to pro-ceed. Programming languages are artificial languagesthat (to varying degrees) make it easy to express thesteps of a computation in a way that people canunderstand that can also be converted into a form thatcomputers understand.

Early programming was done in so-called assemblylanguages. Assembly languages are closely tied tospecific machines: each language expresses computa-tion in terms of the instruction repertoire that themachine itself understands. For example, in one kindof machine, incrementing the value stored in a mem-ory location M might be accomplished by threeassembly language instructions like this:

LOAD MADD 1STORE M

It is very hard to write programs at this level, andthe programs are tied forever to the specific architec-tures. Moving to another machine means rewriting theprograms; the same increment operation on a differ-ent machine might be expressed as

ADD M, 1, Mand as

INCR Mon a third.

Arguably the most important step in software wastaken during the late 1950s and early 1960s, with thedevelopment of "high level" programming languageslike Fortran ("Formula Translation", [Backus]), whichwere independent of any specific CPU type. Fortran

was developed at IBM by a team led by John Backus(see Figure 7). Such languages made it easier for pro-grammers to describe a computation, and, once writ-ten, the program could be translated (by a program!)into specific instructions for a target machine. Thismade programming accessible to a much wider pop-ulation, and also greatly reduced the need to rewritecode to make it work on different kinds of machines.All of the sequences above would be written in For-tran as the single statement

M = M + 1which the Fortran compiler would translate into theright instructions for whatever machine was being used.

Programming languages continue to evolve; today'slanguages are more expressive and closer to the waythat people think about computing processes com-pared to early Fortran, though they are still not "nat-ural" in any sense. (Steve Lohr's Go To:... [Lohr] is anexcellent discussion of programming languages.) Thehigher level the language, that is, the closer to ourlevel, the more translation is needed, and perhaps themore machine resources are "wasted," but as comput-ers have gotten faster thanks to Moore's Law, thisoverhead has become less and less relevant. Bytoday's standards, programmers are very expensive,but computers are free.

What do we build with programming languages?Operating systems like Windows or Mac OS X orUnix/Linux control the hardware, managing itsresources and providing a platform on which appli-cation programs like browsers and office suites andgames and music players can run. Operating systemsare complex and expensive to produce; Vista,Microsoft's most recent version, took at least fiveyears and many thousands of people to create. Previ-ous versions of Windows have been tens of millionsof lines of source code; Vista is presumably bigger.

Figure 7. John Backus (Source: //blog.hundhausen.com/files/johnbackus.jpg)

sscs_NLspring08.qxd 3/26/08 9:43 AM Page 8

Page 9: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 9

TECHNICAL LITERATUREOther systems are large as well; for instance, recentdistributions of the Linux kernel of the Linux are wellover 7 million lines. Such systems are among the mostcomplicated artifacts that we create.

Applications need some kind of platform that pro-vides services, like a file system and network connec-tions, and coordinates activities so that independentprocesses do not interfere with each other. Historical-ly, that platform has been a conventional operatingsystem, but one of the most interesting recent trendshas been towards "middleware," most commonly abrowser, that acts as a platform for applications whileinsulating them from the details of a specific operat-ing system. Google Maps is a good example, as areweb-based mail systems and the nascent area of web-based office tools. Naturally Microsoft is concernedabout this trend, which is a threat to its commandingpresence as the operating system supplier for the vastmajority of home and office computers.

Sadly, no program works the first time, so a bigpart of the job of real-world programming is to testcode as it's being written and certainly before it isshipped to its users, with the hope of getting rid ofas many bugs as possible. A rule of thumb says thatthere is at least one bug for every thousand lines ofcode, so even if this is too pessimistic by an orderof magnitude, large systems have thousands ofresidual bugs.

Another complexity in real-world software is thatthings change continuously, and programs have to beadapted. New hardware is developed; it needs newdrivers and those may require changes in systemsbefore they work properly. New laws and otherrequirements change the logic of programs -- thinkabout what has to happen every time the tax codechanges, for example. Machines and tools and lan-guages become obsolete and have to be replaced.Expertise disappears too, as people retire, lose inter-est, or get fired in some corporate down-sizing. (Stu-dent-created systems at universities suffer in the sameway when the expertise graduates.)

No matter what, software is hard to write, at leastto the standards of correctness and reliability neces-sary for critical systems like avionics, medical equip-ment, military systems, automobile control, and so on.It is possible to create reliable software, but only atvery high cost, and even then no system is perfect.How to write robust software economically is thebiggest open problem in computing.

Software also raises some interesting legal issues.Historically, patents could be obtained only formechanical devices and processes, but in the 1970s itbecame possible to obtain patent protection for soft-ware, and in the 1990s this was pushed much furtherby "business method" patents like Amazon's One-clicktechnique for making an online purchase. To pro-grammers, such "inventions" often seem utterly obvi-ous, but that has not slowed the rate of patents orpatent litigation. Liability for defective software isanother area that is likely to become more important,though so far most software vendors have managedto sidestep this issue.

Communications Communications means computers talking to eachother, usually to do something useful for us, butsometimes up to no good at all. Most interesting sys-tems now combine hardware, software, and commu-nications, with the Internet serving as a universal"common carrier" that conveys the universal digitalrepresentation of information among universal digitalprocessors. Communicating systems also give rise tomost of computing's societal issues: difficult problemsof privacy, security, and the conflicting rights of indi-viduals, businesses and governments.

The Internet began [ISOC] with research into surviv-able networks in the 1960s, sponsored by the US Depart-ment of Defense; arguably this was one of the most pro-ductive uses of military money ever. The Internetremained the province of scientists and engineers at uni-versities and research labs until the combination of ubiq-uitous personal computers, decent bandwidth, and theWorld Wide Web invented by Tim Berners-Lee (see Fig-ure 8) in the early 1990s caused an explosion of use.

The role of the Internet is to connect a large num-ber of local area networks, so that information origi-nating on one network can find its way to any otherlocal network no matter where it is. The genius of theInternet is that a comparative handful of protocols --rules for how systems interact -- developed in theearly 1970s have made it possible to connect a widevariety of different networking technologies, fromphone lines to fiber optic cables, while hiding thespecific properties of individual devices and net-works.

There are only a handful of basic ideas behind theInternet. First, it is a packet network: information issent in individual independent packets that are rout-ed through a large and changing collection of net-works. Each packet consists of a header that contains,in addition to the data itself, information like thesource and destination, the packet length, the proto-col version, and a very limited amount of checking.This is a different model from the telephone system's

Figure 8. Sir Tim Berners-Lee, inventor of the World Wide Web(Source://blogs.zdnet.com/images/bernerslee400.jpg)

sscs_NLspring08.qxd 3/26/08 9:43 AM Page 9

Page 10: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

TECHNICAL LITERATURE

10 IEEE SSCS NEWS Spring 2008

circuit network, where each conversation has a dedi-cated circuit, conceptually a private wire, between thetwo talking parties.

Each packet travels through multiple routers thatconnect networks; each router passes the packet to anetwork that is closer to the packet's ultimate desti-nation (see Figure 9). Routers continuously exchangerouting information, so they always know how tomove a packet closer to its destination, even as topol-ogy changes and network connections come and go.As a packet travels from here to there, it might easilypass through 20 routers, owned and operated by adozen different companies or institutions.

Each computer currently connected to the Internetis assigned a unique 32-bit Internet Protocol ("IP")address; hosts on the same network share a commonIP address prefix. The Domain Name System is a largedistributed data base that converts names likegoogle.com or ieee.org to IP addresses. A centralauthority (ICANN, the Internet Corporation forAssigned Names and Numbers) allocates a block of IPaddresses to a network managed by some organiza-tion. Each host address on that network is thenassigned locally by the organization. Thus, for exam-ple, IEEE has been allocated blocks of IP addressesthat it can in turn allocate to subnetworks and com-puters within IEEE. ICANN is also ultimately respon-sible for allocating other resources that must neces-sarily be unique, like top-level domain names them-selves.

The IP packet mechanism is an unreliable “besteffort” network. The Transmission Control Protocol(TCP) uses redundancy, sequence numbers, acknowl-edgements and timeouts to synthesize a reliable two-way stream from the unreliable IP packets: TCP pack-ets are wrapped up in a sequence of IP packets thatcan be used to achieve very high reliability. Most ofthe higher-level services that we associate with theInternet -- the Web itself, email, chat, file-sharing,telephony, and so on -- use TCP.

IP itself uses whatever networking technology getsthe information from the current router to the nextone on the path. Specific hardware technologies likeEthernet encapsulate IP packets as they move around,but the details of how any particular piece of hard-

ware works, or even that such hardware is involved,are not visible at the IP level or above.

The protocols divide the software into layers, eachof which provides services to the next higher levelwhile calling on the services of the next lower level(see Figure 10). At each level of the protocol hierar-chy, software behaves as if it is talking to a peer at thesame level at the other end, independent of lower lay-ers. This strict layering is fundamental to the operationof the Internet, a way to organize and control com-plexity and hide irrelevant details of implementation.

The basic TCP/IP mechanism is an amazinglyrobust design; although it was developed in the early1970s, it has stood up to many orders of magnitude ofgrowth in computers, networks and traffic, with onlyminor tweaking.

The Internet presents some very difficult social,political and legal issues. Privacy and security are hard.Data passes through shared, unregulated, and diversemedia and sites scattered over the whole world. It's

Figure 9. Internet Cloud (Source: www.cs.princeton.edu/~bwk/cloud.jpg)

Figure 11. Vint Cerf (left) and Bob Kahn, inventors of TCP/IP.(Source: www.google.nl/intl/nl/press/images/vint_cerf_lg.jpg)and (//isandtcolloq.gsfc.nasa.gov/spring2006/images/kahn.jpg)

Figure 10. Protocol Hierarchy Diagrams (Source: www.cs.princeton.edu/~bwk)

sscs_NLspring08.qxd 3/26/08 9:43 AM Page 10

Page 11: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 11

TECHNICAL LITERATUREhard to control access and to protect information alongthe way. Many networking technologies use broadcastmedia, which are vulnerable to eavesdropping.Although attacks on Ethernets are now much reduced,attacks on wireless are on the rise since many wirelessnetworks do not enable encryption.

The Internet was not designed with security inmind, so it is not hard to lie about identity and loca-tion; this makes it possible to mount a variety ofattacks on the unsuspecting. People are remarkablynaive and all too willing to trust a web page thatclaims to come from their bank or an online mer-chant, so phishing attacks that attempt to steal identi-ties are more successful than one could believe.

The Internet has no geography and carries bitseverywhere almost independent of national bound-aries. Some countries have tried to limit Internetaccess by their citizens by forcing all Internet traffic topass through a small set of routers that filter content.Others have claimed legal rights over Internet activi-ties that occur largely or entirely outside their bound-aries, for instance violation of laws on libel or gam-bling or pornography.

Of course, the Internet has enabled disseminationof copyrighted material, whether legally or not, at anunimaginable scale, largely through peer to peer net-works, and it seems likely that this will continueregardless of attempts by content providers to restrictit with ever more Draconian laws and ever moreonerous so-called digital rights management systems.

The Internet has only been in existence since about1969. The core TCP/IP protocols date from about1973, and have remained largely the same since then,in the face of exponential growth of size and traffic, aremarkable achievement. We are running low on 32-bit IP addresses, since 32 bits allows for at most 232,or about 4.3 billion, IP addresses. Mechanisms likenetwork address translation and dynamic host config-uration have pushed this off for a while and eventu-ally version 6 of the IP protocol with its 128-bitaddresses will eliminate the problem.

ConclusionsAlthough there are of course many, many technicaldetails, and everything related to computing and com-munications is evolving rapidly, there are some fun-damental notions that will remain central and thatshould be understood by any educated person,whether of a technical bent or not.

First, information is universally represented in digi-tal form. Second, information is universally processedin digital form. Third, information is stored and trans-mitted in digital form. Finally, technology hasadvanced so far that these digital mechanisms are uni-versally available for very little cost. Taken together,these explain the pervasive nature of computers andcomputing in our world.

All of these are changing rapidly; we are in a timeof accelerating change. Change is always disruptive,and we are clearly in for much disruption as far as wecan extrapolate current trends. We are totally depend-ent on digital technology, and there is no way to slowits evolution while we figure out how to handle theproblems it presents. Although in almost every way,computing and communications technologies havegreatly improved our lives, they will continue to pres-ent difficult challenges along with great rewards.

References• [BGvN] "Preliminary discussion of the logical design of

an electronic computing instrument", 1946 [availableonline at research.microsoft.com/~gbell/computer_structures__ readings_and_examples/00000112.htm].

• [Turing] "On computable numbers with an applica-tion to the Entscheidnungsproblem", Proc. LondonMath Soc. ser. 2, 42 (1936-7), 230-265. [availableonline at /www.abelard.org/turpap2/tp2-ie.asp]

• [Amdahl] Gene Amdahl, "Validity of the SingleProcessor Approach to Achieving Large-Scale Com-puting Capabilities", AFIPS Conference Proceedings,(30), pp. 483-485, 1967.

• [Backus] "The FORTRAN Automatic Coding Sys-tem", J. W. Backus, et al, Proc. Western Joint Com-puting Conference, Feb 1957, 188-198. [availableonline at /web.mit.edu/6.035/www/papers/BackusEtAl-FortranAutomaticCodingSystem-1957.pdf]

• [Lohr] Go To: The Story of the Math Majors, BridgePlayers, Engineers, Chess Wizards, Scientists andIconoclasts who were the Hero Programmers of theSoftware Revolution, Basic Books, 2001.

• [ISOC] "A brief history of the Internet", Vint Cerf, etal, Internet Society, Dec 2003. [available online atwww.isoc.org/internet/history/brief.shtml]

• [Swore] Charles Babbage and the Quest to Buildthe First Computer, Doron Swore, Penguin USA,2002

About the AuthorBrian Kernighan received his BAScfrom the University of Toronto in 1964and a Ph.D. in electrical engineeringfrom Princeton in 1969. He was in theComputing Science Research center atBell Labs until 2000, and is now in theComputer Science Department atPrinceton.

He is the author of 8 books and some technicalpapers, and holds 4 patents. He was elected to theNational Academy of Engineering in 2002. Hisresearch areas include programming languages,tools and interfaces that make computers easier touse, often for non-specialist users. He is also inter-ested in technology education for non-technicalaudiences.

sscs_NLspring08.qxd 3/26/08 9:43 AM Page 11

Page 12: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

PEOPLE

12 IEEE SSCS NEWS Spring 2008

Asad Abidi was presented withthe IEEE Donald O. PedersonAward in Solid-State Circuits

during the Plenary Session of theISSCC on 4 February, 2008 by SSCSPresident Willy Sansen.

In his remarks, Sansen reportedthat a nominator said, “ProfessorAbidi is one of the eminent analogIC researchers in the world today,and he has made a truly significantmark on the semiconductor indus-

try with his contributions to CMOSRF technology. Approximately 15years after Professor Abidi’s pio-neering CMOS RF ideas, one wouldbe hard pressed to find any com-mercial RF products in the Blue-tooth and Wireless LAN space thatuse anything other than CMOStechnology!” According to anotherendorser, Abidi “has stood out assomeone who has insight intotechnical problems that baffle

many others. He is indeed a vision-ary who often heralds activities in atotally new research area or field.”

IEEE field awards recognizeindividuals who have contributedextraordinarily to the mission ofthe IEEE to promote the creationof new technologies for the bene-fit of humanity and the profession.

Katherine Olstein, SSCS Adminstrator, [email protected]

Abidi Receives IEEE Pederson Award at ISSCC 2008

SSCS President Willy Sansen presented the IEEE Donald O. Pederson Award inSolid-State Circuits to Asad A. Abidi at the ISSCC on 4 February 2008. Dr. Abidibriefly addressed the Plenary audience after receiving the award, which issponsored by the IEEE Solid-State Circuits Society.

Eight Society Members Congratulated at ISSCC forElevation to IEEE Fellow GradeIn a ceremony during the ISSCC Plenary Session on 4 February, 2008, SSCS President Willy Sansen congratu-lated eight Society members who were selected for the IEEE Fellow Class of 2008. They are among a total of295 Senior Members elevated to Fellow Grade, effective 1 January 2008.

Conferred by the IEEE Board of Directors, the distinction of IEEE Fellow recognizes extraordinary contribu-tions to one or more fields of IEEE interest. No more than one-tenth of one percent of the Institute member-ship may be elevated to Fellow in a given year.

Kenji Anami •for invention of the divided word line structure for high-speed, low-power logic and memoryPatrick Gelsinger • for leadership in microprocessor design and industry standardsRakesh Kumar • for entrepreneurial leadership in the field of integrated circuitsBram Nauta • for contributions to integrated analog circuit designJyuo-Min Shyu • for leadership in the microelectronics industryStewart Taylor • for contributions to analog, radio frequency and mixed-signal integrated circuit designC. K. Wang • for contributions to communications circuit design and for leadership in promoting the

professionHoi-Jun Yoo • for contributions to low-power and high-speed VLSI design

Additional information about the IEEE Fellows Program may be found at: www.ieee.org/web/membership/fel-lows/index.html.

sscs_NLspring08.qxd 3/26/08 9:44 AM Page 12

Page 13: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 13

PEOPLE

Kenji Anami received B.S. and Ph.D. degrees inElectronic Engineering from Kyushu University,Fukuoka, Japan in 1973 and 1986, respectively. Hejoined Mitsubishi Electric Corporation in 1973.

Since then he has worked on memory and logic LSIdesign. Until 1992, he was Manager, SRAM Group, LSIDevice Development Department A in the LSI Labo-ratory of Mitsubishi Electric Corporation, where hesupervised many projects including 16K NMOS SRAM,64K - 4M CMOS SRAMs, Bipolar 1K-16K ECL RAMs,and 64K-256K BiCOS SRAMs.

He is currently Executive Manager of CorporateStrategy Planning for the Renesas Technology Cor-poration.

From 1992 to 1995, he was Manager of the FastSRAM section, Memory Department, Kita-Itami Works,Mitsubishi Electric Corporation, working on 4MbitSRAM development and marketing. From 1995 to 1999,he supervised DINOR Flash Memory, SRAM, and com-bination Multi-Chip- Packages (MCP). From 1999 to2003, he was Senior Manager, Technology Group,Planning & Administration Division, SEMICONDUC-TOR GROUP, Mitsubishi Electric Corporation, workingon semiconductor R&D strategy planning.

Beginning 2003, he was General Manager of theCorporate Strategic Technology Office, Renesas Tech-nology Corporation, and worked on corporate R&Dstrategy planning.

Since 2005 he has been engaged in a project enti-tled "The Grand Design of Japanese SemiconductorIndustry" at Semiconductor Industry Research InstituteJapan (SIRIJ).

Pat Gelsinger is senior vice president and generalmanager of Intel Corporation's Digital EnterpriseGroup.

Gelsinger joined Intel in 1979, and has more than26 years of experience in general management andproduct development positions. Gelsinger led Intel'sCorporate Technology Group, which encompassesmany Intel research activities, including leading IntelLabs and Intel Research, and driving industry align-ment with these technologies and initiatives. As CTO,he coordinated Intel's longer-term research effortsand helped ensure consistency among Intel's emerg-ing computing, networking and communicationsproducts and technologies.

Before his appointment as the company's first CTO,Gelsinger was the chief technology officer of the IntelArchitecture Group. In this position, he led the organ-ization that researches, develops and designs next-generation hardware and software technologies for allIntel Architecture platforms for business and con-sumer market segments.

Previously, Gelsinger led the Desktop ProductsGroup, where he was responsible for Intel’s desktopprocessors, chipsets and motherboards for consumertechnology initiatives and the Intel Developer Forum.From 1992 to 1996, Gelsinger was instrumental indefining and delivering the Intel® ProShare® videoconferencing and Internet communications productline. Prior to 1992, he was general manager of thedivision responsible for the Pentium® Pro, IntelDX2™and Intel486™ microprocessor families. Other posi-tions Gelsinger has held during his Intel careerinclude director of the Platform Architecture Group,design manager and chief architect of the originali486™ microprocessor, manager of CAD methodolo-gies, and key contributor on the original i386™ andi286 chip design teams.

Gelsinger holds six patents and six applications inthe areas of VLSI design, computer architecture andcommunications. He has more than 20 publications inthese technical fields, including "Programming the80386,” published in 1987 by Sybex Inc. He hasreceived numerous Intel and industry recognitionawards, and his promotion to group vice president atage 32 made him the youngest vice president in thehistory of the company.

Gelsinger received an associate's degree from Lin-coln Technical Institute in 1979, a bachelor's degreefrom Santa Clara University in 1983, Magna CumLaude, and a master's degree from Stanford Universi-ty in 1985. All degrees are in electrical engineering.Gelsinger is married and the father of four children.

Dr. Rakesh Kumar is President of TCX, a consultingservices company. He is also CEO of ei2, a fabless prod-uct integration company. Previously he was VP & GMof the worldwide Silicon Technology business unit atCadence Design Systems and Tality. During his 32 yearsof industry experience Rakesh has also been at Unisysand Motorola where he held various technical and man-agement positions with increasing responsibility. He

SSCS President Willy Sansen congratulated Kenji Anami(above) and Rakesh Kumar at ISSCC for their elevation toIEEE Fellow Grade, Class of 2008.

sscs_NLspring08.qxd 3/26/08 9:44 AM Page 13

Page 14: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

PEOPLE

14 IEEE SSCS NEWS Spring 2008

has numerous publications and patents to his credit. Dr.Kumar is on the AdCom of the IEEE Solid-State CircuitsSociety and serves as its Treasurer. He has chaired andserved on the Steering committee of the IEEE CustomIC Conference for fourteen years. Rakesh received hisPh.D. and M.S. in Electrical Engineering from the Uni-versity of Rochester in 1974 and 1971 respectively. Hereceived his B. Tech. in Electrical Engineering from theIndian Institute of Technology, New Delhi in 1969.

Bram Nauta (M'91-SM'03-F'08) was born in Hengelo,The Netherlands in 1964. In 1987 he received the M.Sc.degree (cum laude) in electrical engineering from theUniversity of Twente, Enschede, The Netherlands. In1991, he received the Ph.D. degree from the same uni-versity on the subject of analog CMOS filters for veryhigh frequencies and joined the Mixed-Signal Circuitsand Systems Department of Philips Research, Eindhoventhe Netherlands, where he worked on high speed ADconverters and analog key modules. His Ph.D. thesiswas published as a book: Analog CMOS Filters for VeryHigh Frequencies (Springer, 1993) and he received the"Shell Study Tour Award" for his Ph.D. work.

In 1998 he returned to the University of Twente asfull professor heading the IC Design group, which ispart of the CTIT Research Institute. His currentresearch interest is high-speed analog CMOS circuits.He is also a part-time consultant in industry and co-founded Chip Design Works in 2001.

From 1997 until 1999 he served as Associate Editorof IEEE Transactions on Circuits and Systems -II; Ana-log and Digital Signal Processing. After this, he servedas Guest Editor, Associate Editor (2001-2006) - andfrom 2007 as Editor-in-Chief for the IEEE Journal ofSolid-State Circuits. He is also member of the techni-cal program committees of the International SolidState Circuits Conference (ISSCC), the European SolidState Circuit Conference (ESSCIRC), and the Sympo-sium on VLSI circuits. He was a co-recipient of theISSCC 2002 "Van Vessem Outstanding Paper Award"and is a distinguished lecturer of the IEEE and anelected member of IEEE-SSCS AdCom.

Stewart S. Taylor is a Senior Principal Design Engi-neer at Intel, where he has been employed since Jan-uary 2003. His current research focus is on radio

architecture and circuit design that leverages thestrengths and compensates for the weaknesses ofCMOS technology. He received a Ph.D. in electricalengineering from the University of California atBerkeley in 1978.

Before joining Intel, he was with Tektronix,TriQuint, and Maxim. Stewart has developed high-speed analog, data converter, and wireless/RF inte-grated circuits. He has 41 issued patents, and twenty-three pending. He is the author of more than fiftytechnical papers.

Stewart served on the program committee of theInternational Solid-State Circuits Conference for tenyears, chairing the Analog Subcommittee for fouryears. He was the Conference Program Chair in 1999.He was an Associate Editor of the IEEE Journal ofSolid-State Circuits, and the recipient of the IEEE ThirdMillennium Medal for Outstanding Achievements andContributions from the Solid-State Circuits Society.

Stewart has taught part-time at Portland State Uni-versity, Oregon State University, and the OregonGraduate Institute for twenty nine years. He is anIEEE Fellow.

Chorng-Kuang Wang was born in Taiwan in 1947.He received the B.S. degree in Electronic Engineeringfrom National Chiao-Tung University and the M.S.degree in Geophysics from National Central Universi-ty, Taiwan in 1970 and 1973, respectively. Hereceived the M.S. and Ph.D. degrees in ElectricalEngineering and Computer Science from the Univer-sity of California, Berkeley in 1979 and 1986, respec-tively, where he worked on MOS analog integratedcircuits using scaled technologies. He has held indus-trial positions with Itron in Taiwan (1973-1977),

Clockwise from left, newly elected IEEE Fellows BramNauta, Stewart Taylor, C. K. Wang and Joi-Jun Yoo (page 15)at the ISSCC Plenary Awards ceremony, 3 February, 2008.

sscs_NLspring08.qxd 3/26/08 9:44 AM Page 14

Page 15: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 15

PEOPLENational Semiconductor, Rockwell and IBM in Cali-fornia (1979-1991), where he was involved in thedevelopment of CMOS memory, data modems anddisk-drive integrated circuits. He acted as a consultantto the Computer & Communication Research Lab ofthe Industrial Technology Research Institute (1991-2000)and as advisor to the Ministry of Education AdvisoryOffice (1997-2001) in Taiwan. From 1991 to 1998, he waswith the Department of Electrical Engineering, NationalCentral University in Taiwan, where he was a Professor.Thereafter, he has been a professor in the Department ofElectrical Engineering of National Taiwan University. Hisresearch interests are in the areas of wireless transceiversystem and circuit design, high-speed data link circuits,mm-wave CMOS development, and flexible electronics.He has been involved in chairing and launching pro-grams for technical and executive committees of AP-ASIC, A-SSCC and ISSCC. He currently serves as an ex-officio member of the SSCS AdCom, representing Asia.

Hoi-Jun Yoo (M’95 – SM’04) graduated from the Elec-tronics Department of Seoul National University,Seoul, Korea, in 1983 and received the M.S. and Ph.Ddegrees in electrical engineering from the KoreaAdvanced Institute of Science and Technology(KAIST), Daejeon, in 1985 and 1988, respectively. HisPh.D. work concerned the fabrication process for

GaAs vertical optoelectronic integrated circuits. From 1988 to 1990, he was with Bell Communica-

tions Research, Red Bank, NJ, where he invented thetwo-dimensional phase-locked VCSEL array, the front-surface-emitting laser, and the high-speed lateral HBT.In 1991, he became Manager of a DRAM design groupat Hyundai Electronics and designed DRAM familiesfrom fast-1M DRAMs to 256M synchronous DRAMs. In1998 he joined the faculty of the Department of Elec-trical Engineering at KAIST and now is a full profes-sor. From 2001 to 2005, he was the director of SystemIntegration and IP Authoring Research Center (SIPAC),funded by the Korean government to promote world-wide IP authoring and its SOC application. From 2003to 2005, he was a full time Advisor to the Minister ofKorea Ministry of Information and Communication,and National Project Manager for SoC and Computer.In 2007, he founded SDIA (System Design Innovation& Application Research Center) at KAIST to researchand develop SoCs for intelligent robots, wearable com-puters and bio systems. His current interests are high-speed and low-power Network on Chips, 3D graphics,Body Area Networks, biomedical devices and circuits,and memory circuits and systems. He is the author ofthe books DRAM Design (Seoul, Korea: Hongleung,1996; in Korean), High Performance DRAM (Seoul,Korea: Sigma, 1999; in Korean), and chapters of Net-works on Chips (New York, Morgan Kaufmann, 2006).

Dr. Yoo received the Electronic Industrial Associa-tion of Korea Award for his contribution to DRAM tech-nology in 1994, Hynix Development Award in 1995;the Korea Semiconductor Industry Association Awardin 2002; Best Research of KAIST Award in 2007; DesignAward of 2001 ASP-DAC; and Outstanding DesignAwards 2005, 2006, 2007 A-SSCC. He is a member ofthe executive committee of ISSCC, Symposium onVLSI, and A-SSCC. He is the TPC chair of A-SSCC 2008.

Katherine Olstein, SSCS Administrator,[email protected]

JSSC 2006 Best Paper Award Presented to J.B.Ashbrook, H.-M. Bae, S. Chopra, J. Park, N. R.Shanbhag and A. C. SingerKatherine Olstein, SSCS Administrator, [email protected]

Jonathan B. Ashbrook, Hyeon-Min Bae, SanjivChopra, Jinki Park, Naresh R. Shanbhag andAndrew C. Singer were honored at the Plenary

Session of the ISSCC in San Francisco on 4 Febru-ary, 2007 for the selection of their paper, “An MLSEReceiver for Electronic Dispersion Compensation of OC-192 Fiber Links” as the best in the JSSC for 2006. Itappeared in November (Volume 41, Issue 11) on pages2541-2554. Their paper describes the results of researchand development done at Intersymbol Communications,Inc., Champaign, IL, a fabless semiconductor start-up co-founded by Naresh Shanbhag and Andrew Singer in2000 and first published at ISSCC 2006, said Shanbhag.

From left: SSCS President Willy Sansen, Sanjiv Chopra,Andrew Singer, Naresh R. Shanbhag, Jinki Park, JonathanAshbrook, and Hyeon-min Bae.

sscs_NLspring08.qxd 3/26/08 9:44 AM Page 15

Page 16: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

PEOPLE

16 IEEE SSCS NEWS Spring 2008

AbstractA maximum-likelihood sequence estimation (MLSE)receiver is fabricated to combat dispersion/intersymbolinterference (chromatic and polarization mode), noise(optical and electrical), and nonlinearities (e.g., fiber,receiver photodiode, or laser) in OC-192 metro andlong-haul links. The MLSE receiver includes a variablegain amplifier with 40-dB gain range and 7.5-GHz 3-dBbandwidth, a 12.5-Gb/s 4-bit analog-to-digital converter,a dispersion-tolerant phase-locked loop, a 1:8 demulti-plexer, and a digital equalizer implementing the MLSEalgorithm. The MLSE receiver achieves more than 50%reach extension at signal-to-noise levels of interest ascompared to conventional clock data recovery systems

Figure 1. EDC-based CDR architecture.

Figure 2. EDC-based CDR multi-chip module.

According to K. Nagaraj, who was Editor of theJSSC through 2007, “The paper heralds a new era inthe design of integrated circuits for current and futuregenerations of optical fiber communications bydemonstrating the feasibility of implementing sophis-ticated communication techniques employed in wire-less and other wireline channels, at optical line rates.Such techniques are critical for the future deploymentof 40 Gb/s and 100 Gb/s links and thus represents anew opportunity for circuit designers.”

In an email statement, Shanbhag described the cir-cumstances that initiated the group’s work. “In early2000, dispersion in optical fiber appeared as a factorlimiting migration of 2.5G links to 10G links in ultralong-haul, and metro networks and conventionalclock-data recovery (CDR) circuits failed beyond 80

km of single-mode fiber. Optical dispersion techniquesthat were proposed and found to be too expensive ledto the demise of many start-ups,” he said.

Therefore, he and Singer came up with the notion ofcombining advanced signal processing techniques withmixed-signal design to combat dispersion in the elec-tronic domain. According to Singer, this was a clearcase of Moore’s Law coming to the rescue of Snell’s lawin the sense that electronic solutions tend to be cheap-er than most other implementation technologies.Today, this mass producible technology is referred toas electronic dispersion compensation (EDC).

“Implementation of an EDC-based CDR at 10Gb/srequired us to overcome numerous technical chal-lenges,” said Shanbhag. “These included the implemen-tation of a 4b, 12.5GS/s analog-to-digital converter(ADC), a PLL that recovers clock in the presence of aclosed eye and low-OSNR, a variable gain amplifier with40dB gain range and a 12.5 Gb/s Viterbi equalizer.Hyeon-min Bae, Jonathan Ashbrook, and other alumniof the ECE Department at the University of Illinois atUrbana-Champaign played a critical role in the develop-ment of EDC technology at Intersymbol,” said Shanbhag.Today, EDC is a well-defined product category in theoptical industry and EDC will be central to the deploy-ment of 40Gb/s and 100 Gb/s optical links,” he added.

EDC technology is currently being incorporatedinto next generation transponders at Finisar Corpora-tion, which acquired Intersymbol in 2007. The 2006ISSCC and JSSC papers alerted the circuit design com-munity to the potential of EDC in extending reach ofoptical links and identified new challenges for design-ers everywhere. The authors feel honored and grate-ful for the recognition of their technical contributionsby the IEEE Solid-State Circuits Society and its mem-bers, Shanbhag said.

Jonathan B. Ashbrook received the B.S. and M.S.degrees in electrical engineering from the Universityof Illinois at Urbana-Champaign in 1998 and 2000,respectively. From 2000 to 2002, he was with IBM,Essex Junction, VT, designing high-performance semi-custom ASICs. In 2002, he joined Intersymbol Com-munications Inc., Champaign, IL, where he is the LeadDigital Architect responsible for digital and mixed-sig-nal optical receiver chips. He holds two patents in thearea of high-performance memory design.

Hyeon-Min Bae received the B.S. degree in electri-cal engineering from Seoul National University,Seoul, Korea, in 1998 and the M.S. and Ph.D. degreesin Electrical and Computer Engineering from the Uni-versity of Illinois at Urbana-Champaign in 2001 and2004, respectively. From 2001-2007, he was the leadanalog and mixed-signal architect of OC-192 EDC-based CDRs at Intersymbol Communications, Inc,Champaign, IL. Since 2007, he has been with FinisarCorporation after its acquisition of Intersymbol. Dr.Bae is also a visiting assistant professor at the Uni-versity of Illinois at Urbana-Champaign, where he isengaged in teaching and research projects related tohigh-speed clock-data recovery systems. Dr. Bae

sscs_NLspring08.qxd 3/26/08 9:44 AM Page 16

Page 17: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 17

PEOPLEreceived a Silver Medal for the Samsung HumantechThesis Prize in 1998.

Sanjiv Chopra received the B.S. degree in electricalengineering from the Birla Institute of Technology,India, the M.S. degree in electrical engineering fromIowa State University, Ames, and the M.B.A. degreefrom Northwestern University, Evanston, IL. He hasworked in the technology industry in various engi-neering and management roles for over 13 years. Hehas cofounded two technology startups and has servedas a consultant to various others. Since 2001, he hasbeen a Chief Operating Officer with Intersymbol Com-munications Inc., Champaign, IL. Intersymbol is a ven-ture-backed technology company developing disrup-tive, mixed-signal integrated circuits for optical com-munications industry. Prior to joining Intersymbol, hewas the cofounder and Executive Vice President ofCapacityWeb Inc., a venture-backed supply chain tech-nology company. From 1991 to 1997 he was with Inte-grated Device Technology, Silicon Valley, CA, wherehe developed semiconductor integrated circuit prod-ucts for the personal computer and communicationsindustry. He has also been a management consultantwith Booz, Allen and Hamilton in Chicago, IL. As a Vis-iting Lecturer with the College of Engineering, Univer-sity of Illinois, he teaches a popular graduate levelcourse titled “Technology Innovation and Strategy.”

Jinki Park received the B.S. degree from SeoulNational University, Seoul, Korea, in 1999, and theM.S. degree from TexasA&MUniversity, College Sta-tion, in 2002, both in electrical engineering. In 2003,he joined Intersymbol Communication Inc., Cham-paign, IL, where he was involved in designing ADCand CDR for MLSE-based electronic dispersion com-pensation receivers for SONET applications. Current-ly, he is with Texas Instruments, Dallas, TX, develop-ing mixed-signal power management ICs.

Naresh R. Shanbhag (F’06) received the Ph.D. degreein electrical engineering from the University of Min-nesota, Minneapolis, in 1993. From 1993 to 1995, he waswith AT&T Bell Laboratories, Murray Hill, NJ, where hewas the Lead Chip Architect for AT&T’s 51.84-Mb/stransceiver chips over twisted-pair wiring for asynchro-nous transfer mode (ATM)-LAN and very high-speeddigital subscriber line (VDSL) chip-sets. Since August1995, he has been with the Department of Electrical andComputer Engineering and the Coordinated ScienceLaboratory, University of Illinois at Urbana-Champaign,where he is presently a Professor. His research interestsare in the design of integrated circuits and systems forbroadband communications including low-power/high-performance VLSI architectures for error-control coding,equalization, as well as digital integrated circuit design.He has authored or coauthored numerous publicationsin this area and holds four U.S. patents. He is also acoauthor of the research monograph Pipelined AdaptiveDigital Filters (Kluwer, 1994). Dr. Shanbhag cofoundedIntersymbol Communications Inc., Champaign, IL, aventure-funded fabless semiconductor IC company

developing signal processing enhanced chips for ultra-high-speed optical communications systems. Intersym-bol was acquired by Finisar Corporation in 2007.

Dr. Shanbhag was elevated to the grade of an IEEE Fel-low in 2006, was the recipient of the 2001 IEEE TRANS-ACTIONS ON VERY LARGE SCALE INTEGRATED (VLSI)SYSTEMS Best Paper Award, the 1999 IEEE Leon K. Kirch-mayer Best Paper Award, the 1999 Xerox Faculty Award,the Distinguished Lecturership from the IEEE Circuits andSystems Society in 1997, the National Science FoundationCAREER Award in 1996, and the 1994 Darlington BestPaper Award from the IEEE Circuits and Systems Society.From 1997 to 1999 and from 1999 to 2002, he served asan Associate Editor for the IEEE TRANSACTIONS ON CIR-CUITS AND SYSTEMS II: ANALOG AND DIGITAL SIG-NAL PROCESSING and the IEEE TRANSACTIONS ONVLSI, respectively. He is currently serving on the techni-cal program committees of major international confer-ences such as the International Solid-State Circuits Con-ference (ISSCC), the International Conference on Com-puter-Aided Design (ICCAD), the International Sympo-sium on Low-Power Design (ISLPED), and others.

Andrew C. Singer (M’95–SM’05) received the S.B., S.M.,and Ph.D. degrees, all in electrical engineering and com-puter science, from the Massachusetts Institute of Tech-nology (MIT), Cambridge, in 1990, 1992, and 1996,respectively. Since 1998, he has been on the faculty ofthe Department of Electrical and Computer Engineering(ECE), University of Illinois at Urbana-Champaign,where he is currently an Associate Professor with theECE Department, a Research Associate Professor withthe Coordinated Science Laboratory, and a Willett Facul-ty Scholar. During the academic year 1996, he was aPostdoctoral Research Affiliate with the Research Labo-ratory of Electronics at MIT. From 1996 to 1998, he wasa Research Scientist with Sanders, A Lockheed MartinCompany, Manchester, NH, where he designed algo-rithms, architectures, and systems for a variety of DODapplications. His research spans statistical signal pro-cessing and communication systems and machine learn-ing. In 2005, he was appointed as the Director of theTechnology Entrepreneur Center (TEC) in the College ofEngineering and has started several successful initiativesin the Center. He also cofounded Intersymbol Commu-nications Inc., Champaign, IL, a venture-funded fablesssemiconductor IC company. Intersymbol develops sig-nal processing enhanced chips for ultrahigh-speed opti-cal communications systems. Intersymbol Communica-tions, Inc., was acquired by Finisar Corporation in 2007.

Prof. Singer is a member of of Eta Kappa Nu andTau Beta Pi. He was a Hughes Aircraft Masters Fellowand was the recipient of the Harold L. Hazen Memo-rial Award for excellence in teaching in 1991. Hereceived the National Science Foundation CAREERAward in 2000, the Xerox Faculty Research Award in2001, and was named a Willett Faculty Scholar in2002. He serves as an Associate Editor for the IEEETRANSACTIONS ON SIGNAL PROCESSING and is amember of the MIT Educational Council.

Of the six, Drs. Park, Shanbhag, and Singer aremembers of IEEE.

sscs_NLspring08.qxd 3/26/08 9:44 AM Page 17

Page 18: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

PEOPLE

18 IEEE SSCS NEWS Spring 2008

Winners of the latest DAC-ISSCC and A-SSCC StudentDesign Contests discussed their work in two eveningposter sessions on 4- 5 February at ISSCC in SanFrancisco. The DAC awardees will be formally rec-ognized at the 45th Design Automation Conferencein Anaheim, California in June, 2008. A-SSCC prizewinners were honored at the conference in Novem-ber 2007.

2008 DAC/ISSCC Student Design Contest DAC Student Design Contest co-chair Bill Bowhillsaid that 48 papers were submitted, with participa-tion from over 35 academic institutions, spanningmore than 12 countries around the world. “Thisyear's entries were all very high-quality work,demonstrating innovation and sound engineeringpractice,” he said. “The designs spanned a widerange of technologies: wireless, microprocessors,sensors, analog circuits, data converters, media pro-cessing, and clock synthesis. It was impressive to seethe creativity and technical competence of the stu-dents and gave an exciting vision of the future of theelectronics industry. The nine winners demonstratedexcellence in their design solutions and methodolo-gy,” he said.

“A MIPS 200 Implementation”Nathaniel Pinckney, Thomas Barr, Michael Dayringer,Matthew McKnett, Nan Jiang, Carl Nygaard, DavidMoney Harris, - Harvey Mudd CollegeJoel Stanley, Braden Phillips - The University ofAdelaide

Undergraduate Project a First Exposure to VLSI DesignThirty students from Harvey Mudd College andfour from the University of Adelaide, Australiacooperatively developed a 32-bit mips CPU for anundergraduate course last year. They built all thecomponents of their 160,000 transistor chip setentirely by hand in teams of four and five stu-dents, communicating mostly in video chats via IMand SKYPE, said Michael Dayringer, a projectspokesman.

Recounting how the project got started, Mr.Dayringer said, “more people than expected hadsigned up for Prof. Harris’s class. So he decided itmight be an interesting learning experience foreveryone to build one big chip instead of multiplesmall ones.” The group was broken up into spe-cialized cross-college teams - the memory team,for instance, had four people in the US and four inAustralia. “We actually visited them over springbreak,” Mr. Dayringer said. “We didn’t get to seeanything, but it was a lot of fun.”

How did they decide to submit to the Confer-ence? “We had a pretty sizable project report,” saidMr. Pinckney. “I started writing the paper andeveryone else joined in. The students led theway.”

“XCXO: An Ultra-low Cost Ultra-high AccuracyClock System for Wireless Sensor Networks inHarsh Remote Outdoor Environments”Thomas Schmid, Jonathan Friedman, Zainul Char-biwala, Young H. Cho, Mani B. Srivastava - Uni-versity of California

Best Student Designs Spark Discussions at ISSCC2008 Poster Sessions

From left, Thomas Barr, Nathaniel Pinckney, and MichaelDayringer of Harvey Mudd College.

Michael Dayringer and Nathaniel Pinckney (at left) look onas Thomas Barr presents the group’s work.

sscs_NLspring08.qxd 3/26/08 9:44 AM Page 18

Page 19: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 19

PEOPLE

“iVisual: An Intelligent Visual Sensor SoC with2790fps CMOS Image Sensor and 205GOPS/WVision Processor”Chih-Chi Cheng, Chia-Hua Lin, Chung-Te Li,Samuel C. Chang, Liang-Gee Chen - National Tai-wan University

In the two or three months it took to put this sin-gle-chip sensor together, researcher Chi-Chi Chengsaid he “ate and slept” the project. The devicecombines multiple parts on one circuit board toachieve better security and better performance.Waiting for the results from the chip implementa-tion center, Mr. Cheng said, “I couldn’t work forthree months, I was so nervous. But luckily itworked.”

“PicoCube: A 1cm3 Sensor Node Powered by Har-vested Energy”Yuen-Hui Chee, Mike Koplow, Michael Mark,Nathan Pletcher, Mike Seeman, Fred Burghardt,Dan Steingart, Jan Rabaey, Paul Wright, SethSanders - UC at Berkeley

“A 3Gbps/30K-Rule Virus-Detection ProcessorEmbedded with Adaptively Dividable Dual-PortBiTCAM for Mobile Devices”Chieh-Jen Cheng, Chao-Ching Wang, Kuan-ChingChuang, Tai-An Chen, Tien-Fu Chen, Jinn-ShyanWang - National Chung-Cheng University

Ph.D. students Thomas Schmid (left) and Jonathan Fried-man explained that this UCLA project was supervised byProf. Mani B. Srivastava, building on an idea proposed byDr. Young H. Cho, a post-doc in their laboratory. In the areaof sensor networks, the goal is to have very cheap but accu-rate time, Mr. Schmid said. To achieve this, you can exploitthe manufacturing differences of two AT-cut crystals bymeasuring the drift between the two of them. What you getis a calibration curve which works as a look-up table at runtime. "Temperature is indirectly measured through the driftand therefore, calibrating a temperature sensor is not nec-essary anymore," he said. "The advantage is that you canevaluate it all in digital with just a little timer unit, whichmakes the whole system very cheap."

Mike Seeman (right), a Ph.D. candidate at UC Berkeleyspecializing in switched capacitor power converters, saidthe objective of his project was to devise a wireless sen-sor node that runs off scavenged energy collected fromthe environment. As proof of concept, he explained howthe rotation of a car wheel may generate enough energyto power a wireless sensor node residing inside the rim ofthe tire. The sensor’s targeted size, he said, is a one-cen-timeter cube designed to use very lower power -- on aver-age 6 microwatts, transmitting a packet every six seconds.“There is a lot of fancy technology in here, including a cus-tom Pico Radio -- probably the lowest power transmitterto date - and a custom integrated circuit that rectifies thescavenger energy at about 93% efficiency to charge a bat-tery, and also converts voltage for the loads at approxi-mately 85% efficiency,” he said.

Chieh-Jen Cheng and Chao-Ching Wang (left) representeda team from National Chung-Cheng University that devel-oped a chip dedicated to low-power virus detection inwireless devices at the request of a local company. Theirdevice is distinguished by the inclusion of an on-chipdatabase of virus signatures for fast filtering, with an off-chip database for exact matching, said Mr. Cheng.

sscs_NLspring08.qxd 3/26/08 9:44 AM Page 19

Page 20: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

PEOPLE

20 IEEE SSCS NEWS Spring 2008

“A Low Power Carbon Nanotube Chemical SensorSystem”Taeg Sang Cho, Kyeong-jae Lee, Jing Kong, Anan-tha P. Chandrakasan - Massachusetts Institute ofTechnology

“Silicon Odometer: An On-Chip Reliability Monitorfor Measuring Frequency Degradation of DigitalCircuits”Tae-Hyoung Kim, Randy Persaud, Chris H. Kim -University of Minnesota

“Vision Platform for Mobile Intelligent RobotBased on 81.6 GOPS Object RecognitionProcessor”Donghyun Kim, Kwanho Kim, Joo-Young Kim,Seungjin Lee, Hoi-Jun Yoo - KAIST

“A 242mW, 10mm2 1080p H.264/AVC High ProfileEncoder Chip”Yu-Kun Lin, De-Wei Li, Chia-Chun Lin, Tzu-YunKuo, Sian-Jin Wu, Wei-Cheng Tai, Wei-ChengChang, Tian-Sheuan Chang - Institute of Electron-ics, National Chiao-Tung University

Award Winning A-SSCC Student Designs “A High S/N Ratio and High Full Well CapacityCMOS Image Sensor with Active Pixel ReadoutFeedback Operation”Woonghee Lee, Nana Akahane, Satoru Adachi,Koichi Mizobuchi and Shigetoshi Sugawa.

Affiliation: Graduate School of Engineering,Tohoku University, 6-6-11 Aza-Aoba, Aramaki,Aoba, Sendai 980-8579, Japan; DISP Development,Texas Instruments Japan, 2350 Kihara, Miho,Inashiki, Ibaraki 300-0496, Japan

“A 195mW, 9.1M Vertices/s Fully Programmable 3DGraphics Processor for Low Power MobileDevices”Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim,Jongcheol Jeong, Euljoo Jeong, Suk-Joong Leeand Hoi-Jun Yoo

Affiliation: Dept. EECS, KAIST, 373-1, Guseong-dong, Yuseong-gu, Daejeon, 373-1, Daejeon,KOREA; Corelogic, Inc., 6th FL., City Air Tower,159-9, Samsung-dong, Gangnam-gu, Seoul, 135-973, KOREA

Donghyn Kim with KAIST’s mobile intelligent robot.

From left, Woonghee Lee and Nana Akahane with Prof.Shigetoshi Sugawa of Tohoku University.

Jeong-Ho Woo was spokesman for a joint university-industry 3D graphics processor project from Seoul.

MIT students Kyeong-jae Lee (left) and Taeg Sang (Tim)Cho at the ISSCC 2008 poster session.

A crowd discusses the silicon odometer devised by a teamfrom the University of Minnesota.

sscs_NLspring08.qxd 3/26/08 9:44 AM Page 20

Page 21: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 21

PEOPLE“A Linearization Technique for RF ReceiverFront-End Using Second-Order-IntermodulationInjection”Shuzuo Lou, and Howard C. Luong

Affiliation: Department of Electronic and Comput-er Engineering, Hong Kong University of Science

& Technology, Clear Water Bay, Kowloon, HongKong

Bruce Hecht, SSCS Membership Chair,[email protected]

Katherine Olstein, SSCS Administrator,[email protected]

Nine Lecturers Join SSCS DL ProgramRoster Totals 30 Speakers

The Distinguished Lecturer Program is pleased toannounce the election of 14 Distinguished Lec-turers for the 2008-2009 term, welcoming nine

new speakers and five carried over from the previousterm due to their active participation:

• Kerry Bernstein• Takayuki Kawahara• Rudolph Koch• Kofi Makinwa• K. Nagaraj• David Su• Werner Weber• S. Simon Wong• Bruce Wooley

• Thomas Lee• Vojin G. Oklobdzija• Betty Prince• Behzad Razavi• Jan Van der Spiegel

Lecturers whose terms will continue are:• Dennis Fischettte• Ian Galton• Ali Hajimiri• Kiyoo Itoh• Takahiro Kuroda• John R. Long• Toshiaki Masuhara• Akira Matsuzawa• Sreedhar Natarajan• Bram Nauta• Mehmet Soyuer• Mircea Stan• Albert J. P. Theuwissen• Roland Thewes• Ken Uchida• Ian Young

According to DL Program Chair C. K. Ken Yang, theincoming group represents internationally renownedexpertise in a wide range of topics.

“Our coverage of RF and Analog is enhanced withthe addition of Bruce Wooley, Rudolph Koch, K.Nagaraj and David Su, while Kofi Makinwa andTakayuki Kawahara contribute their expertise in sen-sors, and memories. The participation of SimonWong, Werner Weber, and Kerry Bernstein adds a

component for technology directions. We are excitedby the new additions and we encourage the localchapters to take advantage of their availability andexpertise,” he said.

Kerry Bernstein is a Senior Techni-cal Staff Member at the IBM T. J.Watson Research Center, YorktownHts, NY. He currently is PrincipalInvestigator for 3D integration tech-nology at IBM Research, exploring3D microprocessor and memoryarchitectures, and 3D circuits. Mr.

Bernstein received the B.S. degree in electrical engi-neering from Washington University in St. Louis, andjoined IBM in 1978.

Mr. Bernstein’s work has bridged technology andcircuit design, exploring the technology sensitivities ofhigh performance CMOS circuit topologies; the miti-gation of delay variability in design; and the circuitresponses to single-event upsets. He served as leadtechnologist for IBM’s POWER Server series and forIBM’s PowerPC microprocessor family. He also super-vised technology application for IBM’s highest per-formance external foundry customers. Mr. Bernsteinhas had the privilege of participating in the teamsdeveloping and introducing fundamental device andinterconnect technologies to the industry throughouthis career, including NMOS, CMOS, Partially-DepletedSilicon-On-Insulator devices, and copper/Low-K inter-connects.

Mr. Bernstein holds 50 U.S. patents in the areas ofhigh performance circuits and technology. He co-authored two college textbooks with colleague andfriend Norman Rohrer, and approximately 100papers or book chapters on high speed/low powerCMOS. He attributes any success he has enjoyed inlarge part to working with wonderful, talented peo-ple. Mr. Bernstein has served on the program com-mittees for IEEE ISSCC and the Symposium on VLSIDesign. He derives fulfillment as an industrial men-tor for students and research at SEMATECH, SRC/MARCO, DARPA, and for high schoolers interestedin math/science/engineering careers. Mr. Bernsteinis a staff instructor on Computational Neuroscienceat RUNN/Marine Biological Laboratories, WoodsHole, MA, and a commanding officer in the HQ Bat-talion of the Vermont State Guard. He and his fam-ily live in Northern Vermont. Mr. Bernstein is anIEEE Fellow.

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 21

Page 22: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

PEOPLE

22 IEEE SSCS NEWS Spring 2008

Rudolf Koch, Innovations Manager in the WirelessDivision of Infineon in Munich, Germany.

Among his current research interests are applica-tions of data converters in communication systemsand system and design aspects of wireless trans-ceivers.

Dr. Koch started his career at the CorporateResearch Group of Siemens, Munich, working onsolid-state area imagers. In parallel, he pursued hisPh.D. thesis on the same topics. Later he joined theSemiconductor Division of Siemens where he built upand headed the Analog Design Group. With the spin-off of the Semiconductor Division (under the name ofInfineon) he started RF CMOS design activities in theWireless Division.

He has authored or coauthored more than 25papers and holds over 40 patents. He has been andis the member of numerous program committeesincluding ISSCC, which he currently serves a Euro-pean Chair. He has repeatedly been a speaker or pan-elist, as well as an organizer of panels, and forums orworkshops at various events.

Kofi A.A. Makinwa is an AssociateProfessor at Delft University of Tech-nology, The Netherlands, where heleads a group that designs precisionanalog circuits, pick up modulators,and smart sensors.

He holds B.Sc. (1st Class Hons.)and M.Sc. degrees from Obafemi

Awolowo University, Nigeria, an M.E.E. (cum laude)degree from the Philips International Institute, TheNetherlands, and a Ph.D. degree from Delft Universi-ty of Technology.

From 1989 to 1999 he was a research scientist atPhilips Research Laboratories, after which he joinedDelft University of Technology. He holds nine U.S.patents, has (co)-authored some 70 technical papers,and has given tutorials at several conferences, includ-ing two at the ISSCC. Dr. Makinwa is a co-recipientof JSSC, ISSCC and ESSCIRC best paper awards, andis a recipient of the Simon Stevin Gezel award fromthe Dutch Technology Foundation. He is a seniormember of the IEEE and a member of the YoungAcademy of the Royal Netherlands Academy of Artsand Sciences.

Dr. Krishnaswamy Nagaraj ispresently a Distinguished Member ofTechnical Staff with the Wireless Ter-minal Business Unit of Texas Instru-ments, Inc., Dallas, TX. From 1996 to2003, he was with the Texas Instru-ments Design Center in Warren, NJ,where he led the design of several

mixed-signal and RF integrated circuits for hard-diskdrives, wireless LANs and wireless base stations. Dur-ing 1974-1985, he was with Indian Telephone Indus-tries, Bangalore, where he was involved with thedesign of Digital Communication Systems. During1985-1986, he was with the University of Waterloo,

ON, Canada, where he was engaged in research andteaching in analog circuits. From 1986 to 1996, he waswith Bell Laboratories in Murray Hill, NJ, and Allen-town, PA, where he was engaged in the design ofmixed-signal integrated circuits for telecommunica-tions and data storage. He has been an Adjunct Asso-ciate Professor of Electrical Engineering at the Uni-versity of Pennsylvania, Philadelphia, since 1996. Hereceived the Ph.D. degree from the Indian Institute ofScience, Bangalore, in 1983.

Dr. Nagaraj was the Editor-in-Chief of the Journal ofSolid-State Circuits from 2004 to 2007.

He was an Associate Editor of the IEEE Journal ofSolid-State Circuits during 1998-2003, an AssociateEditor of the IEEE Transactions on Circuits and Sys-tems, Part II, during 1993-1995, and a member of theISSCC Technical Program Committee during 2002-2004.

David Su (S'81 – M’94 - SM'03 –F’06) was born in Kuching, Malaysia.He received the BSEE and M.E.degrees from the University of Ten-nessee, Knoxville and the Ph.D. EEfrom Stanford University. From 1985to 1989 and 1994 to 1999, heworked for Hewlett-Packard Compa-

ny in Corvallis, Oregon, Singapore, and Palo Alto, Cal-ifornia, where he designed CMOS mixed-signal, ana-log, and RF ICs. He has been with Atheros Commu-nications since February 1999, where he is the VP ofAnalog/RF Engineering at Atheros Communications,engaging in the design of CMOS transceivers for wire-less communications.

Dr. Su is a technical program subcommittee mem-ber of the International Solid-State Circuits Confer-ence and served as an associate editor of the IEEEJournal of Solid-State Circuits from 2004-2007. He wasa co-recipient of the IEEE Journal of Solid-State Cir-cuits 2002 Best Paper Award and the 2004 ISSCC Beat-rice Winner Editorial Award. He is also a consultingprofessor at Stanford University.

Werner Weber received a Master’sdegree in physics from TU Münchenin 1976 and a Ph.D. from LMUMünchen in 1981.

1981-1982 he worked in the fieldof semiconductor thin films at IBM'sT. J. Watson Research Center, York-town Heights, NY. From 1983

through 1998 he was engaged in MOS device physicsand basic circuit design and managed projects on tech-nology-related circuits in advanced memories at theResearch Labs of Siemens AG. From 1998-2005 he wasresponsible for the Laboratory on Emerging Technolo-gies at Infineon's Corporate Research, whichaddressed various topics in the field of ambient intel-ligence such as wearable electronics, smart textiles,ubiquitous sensor networks, and distributed low-costelectronics. Since 2005 he has managed variousresearch projects on the development and system inte-

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 22

Page 23: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 23

PEOPLEgration of logic chips and MEMS for the Automotive,Industrial and Multimarket business group of Infineon.

Werner Weber is a member of the ISSCC and IEDMprogram committees and a Fellow of the IEEE.

S. Simon Wong of Stanford Univer-sity studies the fabrication anddesign of high performance integrat-ed systems. His group focuses onunderstanding and overcoming thelimitations of circuit performanceimposed by device, interconnectionand on-chip components.

Wong received the BEE and BME degrees from theUniversity of Minnesota at Minneapolis in 1975 and1976 respectively, and the M.S. and Ph.D. degreesfrom UC Berkeley in 1978 and 1983 respectively.From 1978 to 1980, he was with National Semicon-ductor Corporation designing MOS dynamic memo-ries. From 1980 to 1985, he was with Hewlett PackardLaboratories working on advanced MOS technologies.From 1985 to 1988, he was an Assistant Professor inthe School of Electrical Engineering at Cornell Uni-versity. In 1988, he joined Stanford University wherehe is now Professor of Electrical Engineering. He is afellow of IEEE.

Bruce Wooley is Chairman of theDepartment of Electrical Engineeringat Stanford University. He receivedthe B.S., M.S. and Ph.D. degrees inElectrical Engineering from the Uni-versity of California, Berkeley in1966, 1968, and 1970, respectively.From 1970 to 1984 he was a member

of the research staff at Bell Laboratories in Holmdel,N.J. He was a Visiting Lecturer at UC Berkeley in

1980, and he joined Stanford in 1984. His research isin the field of integrated circuit design, where hisinterests include oversampling A/D and D/A conver-sion, low-power mixed-signal circuit design, circuitdesign techniques for video and image data acquisi-tion, high-speed embedded memory, high-perform-ance packaging and test systems, noise in mixed-sig-nal integrated circuits, and circuits for wireless andwireline communications. He has published morethan 140 technical articles and is a coauthor of TheDesign of Low-Voltage, Low-Power Sigma-Delta Modu-lators and Design and Control of RF Power Amplifiers.He is a coeditor of Analog MOS Integrated Circuits, II.

Prof. Wooley is a Fellow of the IEEE and a pastPresident of the IEEE Solid-State Circuits Society.He has served as the Editor of the IEEE Journal ofSolid-State Circuits and as the Chairman of both theInternational Solid-State Circuits Conference(ISSCC) and the Symposium on VLSI Circuits. He isalso a past Chairman of the IEEE Solid-State Circuitsand Technology Committee. He was awarded theUniversity Medal by the University of California,Berkeley, and he was an IEEE Fortescue Fellow. Hereceived the Winner Editorial Award for paperspublished in the 1991, 1997 and 2004 ISSCCDigests. He was a recipient of the IEEE Third Mil-lennium Medal, and he was recognized for his Out-standing Contributions to the Technical Papers ofthe International Solid-State Circuits Conference onthe occasion of the conference's fiftieth anniver-sary. He is also a recipient of the OutstandingAlumnus Award from the EECS Department at theUniversity of California, Berkeley.

Katherine Olstein, SSCS Administrator,[email protected]

Chandrakasan Discusses Micro-power IntegratedCircuits and Systems in OttawaSSCS Chapter Hosts DL Talk at Carleton University in December

Ram Achar, Chair, Ottawa SSCS/CAS/ED Joint Chapter

Prof. Anantha Chandrakasan of MIT visited “SiliconValley North,” a Canadian technical-innovation hub,speaking at Carleton University in Ottawa on 20December, 2007. His lecture on micro-powered inte-grated circuits, design methodologies and their appli-cations was organized by the joint OttawaSSCS/CAS/ED chapter as one of a series of three DLevents last year.

An audience of about 45 academics and represen-tatives from local industry attended the highly interac-tive session.

Abstract:Energy efficient system design requires systematicoptimization at all levels of the design abstraction

ranging from devices and circuits to architectures andalgorithms. The design of micro-power systems willenable operation using energy scavenging. A majoropportunity to reduce the power dissipation of digitalcircuits is to scale the power supply voltage below thedevice thresholds (i.e., sub-threshold operation). Theopportunities and challenges associated with sub-threshold design include variation-aware design forlogic and SRAM circuits, efficient DC-DC convertersfor ultra-low-voltage delivery, and algorithm structur-ing to support extreme parallelism. Other power man-agement techniques are ultra-dynamic-voltage scaling,fine-grained power gating and 3-D integration. Theuse of highly digital architectures for wireless com-munication circuits can also significantly reduce sys-

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 23

Page 24: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

PEOPLE

24 IEEE SSCS NEWS Spring 2008

tem energy dissipation. Specific examples of powermanagement focus on wireless sensor networks andimpulse based ultra-wideband communications asdrivers.

Anantha P. Chandrakasan receivedthe B.S, M.S. and Ph.D. degrees inElectrical Engineering and ComputerSciences from the University of Cali-fornia, Berkeley in 1989, 1990, and1994 respectively. Since September1994, he has been with the Massa-chusetts Institute of Technology,

Cambridge, where he is currently the Joseph F. andNancy P. Keithley Professor of Electrical Engineering.

He was a co-recipient of several awards includingthe 1993 IEEE Communications Society's Best Tutori-al Paper Award, the IEEE Electron Devices Society's1997 Paul Rappaport Award for the Best Paper in anEDS publication during 1997, the 1999 DAC DesignContest Award, the 2004 DAC/ISSCC Student DesignContest Award, and the ISSCC 2007 Beatrice WinnerAward for Editorial Excellence.

His research interests include low-power digi-tal integrated circuit design, wireless microsen-sors, ultra-wideband radios, and emerging tech-

nologies. He is a co-author of Low Power DigitalCMOS Design (Kluwer Academic Publishers,1995), Digital Integrated Circuits (Pearson Pren-tice-Hall, 2003, 2nd edition), and Sub-thresholdDesign for Ultra-Low Power Systems (Springer2006). He is also a co-editor of Low Power CMOSDesign (IEEE Press, 1998), Design of High-Per-formance Microprocessor Circuits (IEEE Press,2000), and Leakage in Nanometer CMOS Tech-nologies (Springer, 2005).

He has served as a technical program co-chairfor the 1997 International Symposium on LowPower Electronics and Design (ISLPED), VLSIDesign '98, and the 1998 IEEE Workshop on SignalProcessing Systems. He was the Signal ProcessingSub-committee Chair for ISSCC 1999-2001, the Pro-gram Vice-Chair for ISSCC 2002, the Program Chairfor ISSCC 2003, and the Technology DirectionsSub-committee Chair for ISSCC 2004-2007. He wasan Associate Editor for the IEEE Journal of Solid-State Circuits from 1998 to 2001. He served onSSCS AdCom from 2000 to 2007 and he was themeetings committee chair from 2004 to 2007. He isthe Technology Directions Chair for ISSCC 2008,and the Director of the MIT Microsystems Technol-ogy Laboratories.

Kuroda Speaks in Seoul on CMOS Proximity Inter-Chip CommunicationsIEEE SSCS Seoul Chapter, Prof. Sung Min Park, [email protected]

SSCS Distinguished Lecturer Tadahiro Kuroda of KeioUniversity presented a talk to 120 attendees at EwhaWomans University on 15 November, 2007. Prof.Kuroda is an IEEE Fellow and an elected member ofthe Society’s AdCom.

From left: Prof. Chulwoo Kim, Prof. Seungjun Lee, Prof. Jin-wook Burm, Prof. Kwang-Sub Yoon, Prof. Tadahiro Kuroda,Prof. Jinyong Chung, Prof. Sung Min Park.

Abstract: Scaling of CMOS integrated circuits isbecoming difficult due to increased power dissipationand device variations. Two future directions in ICtechnology are in prospect: “More Moore” through

conventional device scaling and “More than Moore”through System-in-Package (SiP). This lecture presentssome of the recent research achievements on 3D sys-tem integration by SiP, with special focus placed onCMOS proximity inter-chip communications. Capaci-tive and inductive coupling I/Os are emerging non-contact parallel links for chips that are stacked in apackage. They are implemented by digital circuits ina standard CMOS. Since no new wafer process ormechanical process is required, they are inexpensive.Because there is no pad exposed for contact, ESDprotection structure can be removed. Chips under dif-ferent supply voltages can be directly connectedbecause they provide an AC-coupling interface. In thislecture, fundamental differences between inductivecoupling and capacitive coupling are discussed.Advantages of the inductive coupling over Through-Silicon-Vias and micro-bumps are referred to. Circuittechniques to raise the aggregated data rate to 1Tb/s,lower energy dissipation to 0.1pJ/b, and extend com-munication ranges over 1mm are presented. Lastly,future challenges and opportunities such as a 3D scal-ing scenario are described.

Prof. Kuroda’s audience was comprised of about 80undergraduates, 30 graduate students, and ten profes-sors. His subject was very much related to the inter-ests of the audience. Most of the professors whoattended work in the areas of analog, RF, and inter-

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 24

Page 25: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 25

PEOPLEface circuits and so were very much satisfied with thetalk. The undergraduate students were enrolled in acourse in "microelectronics" and therefore were verymuch aware of what the talk was about.

Tadahiro Kuroda received the Ph.D. degree in EEfrom the University of Tokyo. From 1982 to 2000 hewas with Toshiba Corporation, where he designedCMOS/BiCMOS/ECL SRAMs, ASICs, ASSPs. From1988 to 1990, he was a Visiting Scholar at the Uni-versity of California, Berkeley, where he conductedresearch in the field of VLSI CAD. He invented a Vari-able Threshold-voltage CMOS technology and a Vari-

able Supply-voltage scheme in 1996. In 2000, hemoved to Keio University, and has been a professorthere since 2002. Currently a Visiting MacKay Profes-sor at UC Berkeley, his research interests includelow-power, high-speed CMOS design for wirelessand wireline communications, human computerinteractions, and ubiquitous electronics. He has pub-lished more than 200 technical publications including50 invited papers and 18 books/chapters, and filedmore than 100 patents. He served as aconference/TPC chair/member of IEEE conferencessuch as the Symposium on VLSI Circuits, CICC, A-SSCC, DAC, ASP-DAC, ICCAD, and ISLPED.

Dennard Receives Medal from Benjamin FranklinInstitute

Dr. Robert Dennard(center) receivedthe 2007 Benjamin

Franklin Medal in ElectricalEngineering from theFranklin Institute, Philadel-phia, PA for “inventing the1-transis tor/1-capaci torDynamic Random AccessMemory that significantlyreduced the cost of memo-ry, and for contributing tothe development of themetal oxide semiconductorscaling principle that guidesthe design of increasinglysmall and complex integrat-ed circuits.” Dr. Dennardwas congratulated in a din-ner ceremony at the Institute on 27 April by Dr. PeterJ. Collings, Past Chairman of The Franklin InstituteCommittee on Science and the Arts and Dr. DennisM. Wint, President and CEO, The Franklin Institute

(from left, to his left) andby Professor Charles A.Kapps, Laureate Sponsorand Mr. Lawrence W. Dob-bins, Laureate Sponsor(from left, to his right).

In addition to TheFranklin Institute AwardsCeremony and Dinner,each medalist traditionallyparticipates in a sympo-sium in his or her honor ata local university. BobDennard’s symposium washeld at Temple Universityin Philadelphia, PA andfeatured presentations byDr. R. Jacob Baker, Dr.Kiyoo Itoh, and Dr. Bijan

Davari, in addition to a talk by Dr. Dennard himself.

Beth Scheraga, Awards Program DirectorThe Franklin Institute

Congratulations New Senior Members24 Elected in October and December 2007

Rui Aguiar Portugal SectionThomas Amberg Santa Clara Valley SectionMel Bazes Israel SectionPeter Beerel Metropolitan Los Angeles SectionMarc Belleville France SectionDidier Belot France SectionYut Chow Malaysia SectionJan Craninckx Benelux SectionJohn Ebner Baltimore SectionHans Eichel Germany SectionDennis Ferguson Central Texas SectionRichard Ferrant France Section

Patrick Garda France SectionAndrea Gerosa Italy SectionDavid Gulbransen Buenaventura SectionSuhwan Kim Seoul SectionGuido Masera Italy SectionIan O'Connor France SectionRichard Perdriau France SectionMoises Robinson Central Texas SectionZhenhua Shao Metropolitan Los Angeles SectionW Sung Seoul SectionChih-Kong Yang Coastal Los Angeles SectionAlireza Zolfaghari Orange County Section

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 25

Page 26: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

PEOPLE

26 IEEE SSCS NEWS Spring 2008

Have you noticed lately that you seem to berequired to do more work but with no more time inwhich to do it? The load steadily increased, but some-one forgot to add another hour to the day to make itpossible. “Too much work—not enough time!” is thecry we hear everywhere we go these days, and wehave decided to do something about it.

No, we have not found a way to give you 25 hoursin a day, but we may be able to shave off some pre-cious minutes that you could use for a valuable pur-suit (such as sleeping). In this series we will offer tipsfor making the communication part of your workdaya little easier and less time-consuming. We start withwriting.

In the rush-to-win world of business today, writtendocuments have generally become shorter, for thesimple reason that no one seems to have the time toread anything long. Quick emails have replaced two-page memos and even sometimes progress reports(occasionally with disastrous results, as they can soeasily disappear). Reports and proposals have shrunkin size, if not importance. The challenge, in this envi-ronment, is to get a lot of information into a veryshort space. This is not an easy task. It usually feelslike trying to squeeze a size 10 foot into a size 6 shoe.

How can we do it easily and—more important—quickly? The answer is the Five-Minute Miracle.

The Five-Minute MiracleThe Five-Minute Miracle is just a simple conversation.It takes place between you and your reader.

In your mind you say to your reader, “I want to tellyou about…(the subject of your e-mail or shortreport).” Doing this immediately clarifies for you thesubject of your report.

Let’s say you are writing a short report, primarily totop executive readers, on a customer survey you havejust completed. You would begin, “I want to tell youabout the results of our customer satisfaction survey.”

You imagine your reader responding, “I have onlyone minute. What do you want to tell me about it?”

Then you set the timer for five minutes. Use the first minute to tell your reader your main

message: what you have accomplished, determined,or discovered and why it matters to the reader (if thisis not obvious). Please note an important point here:If you have to struggle to find the significance of yourinformation for that reader, you are probably choos-ing the wrong main message. For instance, if you starta report to executives with a description of a newtechnical method you used for your latest survey, you

will soon realize that those readers will be deeplyunderwhelmed. Tell them first what your analysisfound and why it matters to the work and success ofthe company. Save the technical details for technicalreaders.

In our example you might say the following to startyour report: “Eighty percent of our customers are‘very pleased’ with the performance of our new prod-uct A, according to our latest customer satisfactionsurvey. The biggest areas for improvement are after-sale service and product reliability under extremelyheavy use.”

Then imagine your reader looking at his or herwatch and deciding that your message is worth pur-suing a bit more. Let the reader ask you two criticalquestions that he or she would want clarified afterthinking over your main message. Answer these twoquestions in a couple of sentences. The questions andyour answers should take four minutes or less.

In our example two critical questions might bethese: Why did you do this survey? What is the prac-tical significance of these results?

It is a good idea to ask and answer those questionsorally and record what you say. If you think you willnot take the time or the trouble to do that, just run thequestions through your head and answer them onpaper.

Writing down your main message (and being sureof its significance to the reader) and your answers tothe reader’s most pressing questions will give you asolid, short missive. You can always append tablesand analyses to back up your claims. The Five-Minute Miracle will work for an e-mail, a shortreport, an executive summary, or a short introducto-ry proposal.

Just imagine being able to draft those in five minutes.The actual document will probably take you 10 or

15 minutes, as you will want to edit the language andperhaps refine the thought.

Why Does It Work?The Five-Minute Miracle works because it enables

you to cut through all the information you have andpull out only that which your reader wants to know.It puts the focus on the reader and makes you answerthe questions he or she would have when faced witha new piece of information, namely:

• What is this?• Why does it matter?• How can we use it?• What else do I need to know about it?

TOOLS:Tips for Making Writing EasierPart 1: The Five-Minute Miracle

Peter and Cheryl Reimold, www.allaboutcommuncation.com

This article is reprinted with permission from the authors and the IEEE Professional Communication Societyfrom the March/April 2003 issue, Volume 47, Number 2, pages 13-14 of the IEEE PCS Newsletter.

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 26

Page 27: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 27

PEOPLEThis method saves both you and your reader a

great deal of time and aggravation spent either writ-ing or reading facts that confuse or do not matter tothat reader. Try it and let us know how it works foryou; we’d love to hear from you.

Cheryl and Peter Reimold have been teaching com-

munication skills to engineers, scientists, and busi-nesspeople for 20 years. Their firm, PERC Communi-cations (+1 914 725 1024, [email protected]), offersbusinesses consulting and writing services, as well ascustomized in-house courses on writing, presentationskills, and on-the-job communication skills. Visit theirWeb site at http:// www.allaboutcommunication.com.

analog integrated circuits: RobertWidlar. These two issues of the SSCSare going to stay on my bookshelfindefinitely.

Sincerely,Bruce J. Tesch

Senior Member of TechnicalStaff

Maxim Integrated Products

To the Editor

Dear Sirs,

In R. C. Foss’s article in the SSCSNews, winter 2008, he refers to anarticle by Stein of Siemens regardingDivided Bit Lines of a DRAM ampli-fier. This article apparently wasreferring to my patent US 3,678,473filed in June 4, 1970 and issued inJuly 18, 1972. Mr. Stein’s paper waspresented in 1972, apparently basedon my patent. It is important to notethat practically all DRAM’s to datehave used the concept of my patent.

As a curiosity, I would like tomention that Stanford ResearchInstitute acquired a contract fromShell Oil Develop Company fordeveloping a special computerbased on my presumed invention ofthe DRAM memory. I actually pre-sented the idea in negotiations withShell. When the patent was appliedfor, it was discovered that R. H.Dennard’s patent had just beenissued.

The development contract wassigned and my development team,which included members of Shell,SRI and American Micro SystemsInc., proceeded to design the mem-

ory system. This was the first work-ing DRAM. It is possible that TexasInstruments had some prototypeworking at the time, but they werequick to acquire the Shell patents, aswere all other DRAM manufacturers.

It is interesting how easily one’scontribution to the technology isforgotten unless you blow your ownhorn. Case in point, my Programma-ble Logic Array US Patent #3,473,160issued Oct. 14, 1969 entitled “Elec-tronically Controlled MicroelectronicCellular Logic Array.” At the time(December 11, 1967), my conceptwas published in Electronics Maga-zine under the title “Programmablelogic arrays- Cheaper by the mil-lions.”

In the late 1980’s, Ross H.Freeman (Co-founder of Xilinx)applied for a patent on Programma-ble Logic Arrays. Referring to morethan 20 previously issued patentsfrom 1965 to 1977, he neglected tomention my patent, which would inall probability have stoppedissuance of the Xilinx patent at thetime.

Yours trulySven E. Wahlstrom2251 Mill Creek Rd

Ukiah, CA 95482Tel. 707-463-5500.

[email protected]/24/2008

To the Editor

Dear Sirs,

Following my piece (DRAM: A per-sonal View) in the IEEE SSCS News,I received an e-mail from Sven

Wahlstrom who added some datamissing from that piece. It was hispatent, US 3,678,473 on a pioneer-ing DRAM that was in due courseowned by Shell Oil. Its date sug-gests that his work was close to, butindependent of, the idea publishedby Karl Stein. So credit for the useof a balanced divided bit lineshould probably be dividedbetween them.

He also noted his pioneeringwork on programmable logic arrays.This inspired me to remember thatvery early work in the mid – 1960’sby Peter Noble also likely anticipat-ed subsequent patents on PLA’s.

Peter’s work was done as a PostGraduate student at Imperial Col-lege (University of London), and hisdesign was built by Plessey in P-Channel metal gate and publishedin an obscure journal, whose nameI do not remember! He was aremarkable contributor. Chiefly Irecall that he headed up an opto-electronic group in the late ‘60’s thatproduced a 72 x 5 array of photo-diode cells with PMOS accessdevices. The chip used doublemetal and was 0.4 inches long. Asour wafer size was 1.5” diameter,yield was extraordinarily low. In agood month, we made one! I recallthe production total needed was 15{!} as it replaced a vidicon and a rackfull of electronics. Happy days!

Yours truly, R.C. Foss

1286 Rideau Cove CourtOttawa

K1V1R8 Canada15 February, 2007

Letters to the Editor continued from page 4

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 27

Page 28: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CONFERENCES

28 IEEE SSCS NEWS Spring 2008

Bill Buxton - Surface ComputingSurface computing is characterized by large flat displays,typically that are touch sensitive. They can be mountedon the wall, but I spoke about them as interactive tables,and used some of my earlier work at the University ofToronto and Microsoft's Surface Computer.

Microsoft’s Surface Computer.

This emerging class of computational device isbeing enabled by new developments in large inex-pensive display technologies. They will typically beintegrated into our work and living environments,much like furniture or art on the wall.

Tangible or graspable computing has to do withgraspable devices, whose form factor (shape, feel,etc.) tells us both what they are and how to use them.Cameras and mobile phones could be examples, buta degenerate case might be your mouse (in interact-ing with your computer, you don't expect sound tocome out of it, or to speak into it, for example, eventhough you know that it is for controlling your PC).

What I discussed is what happens when these twotechnologies meet - when the tangible device can beplaced on the interactive surface, and in so doing,become a kind of control device. And, where the sur-face can make visible the operation and interactionswith and among the various tangible devices on its sur-face. In a way, a kind of figure-ground relationshipemerges, where the Surface establishes a common

ground for understanding amongst both people anddigital devices, with the net result that there is a signif-icant decrease in complexity and increase in usefulness.This is illustrated in the images from a mobile phone.

“The real voyage of discovery consistsnot in seeking new landscapes but inhaving new eyes.”Bill Buxton, quoting Marcel Proust at the ISSCC

Plenary Session, 2008.

Key to all of this is the ability of the presence, posi-tion, identity and functionality of the tangible devicesto be sensed by each other and especially by the sur-face. What this means is a whole new layer of pro-tocol, with special needs, amongst the “society ofdevices” that will make up the technological eco-sys-tem of tomorrow.

In getting this right, there are a lot of challenges. Asthere are all kinds of technological issues that will accel-erated or impede the realization of this potential, the goalof my talk was to introduce these issues of usage to theISSCC, and hopefully encourage the ISSC community toconsider them in thinking about what to do next.

Mike Muller - Embedded Processing at the Heart ofLife and StyleARM CTO Mike Muller commented on the challengesfacing embedded processor designers as technologypenetrates every day life. The following summarizessome of the issues identified; his full paper includesclear direction to the solutions.

IntroductionFrom pacemakers through mobile phones to passen-ger jets, most people deal with electronic devicesempowered by embedded processor cores, every day,without a second thought. This penetration intoeveryday lives across such a broad range of applica-tions requires the embedded core developer to workin close partnership with many of the world’s leading

ISSCC 2008 Plenary Talks Spotlight FuturisticConsumer ElectronicsBuxton and Muller Presentations Recapped and Illustrated

Bill Buxton at work at the University of Toronto.

Images from a mobile phone on the surface.

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 28

Page 29: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 29

CONFERENCESsemiconductor companies and OEMs, demandingclose attention to technology trends, from fundamen-tal technology up to application requirements.

In the trade-off between performance, power, anddie area, there is no right answer, as each applicationhas its own requirements. A diagnostic device thatpasses through the intestines may require 7 to 10hours of battery life, while a pacemaker may requireyears; power consumption for an airbag deploymentcircuit, on the other hand, is not so critical, but fail-ure-free performance is literally lifesaving.

Consumers Mature with the MarketConsumers are becoming more concerned about theenvironment as they mature and this is changing leg-islation and behavior. It is expected that green legis-lation will increase the cost of energy, and the OECDestimates that we will need to invest up to 150 billiondollars each year[1] if we are to be successful in tack-ling environmental problems. This will happenthrough a combination of measures, such as carbonpricing taxation and trading, mandated energy ratingson electrical goods, and the banning of energy-ineffi-cient conventional technologies such as incandescentlight bulbs, as has been done in Australia. The appli-cation of existing technologies, and the developmentof new technologies to address these constraints, willfuel growth in the semiconductor market.

Among other things, intelligent control will be usedto lower the power consumption of consumer devices.New-generation washing machines will consume 70%[2]

less energy than today’s appliances, through the rotorcontrol of permanent-magnet synchronous motors.Three-phase brushless motors are 10 times more effi-cient than conventional single-phase induction motors,but they are infrequently used, partly because theyrequire a complex field-oriented control algorithm tomanage them. Intelligent consumer appliances willautomatically control their own standby operations.

The world’s 2.3 billion mobile phones can be keptcharged with approximately 100 megawatts of elec-tricity, which is equivalent to the output of two largewind-farms. In contrast, the world’s one billion per-sonal computers are on for nine hours per day [3],requiring 95,000 megawatts of electricity or theapproximate output of 114 large coal-fired (835-megawatt) power plants.

Manufacturing Processes Evolve, but ChallengesIncreaseManufacturing issues are increasingly influencing con-verter architectural choices. Previously, in portingsemiconductor processes to a new node, the newimplementation would consume less power and becheaper, smaller, and faster. Currently, in the case ofa design optimized for a general 90nm process beingported to a new 65nm process, there is a choicebetween a generic (65G) and a low-power (65LP)process. Both scale from the 90nm process, and the65G process shows the traditional improvements inenergy per operation of 30% and an increase in fre-quency of 60%, but leakage increases by 25%. The65LP process can improve leakage by 98% with amodest power saving of 7%, but is 10% slower thanthe older 90nm process. This means that it is possibleto retain the original design and suffer the increasedleakage when porting to 65G; but porting to 65LPalmost certainly requires a new design, as the processis slower. In this case, the micro-architecture mightneed to be redesigned, or the design replicated torecover the lost operational performance while enjoy-ing the improved leakage performance.

Geometric scaling will continue beyond 65nm, butscaling of performance and power are slowing. In thepast, standard voltage scaling relied on reducing

M Muller ARM ISSCC 2008

Archos AV400 PMP ARM9

Toshiba 52HM84 52” DLP Television

Diverse Products and Markets

Samsung Blu-Ray DVDARM926EJ-S™ + JTEK S/W

Nintendo DS-LiteARM9 & ARM7

Toshiba GigabeatARM1136J-S™

Nokia N95ARM11

JVC CamcoderARM7TDMI®

Samsung Camcorder

ARM9

Sharp IT-32X2 LCD Television

Sony PEG-Vz90 CliePersonal Digital Assistant

Alfa Romeo Brera &149ARM9™ & ARM7™

LEGO

ARM7™

Apple iPhone

Netgear Wireless-N Router

ARM9

Ford Sync

ARM1136

M Muller ARM ISSCC 2008

Low Power Through Intelligent Control

Intelligent washing machines will save 70% energy over today’s appliances

Rotor control of permanent magnet synchronous motors

Intelligent consumer appliances

UK DTV/STB are being re-programmed to turn themselves off at night

Reduce UK electricity bills by $15 million andreduce CO2 emissions by 32,000 tonnes a year

Intelligent light control systems in offices use 40% less power than conventional light switches

Three-phase brushless motors are 10x more efficient than AC induction motors

Require complex field-oriented control algorithm

The top image is an intelligent washing machine;the 2nd image from the top is an intelligent set top box; the third image down is a light switch.

M Muller ARM ISSCC 2008

The necessity of Low Power

World’s 2.3Bn mobile phones can be kept charged with 100 Mega-Watts

Equivalent to 2 large wind-farms

World’s 1Bn PCs are on for 9 hours* per day requiring 95,000 Mega-Watts

Equivalent to 114 large coal-fired (835MW) power plants

* US PCs are on for 9.2 hours per day - www.itfacts.biz

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 29

Page 30: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CONFERENCES

30 IEEE SSCS NEWS Spring 2008

operating voltage (and inherently Vt) as oxide thick-ness was also scaled. The thinner oxide leads toincreased leakage. In order to compensate, Vt is kepthigher than the scaled value, and the devices are opti-mised to achieve better performance. The high Vtkeeps VDD from being lowered as much as would bedesirable for optimal power.

The combination of all of these effects with ever-increasing transistor densities will be a challenge forlow-power systems that may only be solved with sys-tem-level solutions.

Whatever transistor is used, leakage management isa significant challenge that must be addressed. Whilethere are modest global optimisations to minimiseleakage using dynamic voltage scaling, completelyturning off power to a circuit with power gating is thebest way to control leakage. However, state retentionrequires special flip flops with either an area penaltyneeded by the retention flip flop or a reload-energycost for external memory. The question then becomeswhen to power up and down, and how to do thisquickly. Power gating is always a tradeoff betweensleep/wake-up times and leakage savings. State save-and-restore may cost more energy than is saved dur-ing sleep, and the latency introduced may violate real-time constraints. The in-rush current at switch-on maycorrupt storage. Balancing these is clearly a systemlevel problem combining software, micro-architec-ture, and circuit solutions.

Memory gets Larger; SoC solutions become Com-plex Heterogenous SystemsWhile the process roadmap may be faltering in deliv-ering improvements in both active and static powerand performance, the good news is that area improve-ments will continue to deliver larger memories,although at a slowing rate, and with memory being theprimary enabler for software. Product differentiationhas now switched from hardware to software.

However, system complexity is heterogeneous, anddistinctions can be drawn between control-plane anddata-plane computation. Control-plane problems inmany consumer devices are associated with largeoperating systems – such as those developed byMicrosoft, Symbian, and the Linux community – andthe applications they run – from Web browsers tovoice recognition and 3D games. Data-plane prob-lems encompass 3D- graphics rendering, high-defini-tion video encode and decode, and high-speed wire-less modems. SoC solutions are now complex hetero-geneous systems comprising many sub-systems. Ahigh-end mobile phone now contains up to fiveprocessors [4], and even a hard-disc drive containstwo.

Multicore becoming Many-CoreAs multicore solutions scale, the programming para-digms and hardware efficiencies for maintaining acoherent memory system begin to fail, and we movefrom today’s 2 to 4 multicore systems to 32 to 64 mul-ticores and on to many-core. Many-core is not aboutsolving small problems faster, but solving big prob-lems, such as natural language comprehension, withspeech I/O, natural language translation, graphicsindistinguishable from reality, unstructured search,and indexing. If we have had solutions for theseapplications, we have lacked the necessary imagina-tion to propose them; but others will not.

Many-core will not be without costs. We accept afour-times slowdown to write in high-level (HL) lan-guages, using sequential paradigms that are not sym-pathetic to the hardware, and perhaps a factor of 10or more for Java, .NET, Perl, and similar languages.

M Muller ARM ISSCC 2008

An inconvenient choice

Either higher performance or

higher energy efficiency: not both

Processes come in flavors

high K? dual gate? high K? dual gate?

M Muller ARM ISSCC 2008

The system challenge

Design

The right system, with the right components, efficiency, flexibility, and performance

Implementation

Within acceptable energy and yield envelopes

Without breaking the verification budget

Programming

To preserve the software investment

Without overly constraining platform choice

Controller

L2 Mem

DMA

I/O

Interrupt

Controller

......

ARM

Cortex R4

Radio PE

L1 Mem

DM

AT

ask

support

Debug

AR1DE core

Sys Ctrl

ARM

Cortex R4

ARM

Cortex A8

Ph

ysic

al

layer

sig

na

l p

roc

es

sin

g

Pro

toc

ol

Ap

pli

ca

tio

n

Radio PE

L1 Mem

DM

AT

ask

support

Debug

AR1DE core

Sys Ctrl

AM

BA

3

M Muller ARM ISSCC 2008

Now repeat at the Chip Level

Video

DisplayTV

Decode

Wireless xDSL

CMPEG

Processing

Core

Baseband Signal

Processor

OFDM

Modem

Processor5-10K

Lines of

Microcode

>100K Lines

of Appl S/W

20-50K Lines

of Protocol

F/W

5-10K Lines

of Control

Code

250-500K

Lines of F/W

Over 2M Lines

of Application

S/W

50-100K

Lines of

Protocol F/W

250-300K

Lines of DSP

F/W

Up to 2M

Lines of

Network S/W

Nearly five million lines of code to enable Media gateway

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 30

Page 31: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 31

CONFERENCESMany people have built clusters of clusters of con-ventional multicore processors with limited success,and it appears that building thread-rich software willcost another factor of four, again, mostly because of aparadigm gap between the software and the hard-ware. To close this paradigm gap may need architec-tures that could be slower than existing processors.This leads us to need as many as eight new proces-sors to replace one old processor. Systems beyond1,000 cores would then yield the benefits that a newprogramming paradigm could offer.

Alternative to CMOS in Future Consumer Devices?Just as vacuum tubes gave way to CMOS to enable the

computing revolution, CMOS may need to cede itsdominance to new materials if we are to achieve thenext revolution in intelligent consumer devices.

References:[1] Stern Review: Economics for Climate Change 2007,

www.hmtreasury.gov.uk/independent_reviews/stern_review_economics_climate_change/stern_review_report.cfm

[2] EDN Europe 6th June 2007 Issy-les-Moulineauxcedex Paris, France.

[3] www.itfacts.biz [4] www.businessweek.com/technology/content/

jul2007/tc2007072_9 57316_page_2.htm

ISSCC REPLAY ON DEMANDToo Tight A Personal Schedule?

Recalcitrant Management?

So you missed ISSCC this year!

Now ISSCC offers the second best thing to beingthere: ISSCC REPLAY ON DEMAND. All regularpapers from the 2008 Conference have been record-ed, including all presented visuals, the cursor overlayand movement; and the speaker audio.

The audio quality is excellent. You may view andlisten to any regular paper as many times as you wishfor up to two years. This is particularly advantageouswhere English is a second language for either the lis-tener or the speaker. ISSCC REPLAY ON DEMANDalso greatly augments the information availablethrough Xplore and the Conference Digest of Techni-cal Papers.

The 2008 ISSCC REPLAY ON DEMAND will beavailable in June of this year. You may purchase your

personal subscription by going to www.isscc.org.The 2008 version also includes access to similarrecordings for the 2007 Conference. The cost for apersonal subscription is $200. The ISSCC web siteincludes a demo which you can view before pur-chasing a subscription.

The International Solid-State Circuits Conference isrecognized as the foremost global forum for presen-tations on advances in solid-state circuits and systems-on-a-chip. The conference offers a unique opportuni-ty for engineers working at the cutting edge of ICdesign to review in one setting approximately 250 ofthe highest quality technical papers available.

David Pricer, Executive Director ISSCC

Classic Books and New Releases Share Best-SellerStatus at ISSCC 2008 Niknejad’s “Electromagnetics for High-Speed Analog and Digital Communication Cir-cuits” and Books by Best, Pan-Samaddar and Maloberti are “Two-Year Phenomena”

Katherine Olstein, SSCS Administrator, [email protected]

According to the publishers offering ISSCC browsers arelaxing diversion from the hectic tempo of the con-ference, classic books and new titles captured equalattention in 2008, while a volume by Ali Niknejad andthree other books continued to be run-away success-es for a second year.

“Two-Year Phenomena”An instant attraction that sold out within hours in 2007, Elec-tromagnetics for High-Speed Analog and Digital Com-munication Circuits, by Ali M. Niknejad remained thenumber-one seller in 2008 for Cambridge University Press.

Dr. Julie Lancashire, Engineering Publisher, recalled, “Ispent the rest of the exhibition in 2007 taking orders forthe book and fending off people who desperately want-ed my last copy.” She attributes its popularity to the factthat electromagnetics is becoming increasingly importantas circuits get smaller, faster and more efficient. “TheNiknejad book meets a clear need, and it is written by avery well known authority in high-speed circuit design,”she said. “The book helps circuit engineers understandelectromagnetics in the context of circuits (whereas mostof them will probably have learned it in a more abstract,less-practically relevant fashion back at university).”

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 31

Page 32: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CONFERENCES

32 IEEE SSCS NEWS Spring 2008

Electromagnetics for High-Speed Analog and DigitalCommunication Circuits, byAli M. Niknejad (CambridgeUniversity Press, 2007) USD85.00, ISBN-13:9780521853507,Hardcover, 464 pages.www.cambridge.org

Three other books that sold briskly for a second yearat ISSCC were:

Phase Locked Loops 6/e, byRoland E. Best (McGraw-HillProfessional, 2007) USD 89.95,ISBN-0071493751 /9780071493758, Hardcover,448 pages.www.books.mcgraw-hill.com

McGraw-Hill characterizes Phase Locked Loops,6th edition as “an essential tool for achieving cutting-edge PLL design, filled with the latest PLL advances,”including new chapters on frequency synthesis, PLLs,and a new collection of sample communicationsapplications.

Charge Pump Circuit Design,by Feng Pan & Tapan Samaddar(McGraw-Hill Professional, 2006)USD 99.95, ISBN: 007147045X /9780071470452, Hardcover, 247pages.

Charge Pump Circuit Design “explains the differ-ent architectures and requirements for an efficientcharge pump design and explaining each step in detail”with abudant hands-on design information, potentialpitfalls to avoid, and practical ideas harnessed from theauthors' extensive experience designing charge pumps.

Data Converters, by FrancoMaloberti (Springer, 2007),XVI, USD 99.00, ISBN: 978-0-387-32485-2, Hardcover, 440pages. www.springer.com

According to Springer, Maloberti’s Data Converters isa comprehensive, graduate-level textbook meant to sup-port teaching and self-learning analog-digital interfaces atmany levels: the specification, the conversion methodsand architectures, the circuit design and the testing.Examples and end-of-chapter problems are included.

Switch Mode Power Sup-plies: SPICE Simulations andPractical Designs,Christophe P. Basso (McGraw-Hill, 2008) USD 99.95, ISBN0071508589/9780071508582, Hardcover.www.books.mcgraw-hill.com

mm-Wave Silicon Technolo-gy-60 GHz and Beyond,Ali M. Niknejad, Hossein Hashe-mi, Eds., (Springer, 2008) USD129.00, ISBN: 978-0-387-76558-7.XII, Hardcover, 303 pages.www.springer.com

Nonvolatile Memory Tech-nologies with Emphasis onFlash: A ComprehensiveGuide to Understanding andUsing Flash Memory Devices,Joe Brewer and Manzur Gill,Eds., (Wiley, 2008) USD 135.00 ISBN: 978-0-471-77002-2Hardcover, 759 pages.www.wiley.com

Memory Systems - Cache,DRAM, Disk, by Bruce Jacob,Spencer Ng and David Wang(Elsevier, 2008) USD 99.95,ISBN: 978-0-12-379751-3900,Hardcover, 900 pages.www.elsevierdirect.com

Top Selling New Titles Focus on Switch-Mode Power Supplies, mm-Wave Silicon, and Memory

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 32

Page 33: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 33

CONFERENCESSwitch-Mode Power Supplies: SPICE Simulationsand Practical Designs, by Christophe P. Basso, wasMcGraw-Hill’s top seller this year. The volume, asdescribed on the publisher’s website, is a “compre-hensive resource on using SPICE as a power conver-sion design companion. Invaluable to both the gradu-ating student and the experienced design engineer, thisguide explains how to derive founding equations ofthe most popular converters, design safe, reliable con-verters through numerous practical examples and uti-lize SPICE simulations to virtually breadboard a con-verter on the PC before using the soldering iron.” Thebook “uniquely bridges analysis and market reality toteach the development and marketing of state-of-theart switching converters.”

Also published in 2008, mm-Wave Silicon Technol-ogy – 6OGHz and Beyond by Ali M. Nikejad sold sec-ond-best for Springer at the Conference. According tothe publisher’s representative, people came to look forthis book due to the several sessions and tutorial on thesubject that were offered in the program.

Written for RF/Micowave engineers and graduatestudents, Springer states that it “provides in depth cov-erage of advanced silicon processing technologiesincluding CMOS and SiGe as well as modeling ofactive and passive devices on silicon at millimeterwaves. It also provides coverage of mm-wave circuitbuilding blocks such as low noise amplifiers, mixers,voltage controlled oscillators, frequency dividers, andpower amplifiers that are suitable for integration in sil-icon, with additional information on highly integratedmm-wave transceiver architectures, including severalsilicon-based case studies and advanced topics such asantenna arrays and beam-forming on silicon.”

Beginning with fundamental technology scalingand device-level changes that have allowed mm-wavesilicon performance, the text then covers critical front-end mm-wave building blocks that achieve high gain,low noise, high power, and good dynamic range inlow voltage silicon technology. The book culminateswith the discussion of phased-array systems capableof beam forming and exploiting spatial diversity forincreased throughput or range.

Written as a general reference, Nonvolatile Mem-ory Technologies with Emphasis on Flash ismeant to serve as a supplemental text for undergrad-uate and graduate courses on nonvolatile memoryand as a resource for engineers, technical managers,and other sophisticated practitioners.

Wiley describes this guide to flash memory as anall-inclusive treatment of Flash technology, includ-ing Flash memory chips, Flash embedded in logic,binary cell Flash, and multilevel cell Flash. Com-prised of thirteen succinct chapters authored by pio-neers in the field, the book begins with a brief tuto-rial of elementary concepts to orient readers whoare less familiar with the subject. Next, it buildsupon this foundation to cover all aspects and varia-tions of Flash technology at a mature engineeringlevel: basic device structures, principles of opera-tion, related process technologies, circuit design,overall design tradeoffs, device testing, reliability,

and applications. Elsevier’s Memory Systems - Cache, DRAM, Disk--

intended for practicing engineers in microarchitectureand computer system design, embedded system design,low power design as well as graduate students inadvanced computer architecture -- “tells you everythingyou need to know about the logical design and opera-tion, physical design and operation, performance char-acteristics and resulting design trade-offs, and the ener-gy consumption of modern memory hierarchies, andtackles the challenging optimization problems that resultfrom the side-effects that can appear at any point in theentire hierarchy.”

Classics by Baker and SansenAnalog Design Essentials by Willy Sansen wasSpringer’s number one best seller for a third straightyear, while CMOS: Circuit Design, Layout, andSimulation, 2nd edition by R. Jacob Baker was afourth-year top seller for Wiley at the IEEE Registra-tion desk and in the conference book room. Com-mentary on Sansen’s book in the SSCS News of March2006, and a review of Baker’s in the SSCS News ofOctober 2004, may be accessed from the SSCSNewsletter Archive.

Other top selling books at ISSCC 2008 were:

Cambridge University Press www.cambridge.orgIntegrated Frequency Synthesizers for WirelessSystems, by Andrea Leonardo Lacaita, Salvatore Lev-antino and Carlo Samori (2007) USD 120.00, ISBN-13:9780521863155,Hardback, 238 Pages.

The Design of CMOS Radio-Frequency IntegratedCircuits, 2nd Edition, by Thomas H. Lee (2003) USD

Analog Design Essentials, TheSpringer International Series inEngineering and Computer Sci-ence, Vol. 859, by Willy Sansen(Springer, 2nd printing, 2006)USD 99.00, ISBN: 978-0-387-25746-4, Hardcover, 780 pages. www.springer.com

CMOS: Circuit Design, Lay-out, and Simulation, 2ndEdition, by R. Jacob Baker(Wiley-IEEE Press, 2004) USD99.95, ISBN: 978-0-471-70055-5, Hardcover, 1080 pages. www.wiley.com

sscs_NLspring08.qxd 3/26/08 9:45 AM Page 33

Page 34: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CONFERENCES

34 IEEE SSCS NEWS Spring 2008

85.00, ISBN-13: 9780521835398 | ISBN-10: 0521835399,Hardback, 816 pages.

Elsevier www.books.elsevier.comSketching User Experiences: Getting the DesignRight and the Right Design, by Bill Buxton (2007)ISBN: 978-0-12-374037-3, USD 49.95, 448 pages.

VLSI Test Principles and Architectures - Designfor Testability, by Laung-Terng Wang, Cheng-WenWu and Xiaoqing Wen (2006) ISBN: 978-0-12-370597-6 USD 67.95, Hardcover, 808 pages.

Oxford www.oup.comDesign of Analog Filters, by Rolf Schaumann andMac E. Van Valkenburg (2001) ISBN13: 9780195118773ISBN10: 0195118774 USD132.00, Hardback, 752 pages.

An Introduction to Mixed-Signal IC Test and Mea-surement, by Mark Burns and Gordon W. Roberts(2000) ISBN13: 9780195140163ISBN10: 0195140168USD 139.00, Hardback, 704 pages.

SPICE Second Edition, by Gordon Roberts and AdelSedra (1996) ISBN13: 9780195108422ISBN10: 0195108426,USD 46.95, Paper, 464 pages.

Wiley www.wiley.comAll-Digital Frequency Synthesizer in Deep-Submi-cron CMOS, by Robert B. Staszewski and Poras T.Balsara (2006) ISBN: 978-0-471-77255-2, USD 116.95,Hardcover, 261 pages.

Wireless LAN Radios: System Definition to Tran-sistor Design, by Arya Behzad (2007) ISBN: 978-0-471-70964-0, USD 79.95, Hardcover, 241 pages.

Family Expectations Spawn Successful Careers Arati Prabhakar Keynotes 2nd ISSCC Luncheon For Women In Solid-State Circuits

Katherine Olstein, SSCS Administrator, [email protected]

“When I was growing up, my mom regularly startedsentences with ‘When you get your Ph.D.’ It wasn’t ajoke but a literal expectation and, for me, a very pos-itive thing.”

The personal comments and outstanding creden-tials of Arati Prabhakar, a General Partner at US Ven-ture Partners in Menlo Park, CA, held audience atten-tion at the 2008 ISSCC Luncheon for Women in Solid-State Circuits. Terri Fiez, the organizer and moderatorof the event said, “Arati Prabhakar provides anextraordinary example of what women can be. We aretrying to bring the younger generation up to her level.That is our whole goal.”

As an Indian woman growing up in Texas, Aratilearned to celebrate, rather than dislike, the fact thatshe was different, said Fiez. “She knew herself well,she recognized what she could bring, and she figuredout how to marshal her strengths to be successful.”Audiences at events like the ISSCC women’s luncheonare always interested in how to have a family and acareer, Fiez said.

About work-personal life balance, Dr. Prabhakarremarked, ”The only useful advice I’ve ever heard isthat you have to marry the right person – someonewho shares your perspective about building your lifetogether, someone who believes in you and wants tosee your professional success take the shape youwant it to take. Every professional couple deals withthe challenges that this brings. But unless it starts withsome basic shared view, it doesn’t converge. It’s pret-ty obvious, but nothing else matters,” not only forwomen but also for men, she said.

Prabhakar also noted “a sense of boundlessness”in her perspective as a young person. “Although wehad moved to Texas when I was a child, I never

imagined staying there for the rest of my life,” shesaid. “My mother had picked up in her early 30’sand moved her family from India. There was verylittle I was going to do that could be more chal-lenging than that.”

Dr. Fiez, who is Director of the School of ElectricalEngineering and Computer Science at Oregon StateUniversity, described the inspiration and purpose ofthe women’s luncheon in a telephone interview.

“You go into a talk; there are several hundred atten-dees, and maybe one woman other than yourself. Atthis gathering, you find almost 70 women.” Many areamazed to find that there are so many women at theconference, she said. The ISSCC luncheon for women

The ISSCC-sponsored luncheon for women in solid-statecircuits aims to create a community for career support anda network for expanding the employment of women andminorities in industry.

sscs_NLspring08.qxd 3/26/08 9:46 AM Page 34

Page 35: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 35

CONFERENCES

in solid-state circuits supports women in pursuingtheir careers and going out into the work force, whilesimultaneously serving the goal of increasing groupsunder-represented in industry – women and minori-ties, said Fiez.

“Last year, it was a little awkward,” she recalled.“We had to work to get the attendees to talk to eachother because there wasn’t a really a network in place.This year it had a different feel. Women came in; theymight have recognized someone from before; theywere re-acquainting.” The ISSCC-sponsored luncheonfor women in solid-state circuits attracted a multi-national group predominately of employed profes-sionals, with the remaining quarter graduate students.

Arati Prabhakar is a GeneralPartner at US Venture Partnersof Menlo Park, CA, which shejoined in 2001 after 15 years ofworking with world-class engi-neers and scientists across manyfields to brew new technolo-gies. Her focus at USVP is fab-less semiconductor and semi-

conductor manufacturing opportunities. She also serveson the boards of Kilopass, Kleer, Lightspeed Logic, Piv-otal Systems, and SiBeam, and served previously on theboard of Leadis Technology.

From 1986 – 1993, Dr. Prabhakar was a ProgramManager and then a Director of the Microelectron-ics Technology Office of DARPA (the US DefenseAdvanced Research Projects Agency), where shesupported R&D in company and university labs insemiconductor manufacturing, imaging, optoelec-tronics and nanoelectronics. In 1993, PresidentClinton appointed her Director of the NationalInstitute of Standards and Technology, where sheled a 3,000 person staff until 1997, subsequentlyjoining Raychem as Senior Vice President and ChiefTechnology Officer. Afterward, she became VicePresident and then President of Interval ResearchCorporation.

Dr. Prabhakar began her career as a Congres-sional fellow at the Office of Technology Assess-ment. She received a B.S. E. E. from Texas TechUniversity and M. S. and Ph.D. degrees from theCalifornia Institute of Technology. She is a Fellowof the IEEE.

2007 Update DVD Needs Foundations DiskReminder for SSCS Members Who Attended ISSCC 2008

Are you an SSCS member who picked up the SocietyArchive DVD Update for 2007 included in your regis-tration at February’s ISSCC?

The Solid-State Circuits Digital Archive Update 2007was bundled with ISSCC 2008 registration fees forSSCS members only. The 2007 Update disk includesarticles from 2001 to 2007. The Foundation articlesfrom 1955 through 2000 are on a Foundation DVDthat was issued last year.

How to Get the Foundations DiskIf you need a Foundation disk please send an emailrequesting the Foundation Disk to Katherine [email protected] with your member number andcomplete postal address. The Foundation Disks willbe mailed to requesters in May.

The DVD pair includes all the articles of the IEEEJournal of Solid-State Circuits, plus five conferences:a) ISSCC International Solid-State Circuits Conference

b) VLSI Symposium on Circuits c) CICC Custom Integrated Circuits Conference d) ESSCIRC European Solid-State Circuits Conference e) A-SSCC Asian Solid-State Circuits Conference

Didn’t attend the ISSCC?Others members interested in the DVD 2-disk set areadvised to consult the IEEE store [www.ieee.org/go/shop_search] and search on the IEEE ProductNumbers listed below:

Title IEEE No.Foundations (1955-2000) JD28106 Solid-State Circuits Digital Archive 2006

Update 2007 (2001-2007) JD28107 Solid-State Circuits Digital Archive Update 2007

If you subscribed to the 2007 Update at renewal, theDVD was mailed in February.

“It’s important for women in solid-state circuits to connect and recognize thatthey are not alone in their profession.”

Terri Fiez, Women in Solid-State Circuits Luncheon Coordinator

SSCS-Seoul Chapter was Local OrganizingCommittee for A-SSCC 2007IEEE SSCS/EDS Seoul hosted the third Asian Solid-State Circuits Conference (A-SSCC 2007) on Novem-ber 12th to 14th on the beautiful island seashore of

Jeju, Korea. Professor Chong-Min Kyung, the GeneralChair of A-SSCC 2007, gave the welcoming address.Professor Kwang Sub Yoon, the Local Organizing

sscs_NLspring08.qxd 3/26/08 9:46 AM Page 35

Page 36: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CONFERENCES

36 IEEE SSCS NEWS Spring 2008

The 22nd Annual International Symposium on VLSICircuits will be held on June 18-20th, 2008 at theHilton Hawaiian Village, Honolulu, Hawaii. This year

the Symposium will offer three days of technical pre-sentations and informal evening rump sessions onVLSI circuit design. New for this year, the VLSI Circuit

2008 Symposium on VLSI Circuits in Honolulu on18-20 June

From left to right: Masayuki Mizuno, Program Co Chair,Stephen Kosonocky, General Chair, Kazuo Yano, GeneralCo-Chair, Katsu Nakamura, Program Chair.

Committee Chair and SSCS/EDS Seoul Chapter Chair,served as master of ceremonies.

Held in Taiwan in 2005, China in 2006, and Koreain 2007, the conference takes place each year in aregion with rapidly growing IC research, productionand sales to provide unique opportunities for designexperts and leaders in technology and business togather in Asia to exchange ideas and information.

Some technical papers from the A-SSCC will beprinted in a special edition of JSSC. The best threeStudent Design Contest papers were presented atISSCC 2008.

Members of the A-SSCC 2007 steering committee gathered in Jeju island. From top left, Prof. Jerry Jou, Prof. SungHwanCho, Dr. Koichiro Mashiko, Prof. Sung Min Park, Prof. Kwang Sub Yoon, Prof. Andy Chung, Prof. Ikeda, Prof. Un-Ku Moon,anonymous attendee, Prof. Van der Spiegel, Dr. Hirata. From bottom left, Prof. Chen, Prof. Asada, Dr. Shimohigashi, Prof.Chong-Min Kyung, Prof. Sansen, Prof. C-K Wang, Prof. Hoi-Jun Yoo, Prof. Z. Wang, Prof. Sakurai, Prof. Kuroda, anony-mous attendee.

Prof. Kyung Chong-Min gave the welcoming address at A-SSCC2007. Prof. Kwang Sub Yoon (at left) was master of ceremonies.

sscs_NLspring08.qxd 3/26/08 9:46 AM Page 36

Page 37: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 37

CONFERENCESSymposium will overlap the VLSI Technology Sympo-sium by two full days. The two overlap days betweentechnology and circuits symposia will allow registrantsto attend papers from either symposium, providing aunique opportunity to learn about the latest advancesin both VLSI circuits and technology, and to interactand exchange ideas with people from both symposia.

The International Symposium on VLSI Circuits hasestablished itself as a major international forum forpresenting and exchanging important ideas and newdevelopments in VLSI circuit design. The scope of theSymposium covers all aspects of VLSI circuits, includ-ing digital, processors, signal processing, analog &mixed signal RF circuits, volatile and non-volatilememory circuits as well as circuits for novel technolo-gies. The circuit innovations to be presented at thisSymposium will form the foundation for future devel-opments and advances in the semiconductor industry.Contributions to the Symposium come from bothindustry and academia from around the world. Boththe Circuit and Technology symposiums attract wellover 1000 combined attendees from all over the world.

This year, the technical program committee reviewed410 submissions to the Circuits Symposium and chose84 papers for presentation and publication at the CircuitsSymposium in addition to 78 papers to be presented atthe Technology Symposium. Paper selections werebased on technical quality and impact to the designcommunity, with representation from industrial and aca-demic institutions from around the world, and representthe latest circuit design concepts for digital, memory,analog, wireless, and wireline applications. Consideringthe changing technological demands, we hope you willattend and participate in the technical sessions, rumpsessions and short courses promising lively andinformative discussions of leading-edge concepts.

Invited SpeakersInvited papers are always the pinnacle of the Sympo-sium, focusing on both technical and business impli-cations of technological changes, presented by aca-demic and industry leaders. This year we plan to havetwo distinguished invited speakers; the first presenta-tion by Anantha Chandrakasan of MIT on “Next Gen-eration Micro-power Systems” and the second byKunio Uchiyama of Hitachi, Ltd, on “Power-EfficientHeterogeneous Parallelism for Digital Convergence.”

Rump SessionsEvening rump sessions are organized around contro-versial topics and experts are invited to present diver-gent views. All aspects of the controversy areexplored, and a spirited discussion ensues; activeaudience participation is encouraged! This year theCircuits Symposium rump session topics are:

(1) The Future of Silicon Storage – Can Solid StateTechnologies Take Center Stage?

With the market for storage class memories pro-jected to exceed 500 Exabytes* by 2012, and flashand DDR based solid-state drives (SSD) already

making inroads into the enterprise sector of stor-age and into niche applications, will the continuedscaling of SSD cost and capacity enable silicon totake center stage in storage?

(2) Photons vs. Electrons – Which will Win andWhen? (the ongoing race for short distance highspeed data rate connectivity)

This session discusses the utilization of copper andoptical interconnects for high-speed chip-to-chip inter-faces, along with the emergence of new disruptivetechnologies. The panel focuses on high-speed short-range and medium-range data connectivity and issuesregarding the design of transceivers for these systems,as well as the roadmap of IOs for future high-per-formance/low-power/ small-form-factor systems.

Special Feature: Joint Rump Session (Technologyand Circuits)There is also a joint rump session sponsored by mem-bers of both the Circuits and Technology committees.This year’s topic is “Ten Years After – Has SOI finallyArrived?” organized by Gunther Lehman, Infineon andKazutami Arimoto, Renesas.

Two Short CoursesThe first, organized by John Barth of IBM and MasaoIto of Renesas, is entitled “Embedded MemoryDesign.” This Short Course includes talks by expertsin the field.

The second Short Course, organized by Tom Kwanof Broadcom and Koichi Nose of NEC, is “EmbeddedPower Management Circuits and Systems.” Both shortcourses will be held on Wednesday, June 17.

They are a condensed one-day course intended to giveattendees an excellent overview of the topic as well as toprovide the latest developments in the area. This is a rareopportunity to hear timely presentations given by recog-nized leading practitioners and researchers who teach oth-ers to do what they do best.

About the VenueThe Hilton Hawaiian Village Beach Resort & Spa,located on Waikiki’s widest stretch of beach, featureslush tropical gardens, waterfalls and exotic wildlife.For general information on the hotel, please go toHilton Hawaiian Village. For travel planning, visit theHawaii Visitors and Convention Bureau. You can alsofind useful information for planning your travel by vis-iting the Honolulu International Airport.

For questions about hotel reservations contact:HILTON HAWAIIAN VILLAGE2005 Kalia Road, Honolulu, Hawaii 96815, USATelephone: 808-949-4321Fax: 1-808-947-7898

For registration and other information, visit the VLSISymposia home page at: www.vlsisymposium.org or seemore contact information in the SSCS Events Calendar.

sscs_NLspring08.qxd 3/26/08 9:46 AM Page 37

Page 38: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CONFERENCES

38 IEEE SSCS NEWS Spring 2008

IEEE Radio Frequency Integrated Circuits Symposium(RFIC) to Open Microwave Week 2008 in Atlanta Jenshan Lin, Tina Quach & Yann Deval

RFIC General Chair RFIC Technical Program Chairs

The IEEE Radio Frequency Integrated Circuits (RFIC)Symposium will return to Atlanta, Georgia on June 15-17, 2008 to open Microwave Week 2008 -- the largestworld-wide RF/Microwave meeting of the year. Held inconjunction with the IEEE MTT-S InternationalMicrowave Symposium and the InternationalMicrowave Symposium and Exhibit, RFIC adds to theexcitement of Microwave Week with three days focusedexclusively on RFIC technology and innovation.

As activity in RFIC technologies has grown, thenumber of papers submitted to the Symposium hasreached an all-time high. Our Technical Program Com-mittee carefully reviewed and selected excellentpapers for 27 oral sessions, an Interactive Forum, andtwo panel sessions covering nearly all facets of RFICtechnology on Monday and Tuesday, June 16th and17th. The symposium will also offer 12 workshops andtutorials on Sunday, June 15th.

On Sunday evening, a Plenary Session will be heldwith keynote addresses given by two renownedindustry leaders:

The first speaker, Robert VanBuskirk (President, Multi-marketProducts Group, RFMD, Inc.), willpresent a talk entitled “Best of BothWorlds: Multi-market DiversityEmbedded in a Scale RF Semicon-ductor Business.”

Abstract: Many RF semiconduc-tor companies seek a balance between scale-driven,high volume business demands and more diverse,lower volume business requirements. Successful RFcompanies can manage these potentially conflictingbusiness goals and realize significant advantagesthrough the balance of scale and multi-marketdiversity. Using the acquisition of Sirenza Microde-vices by RFMD in November 2007 as a platform todiscuss this balance, the significant competitiveadvantages for a multi-market business embeddedin a scale RF business will be addressed. This talk

will explore the benefits of theseunique competitive advantages andgive concrete examples of howgrowth can be accelerated by lever-aging the “Best of Both Worlds.”

The second speaker, Dr. Zoltan J.Cendes (Founder, Chairman andCTO, Ansoft Corporation), will give a

talk entitled “First Pass System Success - When FirstPass Silicon Success is Not Enough.“

Abstract: Advances in the performance andaccuracy of design automation software and elec-tromagnetic modeling have enabled RFIC design-ers to apply their skills to achieve first pass siliconsuccess for complex mixed-signal radio circuits.Now, coupling between circuit simulation andparameterized electromagnetics allows them toinclude detailed analysis of packaging and printedcircuit board parasitic coupling to track systemperformance. A silicon vendor may produce awireless SoC that performs flawlessly at the pack-aged part level. Once that part is placed on a sys-tem PCB, the complex interactions among traceson the board, the coupled impedances betweenpackage pins and the PCB, and nonlinear effects inthe circuit itself can combine to generate spuriousradiation and corrupt signal/power integrity. Theseundesired effects can be predicted by applying fullelectromagnetic simulation of the package andboard in concert with a top-level transient or har-monic balance simulation at the circuit level. Wepresent an overview of key simulation technolo-gies and discuss how they can be applied toachieve first pass system success for complex elec-tronic products.

The three best student paper awards will bepresented in the Plenary Session. Immediatelyafter the Plenary Session, the highly anticipatedRFIC Reception will provide a relaxing time for allto mingle with old friends and catch up on the lat-est news.

With an exciting technical program focusing ontechnical accomplishments in RF systems, circuit,device and packaging technologies for mobilephones, wireless communication systems, broadbandaccess modems, radar systems and intelligent trans-port systems, the RFIC Symposium continues to buildupon its heritage as one of the foremost IEEE techni-cal conferences dedicated to the latest innovations inRFIC development of wireless and wire line commu-nication IC’s.

On behalf of the RFIC Technical Program Commit-tee, we look forward to seeing you at the 2008 RFICSymposium in Atlanta.

More information may be found at www.rfic2008.org/.

sscs_NLspring08.qxd 3/26/08 9:46 AM Page 38

Page 39: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 39

CONFERENCES

Hot Chips 2008 Will Meet on 24-26 August20th Annual Conferenceon the Stanford University Campus

Since it started in 1989, HOT CHIPS has been knownas one of the semiconductor industry's leading confer-ences, highlighting high-performance microprocessorsand related integrated circuits. It is perceived by lead-ing manufacturers as a major venue for the unveilingof new architectures and advanced digital products.

Held once a year in August at Stanford Universityin the center of Silicon Valley, the world's capital ofelectronics activity, the conference emphasizes realproducts and realizable technology. Topics of specialinterest this year include:* General Purpose Processor Chips

- Low-Power- High-Performance- Multi-Core, Multi-Processor Technologies:

interconnect, programming models,compilers, runtime systems

* Other Chips- Novel Technology: Quantum Computing, Nano-Structures, Micro-Arrays

- Low-power chips/Dynamic Power Management- Communication/Networking - Chipsets- Wireless LAN/Wireless WAN

- FPGAs and FPGA Based Systems - Display Technology

* Application-Specific / Embedded Processors- Systems-on-Chip- Mobile Phone - Digital Signal Processing- Network/Security- Graphics/Multimedia/Game

* Software- Compiler technology- Operating System/Chip Interaction- Performance Evaluation

* Other Technologies - Advanced Packaging Technology- Reliability and Design for Test- Advanced Semiconductor Process Tech-

nologyPresentation slides from the conference will be pub-lished in the HOT CHIPS Proceedings. A select groupof authors will be invited to submit their papers forinclusion in a special issue of IEEE Micro.

Don Draper, General Chair,Hot Chips 20

ISLPED Moves to Asia in 2008International Symposium on Low Power Electronics to Meet in Bangalore on 11-13 August

Located in Asia for the first time this year, the Inter-national Symposium on Low Power Electronics(ISLPED) will take place in Bangalore -- India's SiliconValley -- on the campus of one of the country’s pre-mier graduate schools, the Indian Institute of Science.The program, comprised of two concurrent tracks oncircuit and system aspects, will offer a unique oppor-tunity for identifying synergies between circuit, sys-tem, and application designers in meeting powerbudgets. There will also be invited keynote addressesby leaders from industry and academia, as well aspanel sessions.

The International Symposium on Low Power Elec-tronics and Design (ISLPED) is the premier forum forrecent advances in all aspects of low power designand technologies, ranging from process and circuittechnologies, simulation and synthesis tools, to sys-tem level design and optimization. ISLPED is co-sponsored by the IEEE Solid-State Circuits Society.

The three day event from 11-13 August is one notto miss. More information is available atwww.islped.org/.

Vojin Oklobdzija, Technical Program Chair

sscs_NLspring08.qxd 3/26/08 9:46 AM Page 39

Page 40: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CHAPTERS

40 IEEE SSCS NEWS Spring 2008

The Montreal Chapter of SSCSwas honored to be the win-ner of the 2007 Outstanding

Chapter Award announced atISSCC in February, 2008. Foundedin 1999, SSCS-Montreal includesover forty SSCS members and hun-dreds of students, and spans sever-al industrial companies and univer-sities in the greater Montreal area.

The Montreal Chapter credits itssuccess to the interest of its mem-bers in remaining up-to-date withthe latest technological achieve-ments and breakthroughs in thedesign of solid-state circuits.

Invaluable Support from theMicrosystems Research Allianceof QuebecThe co-sponsorship of Montrealchapter activities by the Microsys-tems Research Alliance of Quebec(ReSMiQ) has been key in enablingthe organization to enjoy a broadrange of activities. ReSMIQ com-prises 39 researchers from sevenQuebec universities working in thearea of integrated microsystems.ReSMIQ has provided financial andlogistic support to the MontrealChapter. More importantly, ReSMIQmembers have supported the Mon-treal Chapter by helping to organizeand participating in the Chapter’sactivities. The achievements of theMontreal Chapter are the result ofthe support of ReSMiQ members.We feel very indebted to all of themfor their help and support.

Activities Focus on Networkingand EducationSSCS-Montreal aims at raising theprofile of SSC engineers within thelocal industrial and academic com-munities. Towards this aim, the Chap-ter has striven to organize at least onemonthly activity for its members. Thediligence of Chapter officers and the“charm” of the city of Montreal havehelped to attract a large number ofSSCS distinguished lecturers andmany other delegates from both SSCSand CASS. Moreover, the MontrealChapter organizes meetings to pro-vide the latest information and mate-

rial to SSCS members who are unableto attend SSCS conferences. In addi-tion, the Montreal Chapter andReSMIQ have co-sponsored severalconferences in Montreal, includingthe international IEEE NEWCAS Con-ference (held annually in theprovince of Quebec) and, mostrecently, the 2007 IEEE MWCAS andthe 2007 IEEE BioCAS Conferences.

We believe that the key for man-aging an effective SSCS Chapter isto create and sustain interest andenthusiasm for custom solid-statecircuits, through serving the learn-ing needs of current Chapter mem-bers and attracting new members,especially students and engineers.Montreal Chapter members haveexpressed increasing interest in theseminars and short-courses co-organized by the Montreal Chapterand ReSMIQ. Therefore, this will bean area of increasing chapter focus.

We would like to thank all theSSCS distinguished lecturers fortheir generosity and time to visit theMontreal Chapter. Finally, wewould like to thank SSCS for its

annual financial support and forgiving us the opportunity to estab-lish an SSCS Chapter in Montreal.

Prof. Anas HamouiDept. of Electrical & Computer

EngineeringMcGill University

[email protected]

Prof. Mohamad SawanDept. of Electrical Engineering

Ecole Polytechnique de Montré[email protected]

Co-Chairs of the SSCS MontrealChapter

SSCS-Montreal Receives Outstanding ChapterAward for 2007

SSCS President Willy Sansen (right) presented SSCS-Montreal Chapter Co-Chair,Anas Hamoui with the Society’s Outstanding Chapter of the Year award for2007 during the Plenary session of the ISSCC on Monday, 4 February 2008. Theannual award recognizes an outstanding record of consistent leadership andinitiative in organizing activities that have contributed to the growth and vital-ity of the Solid-State Circuits Society.

Prof. Mohamad Sawan (left) with Prof.Anas Hamoui.

sscs_NLspring08.qxd 3/26/08 9:46 AM Page 40

Page 41: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 41

CHAPTERS

The 3rd Annual Austin Con-ference on Integrated Sys-tems & Circuits (ACISC) will

bring together people with amutual interest in presenting,learning, and debating the hotissues facing the semiconductorindustry. The event, to be heldthis year at the UT-Austin Com-mons Conference Center on the J.J. Jake Pickle Research Campus ofThe University of Texas at Austin,on 7-9 May 2008 will serve as alocal forum for the disseminationof technical information andadvances in electronic technologyat chip, board and system levels,covering design, CAD methodolo-gy, and testing.

ACISC strives to combine thenatural blend of The University ofTexas' excellence in educationwith the thriving semiconductorindustry in Texas, especiallyaround Austin.

While Austin may be betterknown as “The Live Music Capitalof the World,” the “Silicon Hills” ofthe USA is a hotbed of electronicdesign with most of the world'smajor semiconductor companiessituated in or around the immedi-ate area.

Consistently ranking high onlists of best places to live, work,and play, Austin has been namedone of America’s best vacationdestinations, most wireless, envi-ronmentally-aware, pet-friendly,hi-tech city, and a top place forbusinesses.

The Central Texas Section's JointChapter of the Solid-State Circuits& Circuits and Systems Societieshas been affiliated with ACISCsince the conference’s inception.Thanks to the relationships formedbetween our Chapter Officers andthe UT Engineering Faculty, theChapter enjoys access to state ofthe art multi-media meeting facili-

ties on the UT campus for ourmonthly Chapter functions. TheChapter also benefits by collabo-rating with the UT EngineeringDepartment, with IEEE SSC andCAS Distinguished Lecturers, andwith the University's VLSI Sympo-sium Series.

As the University of Texas Engi-neering Department has reachedout to the Austin technical com-munity, it finds a young, but eagerSSC & CAS Chapter committed tothe same. Our Chapter strives topull the Austin semiconductorcommunity out of its cubicles tocome together to enlighten, edu-cate, and maybe even collaborate.The Chapter is a clearinghouse forthe achievements, progress, andresearch of academia and industrythroughout Central Texas. TheACISC conference is a key elementin this endeavor.

This past year, Michael Senin-gen, Chapter Chair of the SSC&CASCentral Texas Section of the IEEE,was honored to be invited tobecome a member of the ACISCSteering Committee and will Chairthe Digital Section for the 2008ACISC conference. Former ChapterVice-Chair, Matt Felder, has servedon the ACISC’s Program Committeesince the conference’s inception.

The ACISC Conference will bebuoyed by two excellent KeynoteSpeakers as well as several com-plementary tutorial sessions pre-sented by leading experts in theirfields.

The Keynote Speakers will be:

Dr. Necip Sayiner, President, CEO, andBoard Member,Silicon Laboratories.

Dr. Necip Sayinerserves as SiliconLaboratories’ presi-dent and chief exec-

utive officer and is a member ofthe board of directors. Necipjoined Silicon Laboratories in Sep-tember 2005, and he brings to thecompany a strong mix of deeptechnical expertise in mixed-signaltechnologies and a proven capabil-ity to manage and grow large,diversified businesses.

Dr. Jason Rhode,President and CEO,Cirrus Logic.

Dr. Jason Rhodereceived Ph.D. andM.S. degrees in elec-trical engineeringfrom North Carolina

State University and holds a B.S.degree in electrical engineeringfrom San Diego State University. Amember of IEEE, Rhode has beenissued 19 U.S. patents in the areaof mixed-signal technologies. In2007 he was named Cirrus Logic'sPresident and Chief Executive Offi-cer. Dr. Rhode took the top posi-tion at Cirrus at a particularly chal-lenging time. He will discuss hisvision for the future of the semi-conductor industry.

The Tutorials will include:“Out of Order Super-scalar Architecture,” Dr. Derek Chiou,University of Texasat Austin.

Dr. Derek Chioureceived his Ph.D.,S.M. and S.B. degrees

from the Electrical Engineering andComputer Science Department atthe Massachusetts Institute of Tech-nology. There he was a member ofthe Computation Structures Group.He was an architect of the StarT-Voy-ager machine as well as the StarT-NGmachine. After completing his Ph.D.,Dr. Chiou became a system architectat Avici Systems. Prof. Chiou is nowa professor at the University of

3rd Annual Austin Conference on IntegratedSystems & Circuits (ACISC)Meeting in “The Silicon Hills of the USA” on May 7-9, 2008

sscs_NLspring08.qxd 3/26/08 9:46 AM Page 41

Page 42: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CHAPTERS

42 IEEE SSCS NEWS Spring 2008

Texas at Austin. He is interested incomputer architecture, parallelcomputer architecture, Internetrouter architecture and computerengineering.

“Holistic Coupling of Manufac-turing and Design,”

Sani Nassif, IBM.

Dr. Sani R. Nassifreceived his Ph.D.from Carnegie-Mel-lon University inthe 1980’s. Heworked for ten

years at Bell Laboratories on vari-ous aspects of design and tech-nology coupling including devicemodeling, parameter extraction,

worst case analysis, design opti-mization and circuit simulation.He joined the IBM AustinResearch Laboratory in January1996, where he is presently man-aging the tools and technologydepartment, which is focused ondesign/technology coupling andincludes activities in model tohardware matching, simulationand modeling, physical design,statistical modeling, statisticaltechnology characterization andsimilar areas.

“Fractional-N PLL,”Axel Thomsen,Silicon Labs.

Dr. Axel Thomsen is a DesignManager at Silicon Labs. Previously

he was a DesignEngineer/DesignManager/PrincipalEngineer at CirrusLogic. Dr. Thomsenwas also an Assis-tant Professor at theUniversity of Alaba-

ma, Huntsville. Dr. Thomsenreceived his Ph.D. in ElectricalEngineering from Georgia Tech.

Andreas Kuhlmann, CadenceBerkeley Lab, will also present atutorial.

More information about ACISCmay be obtained at www.acisc.org/.

Mike Seningen, SSCS-CentralTexas Chapter Chair,[email protected]

New York EDS/SSCS IEEE Chapter Formed inOctober 2007Aims to Link Academics and Industrial Researchers in the NY Metropolitan Area

Adiscussion among severalcolleagues at Columbia Uni-versity and the IBM T. J. Wat-

son Research Center earlier this yearled to the conclusion that a jointEDS/SSCS chapter would be thebest formula for developing astronger connection between theacademic and industrial researchcommunities in the New York met-ropolitan area. Joint chapter activi-ties would offer an opportunity tofoster collaborations and cross fertil-ization of ideas among the largenumber of researchers there inthese closely linked fields.

After an organizational meetingincluding Durga Misra of NJIT, theIEEE chapter petition processreceived support from academicand industrial researchers, as wellas from engineers in several small-er local companies. The resourcesprovided by IEEE through the localSection, and national SSCS chaptersupport, were key enablers inachieving this goal.

A helpful strategy was to insurethat members working in theregion but commuting from neigh-boring areas were qualified to signthe chapter formation petition.Several members either applied for

contiguous section affiliation ormoved their mailing addresses tochange their section to New York.

The chapter was promptlyapproved in the fall of 2007 by theIEEE EDS and SSCS societies andregional activity board. At our firstorganizational meeting, JohnKymissis (Columbia University)was elected as chapter Chair, GenPei (AMD) as EDS Vice-Chair andPeter Kinget (Columbia University)as SSCS Vice-Chair.

Inaugural Gathering at ColumbiaUniversity in MarchOur inaugural event on March 3,2008 featured two IEEE distin-guished lectures: Jan Rabaey (UCBerkeley) who spoke on “Scalingthe Power Wall” and Juin Liou (Uni-versity of Central Florida) whosetalk was entitled “Advanced Electro-static Discharge (ESD) Protection inBiCMOS/CMOS Technologies.” JanVan der Spiegel (Univesity of Penn-sylvania & IEEE SSCS ChaptersCommittee Chair) offered the IEEESSCS welcome, while David Weiss(New York City Transit Authority &IEEE New York Section Chair) pre-sented the New York section wel-come. The lecture topics were

selected for broad appeal to boththe device and circuits communities,and the event concluded with areception. In addition to invitingNew York section members andstudent chapters, we also advertisedthe event in neighboring sectionsthat do not have SSCS chapters.

Kick-Off Seminars to Comple-ment Local Technical EventsInitial chapter activities will focus ona series of seminars with overlap-ping appeal to the local SSCS andED community that will comple-ment technical events held by othersocieties and IEEE units in the NewYork metropolitan area. Theseevents will provide technical enrich-ment for members, opportunities forthe chapter’s organizers to learnmore about the specific interests oflocal members, and networkingopportunities for all attendees.

Chapter Meetings to Migratewithin the Greater New York AreaBecause the New York section cov-ers a large geographical areaincluding Westchester County,Rockland County, and the five bor-oughs of New York City, the chap-ter plans to hold half of its meetingsin Westchester and half in New

sscs_NLspring08.qxd 3/26/08 9:46 AM Page 42

Page 43: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 43

CHAPTERS

York City. This will allow morecross-fertilization for the two com-munities, which tend only to meetat national conventions despitetheir close geographical proximity.

We hope to maintain a vibrantNew York chapter that is respon-sive to the interests and sugges-

tions of our members. Our web-page is at edssscs.googlepages.org.You can send us email at [email protected] with requests to joinour mailing list or with any sugges-tions or questions you might have.We look forward to hearing frommore members who have events

they wish to sponsor, and also tocoordinating events with membersand chapters from neighboring sec-tions with similar interests.

John Kymissis, Chapter Chair,March 2008

John Kymissis(Columbia University),New York ChapterChair

Peter Kinget (Columbia University),New York ChapterSSCS Vice-Chair

Gen Pei (AMD), New York Chapter, ED Vice-Chair

SSCS-Israel Revitalized in Collaboration with IEEEMTT and AP SocietiesJoint Conference on Microwave, Communication, Antenna andElectronic Systems (COMCAS) Will Debut in May

Dr. Mark Ruberto, IEEE-SSCS Chapter Chair,[email protected]; David Gidony, [email protected]; Dr. Miki Moyal, [email protected]

Due to the many large multina-tionals with R&D centers inIsrael like Intel, Freescale,

Motorola, and IBM, as well as a largenumber of startups and fabless designcenters, a lot of work in solid-state cir-cuits is going on.

In parallel, the activities of theIsrael Chapter of the IEEE MTT(Microwave Theory and Tech-niques) Society and the IEEE AP(Antennas and Propagation) Soci-ety have been particularly success-ful due to the wireless boom. Lastyear, their joint annual meeting inIsrael drew over 500 participants.

New Multidisciplinary COMCASConference in Tel Aviv to beWorld-Class Mega EventTo revitalize the IEEE SSCS-Israelchapter, which has been compara-tively inactive for the past few years,its members recently “joined forces”with the IEEE MTT and AP societiesto create the new multidisciplinaryconference called COMCAS (Confer-ence on Microwave, Communication,Antenna and Electronic Systems), tobe held in Tel Aviv, Israel on May 13-14, 2008. Other societies such as IEEECommunications, ElectromagneticCompatibility, and Aerospace Elec-tronic Systems are also participating.We expect 750-1000 to attend.

The idea is not only to bringengineers from many different tech-

nical areas together in one venue,but also to capitalize on the confer-ence infrastructure achievable withthe cooperation of the six IEEEsocieties to organize a world-class,mega-event in Tel Aviv. This is thefirst year that the IEEE conferencein Israel is “international,” with theofficial language being in English.

Majority of COMCAS Papers Submit-ted for the SSCS Technical SessionAfter a Call for Papers was issued lastDecember, the number of contribu-tors to COMCAS for the SSCS techni-cal session was overwhelming, bothfrom industry and academia, with80% of the papers from Israel and20% from Europe, the U.S., and Brazil.The number of SSCS papers submit-ted to COMCAS was nearly twice thatof the other participating societies.

For more technical informationplease see www.comcas.org orcontact [email protected].

In the future, we plan to hold the

annual SSCS-Israel chapter event atCOMCAS. We also plan to organizeseveral SSCS chapter-sponsored lec-tures at local universities in Israelduring the coming year.

Mark Ruberto receivedhis Ph.D. degree in Electri-cal Engineering fromColumbia University inNew York in 1991 andworked as a postdoctoral

research scientist at the Technion inHaifa, Israel in the area of microwaveoptoelectronics. After 10 years as anRF and Microwave design engineer invarious Israeli companies, he joinedIntel in 2003 where he designed RFCMOS circuits deployed in four gen-erations of Wi-Fi radio transceiverchips for Intel’s Centrino product plat-forms. Currently, he leads the productdevelopment team in millimeterwaveCMOS circuit/package design forWPAN applications. His areas of inter-est include MMWAVE CMOS circuitdesign, RF CMOS reliability, and RFradio sub-system architectures. Heauthored over 40 technical papers,holds two patents, and is a SeniorMember of the IEEE.

David Gidony wasborn in Tehran, Iran. Hereceived his B.Sc. andM.Sc. degrees from theElectrical EngineeringDepartment of Tech-

nion—Israel Institute of Technolo-

������������

/������%���0�����������������������

�111�+2�+0#���� %������������������111�+��'���������������"����+�!!������������0������������1����������#����!�

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 43

Page 44: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CHAPTERS

44 IEEE SSCS NEWS Spring 2008

gy, Haifa, Israel, in 1998 and 2003,respectively. He served in the IsraelAir Force, in the Airborne Electron-ics section. He has worked atVisonic, Ltd., Tel-Aviv, Israel, in theAnalog R&D Department, as wellas in the VLSI Department, IBM,Haifa, Israel. Mr. Gidony is present-ly leader of the Analog designgroup of the Mobility WirelessGroup, Intel, Haifa, Israel. Hisresearch interests are digital, RFand cellular communications, satel-lite, implementation of analog cir-cuits and other topics that advanceworld-wide communications.

Born in Tel Aviv Israel,Michael Moyal receivedthe B.S. degree in Mathe-matics and Physics fromthe University of Oregon,Eugene in 1982, the MSEE

from Oregon State University Corval-lis, and his Ph.D. from Universität DerBundeswehr Munich. In 1982-85 and1988-95, he was with the Communi-cation Product Division of AdvancedMicro Devices (AMD) as a Depart-ment Manager of SLIC/SLAC analogline cards. From 1985-1988, he waswith IXYS designing smart DMOSand Motion Control IC’s. From 1995-

99, he was with Siemens AG (laterInfineon Technologies) as a seniormanager of the department of ISDNand xDSL transceivers. He has pub-lished seven papers in internationaljournals and has 18 patents. Dr.Moyal is a senior member of IEEEand a Founder of Xignal. He servedon its Board and as CTO from 1999-2004, then as Chief Scientist. He is onthe Technical Wireline Committee ofthe ISSCC and a Senior Adjunct Lec-turer at the Technion (2006). Since2005, he has been with Intel as CTOof the LAN Access Division develop-ing fast analog transceivers.

F. Svelto Presents Short Course on CMOS WirelessReceiver Design in Hsinchu and TaipeiIEEE SSCS Taipei Chapter

The demand for low-cost andhigh-quality wireless commu-nications has motivated plen-

tiful research on RFIC design usingCMOS technologies. While CMOSRFIC has proven feasible for variousapplications, many design chal-lenges remain to be addressed byinnovative architectures and circuittechniques.

In light of the increasing impor-tance of this area, SSCS-Taipeiinvited Prof. Francesco Svelto ofthe Department of Electrical Engi-neering, University of Pavia, Italyto give a two-day short course onthe subject of CMOS RFIC design.Entitled “Wireless Receiver Archi-tectures and Front-end Design inCMOS,” it took place on 12-13December, 2007 in Hsinchu andTaipei, respectively. Approximately75 participants attended the pro-grams, which were underwritten

by an SSCS Extra Chapter Subsidy. The first part of the course pro-

vided an overview of wirelessreceivers. Prof. Svelto began withan introduction to several popularreceiver architectures and key per-formance parameters of a wirelessreceiver. He then described thedesign principles of two importantreceiver front-end circuits: low-noise amplifier (LNA) and mixer.In the second part of his lecture,Prof. Svelto focused on circuitdesign details, beginning withseveral techniques that realizehigh IIP2 mixers. Next heexplained multi-band LNA designand its application to multi-stan-dard receiver systems and dis-cussed several design examples.The lecture included a good mixof theoretical and practical designknowledge and was well-received by the attendees. Manysaid it was valuable to theirresearch and work.

Prof. Francesco Svelto of the Universi-ty of Pavia presenting his lecture onCMOS Wireless Receiver Design atNational Chiao-Tung University,Hsinchu on 12 December, 2007.

After Prof. Svelto’s lecture at National Taiwan University, Taipei on 13 December, 2007.

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 44

Page 45: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 45

CHAPTERS

More than 50 engineers, profes-sors, and students from the Seoularea attended a DVD replay of theISSCC 2007 short courses at KoreaUniversity on December 7, 2007.These lectures presented the funda-mental limitations of nanometerCMOS and state-of-the-art circuitand system-level techniques.

Abstract: Continuous-time ADCsin Nanometer CMOSProfessor M. Steyaert - KatholiekeUniversiteit Leuven (Belgium)Delta-Sigma ADCs have been verypopular for applications requiringhigh-accuracy because their per-formances are robust with respectto the non-idealities of CMOS tech-

nologies. Since conventionalswitched-capacitor Delta-SigmaADCs need special techniques innanometer technologies becauseof reduced supply voltages, theADCs are being investigated con-tinuous-time (CT) implementationsof Delta-Sigma for telecommunica-tion applications.

Abstract: Frequency Synthesizersin Nanometer CMOSDr. R. B. Staszewski - Texas Instru-ments (USA)Frequency synthesizers are cur-rently an integral part of digital,mixed-signal, and RF System-on-Chip solutions. As CMOS process-es scale down, raw transistor per-

formance and power consumptiondramatically improve on the onehand, but difficulties arise inimplementing traditional phase-locked loop architectures on theother. The speaker presentedrecently developed solutions thatare usable in nanometer CMOSprocesses.

After the short course replay,the members of SSCS-Seoul cele-brated the chapter's 10th anniver-sary. For the past 10 years, theSeoul Chapter has held five toten meetings every year, hostingseveral distinguished lectures,short course replays, local work-shops, and a number of technicalconferences.

SSCS-Seoul Hosts DVD Replay of ISSCC 2007 Short CoursesCelebrates 10th Chapter Anniversary

Prof. Jeongjin Roh, Hanyang University, Korea, [email protected]

SSCS-Seoul Chapter Chair Kwang Sub Yoon (center, front) with the engineers, professors and students who attended theDVD replay of the ISSCC 2007 short courses at Korea University in December.

Santa Clara Valley Chapter Sponsors RFIC Course

The goal of our Chapter is tocontribute to the professionalfulfillment and advancement

of our IEEE Santa Clara Valley SSCSmembers. We strive to serve theirneeds by organizing technical meet-ings and events on advanced topicsin solid-state circuits design.

2007 was a very good year forour Chapter activity again: We

organized 10 technical meetings. InMarch we celebrated our Chapter’s10th Anniversary! And, on October15 and 16, 2007 we organized avery successful RFIC Design Course,attended by over 70 people!

In line with our philosophy, Iinvited experts from the industry tolecture in our RFIC Course. Withpersuasion (and some luck!) I was

able to assemble a superb team ofRFIC designers, each an expert inhis field.

We set up a web site for easysign-up. We used our regular tech-nical meeting location, grace toNational Semiconductor support. Ibelieve this made the attendancemore convenient. Six lecturerswere return speakers! They were

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 45

Page 46: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CHAPTERS

46 IEEE SSCS NEWS Spring 2008

joined by two new speakers,Alireza Shirvani and Alon Konchit-sky, members of our chapter.

We preferred to organize thecourse in two sessions on consec-utive days, rather than on a week-end. Each day we had three lec-tures of 50 minutes and a short lec-ture of 20 minutes.

Below is the complete scheduleof the course.

Monday, October 15 2007, 6pm– 9pm

Allen Podell, IEEE Life Fellow,President Podell Associates lec-tured on “Noise in RF Amplifiers”.Allen, an expert in RF design andan eminent educator, made histhird presentation to our Chapter.He has lectured to us in our earlydays and also recently.

J.L. Julian Tham, Vice PresidentRF and Mixed Signal Design,SiPORT presented “TransceiversArchitecture” (short lecture). He isan expert in RFIC design.

In his presentation, ProfessorThomas Lee of Stanford Universitytreated the complex subject of“Oscillator Phase Noise”. He isrenowned author of many bookson RFIC topics, a PhD mentor andan entrepreneur, founder of sever-al companies.

He is also a distinguished lectur-er of the IEEE SSC and MTT soci-

eties and a fervent supporter ofour local IEEE Chapters.

In last lecture of the first day Dr.Derek Shaeffer, RF EngineeringManager at BECEEM made a thor-ough, systematic presentation of“PLL Design Essentials for WirelessSystems,” very well received. Hehas authored a book on RF design.

Tuesday, October 16 2007, 6pm– 9pm

Dr. Narendra Rao of Maxim Inte-grated Products lectured on “Inte-grated Continuous-Time Filters forRF Applications”. He is an expertin filters and equalizers.

Each lecture was followed by avivid and illuminating session ofquestion and answers.

I mention here that I benefitedfrom competent suggestions byDr. David Su, Vice President ofAnalog Design in Atheros, Stan-ford University Associate Profes-sor and a great supporter of ourChapter. The tireless contributionof a team of volunteers wasinstrumental in organization ofthe course: Peter Trevor Edwardsset up the sign-up web site; KiranGunnam was in charge of alllogistics of email traffic and tabu-lation of the participants; MowenYang took care of the course reg-istration; Chapter Chair StefanAdrian Gradinaru prepared the

IEEE diplomas as contributors toour Chapter activity for all thelecturers; Chapter Web MasterPerry Chow took care of distribu-tion of the Course Proceedingsthrough email.

It is my great pleasure to thankour distinguished lecturers for vol-unteering their time to make ourevent a great success. On a rela-tively short notice, they preparedextraordinary lectures on topics Isolicited from them!

We thank National Semiconduc-tor for their steadfast support toour Chapter activity, providing theconference room and the audio-video accommodations.

I personally thank the team ofvolunteers who helped me on allorganizing activities.

Final thanks go to our courseattendants: their participation is thereward for our volunteer activity!

Dan OpricaIEEE Santa Clara Valley SSCS

Programs Chair;IEEE Santa Clara Valley

ExCom Award Chair;IEEE SSCS 2004 Outstanding

Chapter Award Winner;Silicon Valley Engineering

Council Education Director;[email protected]

Dr. Masoud Zargari, Director of AnalogDesign, Atheros Communications inSanta Clara, CA (left) lectured on “LNADesign.” He has taught at Stanford.The presnter is SCV officer Dan Oprica.

Dr. Alon Konchitsky, CEO and Chair-man of Noise Free, Inc. (left) present-ed “Wireless Standards Evolution,” ashort lecture. He is an expert on thistopic.

Dr. Alireza Shirvani, RF Design Manag-er, Marvell (left) presented “RF PowerAmplifiers.” He has authored a bookon this subject.

SSCS-West Ukraine Joint Chapter: A Twelve YearRetrospectiveIEEE Pioneer in Eastern Europe with MTT, ED, AP and CPMT

Dr. Ihor Isayev, Chapter Chair, [email protected], Dr. Mykhaylo I. Andriychuk, Past Chapter Chair

Owing to the initiative of theMTT, ED, and AP societiesfor Aiding Eastern Europe

and the Former Soviet Union (EE-FSU), the West Ukraine Joint Chap-ter was established on January 26,

1995. It was one of the first fivechapters in Eastern Europe and thefirst IEEE chapter in Ukraine. The

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 46

Page 47: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 47

CHAPTERSChapter started with 13 IEEE mem-bers, ten from Lviv and three fromKharkiv. Prof. Nikolai N. Voitovich(Pidstryhach Institute of AppliedProblems of Mechanics and Mathe-matics, NASU, Lviv) was the firstChapter Chair.

In 1997, thanks to the efforts ofProf. Nikolai N. Voitovich and theinitiative of Prof. Ralph W. Wyn-drum, who was CPMT President atthat time, the Chapter was aug-mented by five new members afterjoining the CPMT Society. In 1999,five new Solid-State Circuits Soci-ety members were added. As aresult, the name of our Chapter isIEEE MTT/ED/AP/CPMT/SSC WestUkraine Chapter. In 1998, a stu-dent group was organized as a partof the Chapter because of the greatinterest in scientific and education-al possibilities stimulated by IEEE.

Andriychuk Instrumental inMembership Growth and StudentParticipationDr. Mykhaylo I. Andriychuk servedas Chapter Chair from 2000 till2005. A significant growth in regu-lar and student memberships result-ed from his efforts. The IEEENational University “Lviv Polytech-nic” (NULP) Student Branch and theIEEE Electron Devices Society Stu-dent Branch Chapter were estab-lished in 2003 and 2004, respective-ly. Starting in 2006, Dr. Ihor Isayevwas elected as Chapter Chair andreelected last December.

Chapter activity is focused on theimprovement of co-operation withthe IEEE NULP Student Branch andthe ED-S Student Branch Chapter.Scientific conferences, shared tech-nical meetings, and social eventshave been organized in the frame-work of such co-operation.

A considerable part of Chapterfunds is used for the financial sup-port of regular and student IEEEmembers. In addition, seven of atotal 50 IEEE regular membershave received the grade of theSenior Member, and at least sevenregular members are presentlyready for this grade.

Bimonthly Technical MeetingsOn a permanent basis, the Chapterorganizes bimonthly technicalmeetings where speakers provide

talks for contemporary directionsin many fields of electrical engi-neering. Moreover, thanks to theavailability of IEEE publications,Chapter members traditionally pre-pare educational courses for thestudents of the National University“Lviv Polytechnic.”

International ConferencesAccording to its Major Goals of Activ-ity, the Chapter has organized or co-organized a series of Internationalscientific conferences, namely• International Seminar/Workshop

on Direct and Inverse Problemsof Electromagnetic and AcousticWave Theory (DIPED) – annual-ly since 1995;

• International Conference onModern Problems of Radio Engi-neering, Telecommunicationsand Computer Science (TCSET)– biyearly since 2000;

• Regional West Ukraine Studentand Young Scientist Conferenceon Diagnostic Systems and Sig-nals (YSC) – once in two yearssince 2000;

• International Conference on theExperience of Designing andApplication of CAD Systems inMicroelectronics (CADSM) -biyearly since 2001;

• National University “Lviv Poly-technics” Student Scientific Con-ference (Subsection Telecommu-nications) - annually since 2001;

• Regional Conference of YoungScientists “Actual Problems ofMechanics, Mathematics andElectrodynamics” (APMME) -biyearly since 2002;

• International Workshop on ActualProblems of Theoretical ElectricalEngineering: Science and Didac-tics (TEESD) –annually since 2003;

• International Conference ofYoung Scientists “PerspectiveTechnologies and Methods inMems Design (MEMSTECH) -annually since 2005.

• International Workshop "Com-putational Problems of ElectricalEngineering" (CPEE) – biyearlysince 2006.

Regional Seminar on Direct andInverse Problems of Electromag-netic and Acoustic Wave Theory(DIPED) 2007 The annual International Semi-

nar/Workshop on Direct andInverse Problems of Electromag-netic and Acoustic Wave Theory(DIPED) is a principal event organ-ized by the Chapter together withthe MTT/ED/AP Georgian Chapter.This meeting is held annually on arotating basis at the Institute ofApplied Problems of Mechanic andMathematics, Lviv, Ukraine andTbilisi State University, Tbilisi,Georgia. DIPED-2007 was held inLviv in September’2007.

The Electron Devices Societyprovided technical co-sponsorshipfor DIPED-2007; the Seminar Pro-ceedings were included in theIEEE Conference Publications Pro-gram. The SSC, MTT, AP, CPMTSocieties, and Ukraine Sectionwere among the supporting IEEEinstitutions.

45 papers presented by scien-tists from Georgia, France, Israel,Poland, Russia, USA, and Ukrainewere included in the Seminar/Workshop Program. Reports werepresented at the 5 following Sec-tions:• Propagation of Electromagnetic

Waves in Wave guides and Non-homogeneous Media

• Analytical and Numerical Methods• Inverse Problems• Antennas and Arrays• Acoustics and Signal Processing

A report authored by Prof.Revaz S. Zaridze named “TheInternet Network Connection

A discussion at coffee break time atMEMSTECH 2006, reported in theSSCS News of July, 2006 (www.ieee.org/portal/pages/sscs/06July/MEM-STECH_2006.html)

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 47

Page 48: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CHAPTERS

48 IEEE SSCS NEWS Spring 2008

through the LinkStar RCST Termi-nal in Georgia,” and another reportby Prof. Nikolai N. Voitovich, “Cal-culation of complex impedancecoating for the back scatteringminimization” on the topic “Wire-less Communications” were themost interesting of those presentedat the Plenary Session.

Gogua, Hemour, Litynskyi,Pavlyushina and Yarko Win BestYoung Speaker AwardsTraditionally, the best papers byyoung speakers were recognized.Best Young Speaker Awards werewon by • Dr. Tamar Gogua (Tbilisi State

University, Georgia) for “TheInternet Network Connectionthrough the LinkStar RCST Ter-minal in Georgia”

• Mr. Simon Hemour (InstituteNational Polytechnic de Greno-ble, IMEP, Grenoble, France) for“Evaluation of impedance matrixelements for microstrip T-junc-tion discontinuity”

• Svyatoslav Litynskyi (LvivNational University, Ukraine) for“Laguerre transform and bound-ary elements method in prob-lems of numerical modeling ofwave propagation”

• Olena Pavlyushina (DonetskNational University, Ukraine) for“Concentration effect of energynormal SH -wave density in thewaveguide formed two anisotrop-ic semi-layers joined under anangle”

• Kateryna Yarko (Institute ofRadioastronomy, NASU, Kharkiv,Ukraine) for “Fast algorithm forsolving of the light diffractionproblem on planar periodicstructures.”The Chapter will organize the

XIIIth International Seminar/Work-shop on Direct and Inverse Prob-lems of Electromagnetic andAcoustic Wave Theory (DIPED-2008) together with the MTT/ED/APRepublic of Georgia Chapter at theTbilisi State University, Tbilisi, onSeptember 22-25, 2008.

Young Scientists and SpecialistsCouncil Conference (YSC-2007)The open scientific and technicalconference of young scientists, YSC-2007, was held in the Physico-

Mechanical institute of NationalAcademy of Science of Ukraine,Lviv. Organized by the Young Sci-entists and Specialists Council andsupported by the IEEE MTT/ED/AP/CPMT/SSC West UkraineChapter, provider “Internet-Ukraine”and the Engineering Centre “Tech-no-Resource,” YSC-2007 was divid-ed into three sections • PROBLEMS CORROSIVE-

MECHANICAL DESTRUCTION• SURFACE ENGINEERING

• DIAGNOSTIC SYSTEMS ANDSIGNALS.About 70 papers were presented

by young scientists from Lviv,Kyiv, Ivano-Frankivsk, Ternopil,Vinnytsja and Odesa. Their aver-age age was 25 years. Eight Chap-ter members also participated inthis conference as the members ofthe Program Committee, andeleven members as participants.Traditionally, the Program Commit-tee on behalf of the West Ukraine

DIPED-2007 participants at the Closing Session.

YSC-2007 Plenary Session.

Presentation of the YSC-2007 award to Lyudmila Frankevych (Physico-Mechan-ical Institute).

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 48

Page 49: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 49

CHAPTERSChapter awarded prizes for themost interesting and contemporarytalks.

In our schedule for 2008, weplan to bring chapter member-ship up to a total of 53, with tensupported partially from theChapter budget. The studentgroup will be increased to reacha total of 25 members. About 20

technical meetings will be organ-ized, and 3 educational coursesprepared and presented withinour special lecture series for2007-2008.

The Chapter will participate inand provide financial support forthe International Symposium onModern Problems of Radio Engi-neering, Telecommunications, and

Computer Science (TCSET-2008)and for the IX International Work-shop "Computational Problems ofElectrical Engineering," which willbe held in the National University“Lviv Polytechnic” in February andAugust 2008.

The MTT/ED/AP/CPMT/SSC WestUkraine Chapter website is www.ewh.ieee.org/soc/cpmt/ukraine/.

21 SSCS Chapters Represented at February Meeting in San Francisco Katherine Olstein, SSCS Administrator, [email protected]

Representatives from thirteenSSCS chapters in the Americasand Canada attended the Soci-

ety’s annual Chapter Chair Lun-cheon and Meeting in San Franciscoon 5 February 2008. Another ninerepresented eight chapters inEurope and the Far East.

According to SSCS MembershipCommittee chair Bruce Hecht, theSolid-State Circuits Society is a“vibrant community” that contin-ues to grow, with recent additionsof chapters in New York City andTaegu, South Korea bringing thetotal to 64 local groups. “Over thepast 11 years, Jan Van der Spiegelhas been a great champion of thechapters program and a dedicatedmentor to many chapter leaders. Inrecognition of the dedication andpersonal warmth which he hascontributed to the success of theseprograms, the AdCom presentedDr. Van der Spiegel with a specialaward of recognition on behalf ofthe Society," Hecht noted.

The Society provides seed fund-ing and other subsidies to chaptersfor hosting distinguished lecturesand organizing exciting technicalchapter meetings, local workshopsand conferences, and other educa-tional activities, he said.

Presenters at the Chapter Chairmeeting were SSCS President WillySansen, Chapters Committee ChairJan Van der Spiegel, TreasurerRakesh Kumar, Membership Com-mittee Chair Bruce Hecht, AwardsChair Bill Bidermann, Educa-tion/DL Program Chair Ken Yangand attendees from the Dallas,Denver, Green Mountain, Ireland,Santa Clara, Seoul, South Brazil,and Taipei chapters. ISSCC Execu-

tive Director Tim Tredwell alsospoke. Jonathan David, a founderof the Santa Clara Valley chapter,C.K. Wang, SSCS Region 10 Repre-

sentative, Jan Craninckx ofBenelux, and Mark Hooper, theVice-Chair of Santa Clara Valleyalso attended.

From the Americas - In front, left to right: Pascal Nsame (Green Mountain);Shahriar Mirabbasi (Vancouver), Dan Oprica (Santa Clara Valley), Willy Sansen,SSCS President, Jan Van der Spiegel, SSCS Chapters Chair, Bruce Hecht (Boston;SSCS Membership Chair), David Gubbins (Oregon State University StudentBranch). In back, left to right: Gabriel Rincon-Mora (Atlanta), Wilhelmus VanNoije (South Brazil), Huawen Sin (Dallas), Jacob Rael (Los Angeles), PeterKinget (New York), Dustin Dunwell (Toronto), Anas Hamoui (Montreal), BruceDoyle (Denver).

From Europe and the Far East - In front, left to right: James Howarth (NewSouth Wales, Australia), Kwang Yoon (Seoul), Willy Sansen (Benelux), Jan Vander Spiegel, Chapters Chair, Akira Matsuzawa (Japan). In back, left to right:Kaveh Hosseini (Ireland), Chulwoo Kim (Seoul), Wei-Zen Chen (Taipei), AndreaBaschirotto (Italy), Svante Signell (Sweden).

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 49

Page 50: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

CHAPTERS

50 IEEE SSCS NEWS Spring 2008

SSCS Region 10 Representative C. K. Wang (right)delivered the Chapter Committee’s certificate ofappreciation to Shen-Iuan Liu, past Chair of SSCS-Taipei, “in recognition and appreciation of his out-standing service as Chair of the Taipei Chapter of theIEEE Solid-State Circuits Society 2005-2008.”

The IEEE Awards Board is seeking nominations forIEEE Medals and Recognitions and encourages theuse of its online Potential Nominee Form. This form

allows a preliminary review of a nominee by the selectioncommittee and an opportunity to obtain feedback prior tosubmitting an official nomination form. The Potential Nom-inee Form is available on the IEEE Awards Web Page at

http://www.ieee.org/portal/pages/about/awards/noms/potnomform.html.

The deadline for submission of an official nom-ination form for any of the IEEE Medals andRecognitions is 1 July 2008. For questions con-cerning the Potential Nominee Form, please con-tact [email protected].

Seeking Nominations for IEEE Medals and Recognitions

ues to ensure that SSCS News satisfies the highest stan-dards in journalism. Katherine is a member of Phi BetaKappa and received a Ph.D. in Greek and Latin fromColumbia University.

Dr. Kumar is President of TCX Technology Connex-ions, a consulting services company. He is an IEEE Fel-low and is also CEO of ei2, a fabless product integra-tion company. Previously he was VP & GM of theworldwide Silicon Technology Services business unit atCadence Design Systems and Tality LP. Dr. Kumar has34 years of industry experience, including work atUnisys and Motorola, where he held various technicaland management positions with increasing responsibil-ity. He serves as the Treasurer of SSCS and is on theBoard of Governors of the IEEE Technology Manage-ment Council. He has chaired and served on the Steer-ing Committee of the IEEE Custom IC Conference for 14

years. Dr. Kumar received a Ph.D. in Electrical Engi-neering from the University of Rochester.

Richard Jaeger is now Professor Emeritus from theECE Department at Auburn University in Alabama. Heis an IEEE Fellow, Past President of the IEEE Solid-StateCircuits Society, a past editor of the IEEE Journal ofSolid-State Circuits, and and former Program Chair ofISSCC and the VLSI Circuits Symposium. He receivedthe IEEE Computer Society’s Outstanding ContributionAward, the IEEE Third Millennium Medal, and the IEEEEducation Society McGraw-Hill/Jacob Millman Award.In 2004, Prof. Jaeger was awarded the IEEE Undergrad-uate Teaching Award. Prof. Jaeger received the BSEE,M.E. and Ph.D. in Electrical Engineering from the Uni-versity of Florida, Gainesville.

Please welcome Dr. Olstein, Dr. Kumar, and Prof.Jaeger to the editorial staff!

Editor’s Column continued from page 2

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 50

Page 51: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

Spring 2008 IEEE SSCS NEWS 51

SSCS NEWS

At its biannual meeting on 3February, 2008 in San Fran-cisco, the Solid-State Circuits

Society AdCom voted to proceedwith Phase I of the process for con-verting the Society’s Newsletter intoa Magazine and approved AnanthaChandrasakan and Albert Theuwis-sen for top ISSCC posts.

Magazine to Serve Wider IC Commu-nity via Distribution in IEEE XploreThe AdCom voted to proceed withPhase I of the IEEE process to con-vert the Newsletter into a magazine.Once a detailed budget is projectedby IEEE TAB staff, the ExecutiveCommittee and Publications Com-mittees will consider whether theAdCom should proceed with PhaseII of the conversion. TAB’s finaldecision will be made during theIEEE June Board Series and publi-cized during the fall memberrenewal period.

As a publication available inIEEE Xplore, the Solid-State CircuitsMagazine will more effectivelyreach the worldwide IC communityand more easily attract top-rankingcontributors. Like the quarterlySSCS News, each issue will contin-ue to be a self-contained resourcefor fundamental theories and prac-tical advances within the field ofintegrated circuits. Articles by lead-ers from industry, academia, andgovernment explaining historicalmilestones, current trends, andfuture developments will be writ-ten at a tutorial level and often in anarrative style. Local Society activi-ties, such as chapter-sponsoredtechnical meetings and confer-ences, and awards will continue to

be reported.[As of publication date, the SSCS

Magazine Phase 1 application hadbeen approved by the TechnicalActivities Board, including a pro-posal that the nine issues of thenewsletter from September 2006through fall 2008 be uploaded toXplore.]

Chandrakasan and TheuwissenApproved for ISSCC Executive PostsMIT professor and former SSCSMeetings Chair Anantha Chan-drakasan was endorsed by theAdCom to become ISSCC Vice-Chair for 2009 and ConferenceChair beginning 2010. AlbertTheuwissen, a Society Distin-guished Lecturer, was endorsed forISSCC Program Vice-Chair begin-ning in 2010.

$100K Appropriated for ISSCCVirtual Conference The Society agreed to share somevirtual conference developmentcosts with ISSCC to record andpost a record of ISSCC session pre-senters on-line. The reasons forthis are multiple: Attendance at theSociety’s flagship conference inSan Francisco is approaching thecapacity of the hosting hotel; in

addition, many design departmentscan afford to underwrite only oneperson’s expenses for the confer-ence, and overseas firms may haverestricted travel budgets. Eventhose who do attend may benefitfrom the virtual conference bybeing able to hear sessions thatwere missed because of schedul-ing conflicts and to listen to pre-sentations more than once forimproved understanding.

Production costs, projected at$150K, include on-site AV foraudio capture with slides, and on-line posting, preferably to IEEEXplore; Xplore revenue wouldaccrue, not to ISSCC but, to theSociety. AdCom’s agreement tocontribute $100K for producing thevirtual conference enabled ISSCCto balance its budget for 2009.

“ISSCC Replay on Demand” hasbeen launched for 2008. Instruc-tions for purchasing this serviceare on page 31 of this issue.

IEEE Xplore continues to archivethe Digest paper and presentationfiles, without audio or highlightertracking. Discussions are underwayto make these dynamic audio filesavailable in Xpore, to accompanymanuscripts. Personalized time-delayed webcasts of ISSCC ses-

AdCom Endorses Newsletter Conversion to Magazine Supports Chandrakasan as ISSCC Conference Chair in 2010

SSCS AdCom members who met in San Francisco on 3 February 2008 were:Front row from left: Bruce Hecht, Bill Bidermann, Wanda Gass, John C. Corco-ran, Bernhard Boser, Willy Sansen, Richard C. Jaeger, Rakesh Kumar, Jan Van derSpiegel, David Johns. Middle row from left: Anne O’Neill, Jan Sevenhans, TomLee, Ali Hajimiri, Ian Young, Tadahiro Kuroda, Akira Matsuzawa, C. K. Wang, Un-Ku Moon, Terri Fiez, Katherine Olstein. Back row from left: Mehmet Soyuer, Dar-rin Young, Kevin Kornegay, Paul Hurst, Bram Nauta, Glenn Gulak, H. S. Lee, C.K. Ken Yang, Henry Chang.

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 51

Page 52: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

SSCS NEWS

52 IEEE SSCS NEWS Spring 2008

sions, tutorials and short courses fora “virtual conference” are envi-sioned for the future.

Additional ISSCC projectsinclude • a Far East Initiative undertaken

by C. K. Wang to grow aware-ness, paper submission andattendance at ISSCC from emerg-ing areas in the Far East throughpresentations at A-SSCC, CSIA,VLSI India and special events inTaiwan;

• a Student Forum launched byAnantha Chandrakasan andLaura Fujino in 2007 to bringtogether students and professorsat ISSCC through informal pre-sentations of research work.After a second successful event

in 2008, a full day program isunder consideration for 2009.More details will be available inthe summer SSCS News.

New IEEE Council on BiometricsReceives SupportThe AdCom allocated $3K for anew Council on Biometrics,approved by the IEEE TechnicalActivities Board (TAB) at its Novem-ber 2007 meeting, and made a com-mitment to devote $2K to the newCouncil each year after 2008.

In additional motions, theAdCom voted to• continue supporting the Journal

of Display Technology for thenext three years;

• extend financial sponsorship of

the Organic MicroelectronicsWorkshop through 2009;

• technically co-sponsor the fol-lowing five conferences in 2008-2009o Design Automatic Conference

(DAC)o Compound Semiconductor IC

Symposium (CSICS)o Bipolar/BiCMOS Circuits and

Technology Meeting (BCTM)o IEEE Radio Frequency Inte-

grated Circuits SymposiumRFIC

o IEEE International Conferenceon Ultra-Wideband (ICUWB).

Katherine Olstein, SSCS Administrator,

[email protected]

CEDA CurrentsEDA Research Vital to Continued Industry Growth

President’s MessageAs the electronic design automa-tion (EDA) industry evolves andworks to heighten its stature andimportance within the semicon-ductor industry, strategic invest-ment in research––and not justdevelopment––has never beenmore imperative. Let me draw aparallel to the semiconductorindustry to show why this invest-ment strategy has moved fromimportant to critical. As you know,semiconductor research and pro-cessing began within systems com-panies, with some research comingfrom academia. As time went on,the industry lived through a host ofmergers, and we saw research insilicon processing consolidatewithin the foundries, the largestsystems houses, and academia.This research transitioned alongwith the processing in a systematicfashion.

By contrast, over the past 25years, EDA has moved from sys-tems houses to a new commercial-ly viable industry, but research hasnot made the complete transition.Instead, much of it has beenpicked up by academia, where thelink to a commercial endeavor isnot as tight or as seamless as it iswithin the semiconductor industry.

Of course, EDA has used entre-preneurial startups as a way toconnect research to develop-ment—a strategy that has workedfor many years and follows a long-standing Silicon Valley tradition.Nevertheless, tough, real-worldproblems remain to be solved, andthe way to solve them is to applytheoretical research to practicalapplications through close partner-ship between research and devel-opment.

The current way of doing busi-ness needs to change for EDA tobe able to support future designrequirements. Clearly, an optimiza-tion of the entire design flow, fromdesign house specification to theprocessing lines, is needed and isbest achieved through multidisci-plinary industrial laboratories. Suchlaboratories could also coordinateefforts between academia, entre-preneurial startups, and internalresearch to create ingenious com-mercial solutions to critical prob-lems. The creation of an appliedresearch lab for advanced technol-ogy research under a corporateumbrella could be just the placefor this to occur.

Within such a structure, R&Dcan be a seamless collaboration oftalent, ideas, information, and

resources that could help establisha company as an industry leader.Cadence Design Systems recentlymade a move in this direction withthe dedication of CadenceResearch Labs, which is takingover Cadence Berkeley Labs.

The yearly Design AutomationConference has proven to be acatalyst for EDA breakthroughs.Much of the research and industrycontinuity is found at the confer-ence, where a strong technicalprogram highlights recent devel-opments. With a reenergizedfocus on research, more break-throughs would be presented,firmly positioning EDA at theforefront of advancing technolo-gies. More important, this reener-gized focus could help spur amore dynamic economic engine,which is urgently needed in theEDA industry, as well as the restof the semiconductor industry. Infact, increased investment andattention in research is alreadysending an optimistic messageabout the long-term future ofEDA, but I urge more companiesof various sizes and disciplines tomake a strategic investment inthis future.

Al Dunlop, CEDA President

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 52

Page 53: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

IEEE Information Driving Innovation

Easy. Effi cient. Powerful. Essential.

IEEE Member Digital Library

Staying current inmy work and personalinterest areas is both easy and affordablewith the IEEE MemberDigital Library.

– Wolfgang Kraus IEEE Member

Discover how IEEE information can help fuel your imagination and equip you with the research you need to compete.

Access to all IEEE journals and conference proceedings—over 1.7 million documents

The most affordable access, exclusively for IEEE members—under US$2 per article

Online fi ling cabinet for convenient access to your past research

What are you waiting for?BUY NOW.www.ieee.org/ieeemdl

08-PIM-0007-1c-MDL-Kraus-Final.indd 1 3/21/08 3:26:01 PM

sscs_NLspring08.qxd 3/26/08 9:47 AM Page 53

Page 54: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

SSCS NEWS

54 IEEE SSCS NEWS Spring 2008

An earlier version of this opinionpiece appeared in the DACeZinenewsletter. (Reprinted with permis-sion of DACeZine, a monthly pub-lication of the Design AutomationConference. To be added to theDACeZine mail list, go to//links.mkt708.com/servlet/SignUp-Form?f=45503.) If you have anyquestions or feedback on this topic,please contact Al Dunlop at [email protected].

SRC GRC Issues CFPThe Computer-Aided Design andTest Sciences area of the Semicon-ductor Research Corporation (SRC)Global Research Collaboration(GRC) will select research propos-als on system-level or high-leveltools and in logic or physical-designtools for three-year contracts,beginning 1 July 2008. (The one-page white papers for these pro-posals were due on 3 January2008.) Interested researchers shouldvisit the SRC GRC web site at

//grc.src.org/fr/S200710_Call.asp. Ifyou are at a company that is amember of the SRC consortium,please consider joining as a mentorto any of the ongoing or newlylaunched efforts. For more informa-tion, please contact William Joyner([email protected]) or W. DaleEdwards ([email protected]).

Upcoming CEDA EventsCEDA currently sponsors or cospon-sors 10 conferences and workshops,plus two additional conferences inwhich it is in technical cooperationwith other societies. Our confer-ences provide excellent opportuni-ties for those interested in learningabout the latest technical trends inelectronic design and automationand being engaged with a commu-nity of volunteers. If you are inter-ested in participating or have anidea about new topics of interest forour conferences, please contactRichard Smith ([email protected]),CEDA vice president of conferences.

International Workshop on Powerand Timing Modeling, Optimiza-tion and Simulation (PATMOS)

10-12 September 2008 Lisbon PortugalManuscripts Due: 10 March 2008//algos.inesc-id.pt/patmos

International Conference on VeryLarge Scale Integration (VLSI-SOC) 13-15 October 2008Rhodes Island, GreeceManuscripts Due: 28 March 2008vlsi.ee.duth.gr/vlsisoc-2008

CEDA Currents is a publication ofthe IEEE Council on Electronic DesignAutomation. Please send contributionsto Kartikeya Mayaram ([email protected]), Preeti Ranjan Panda([email protected]), or AnandRaghunathan ([email protected]).

Call for Nominations: SSCS Predoctoral Fellowships2008 – 2009Due Date is 1 May, 2008

Nominations for the Society’sPredoctoral Fellowships insolid-state circuits are due on

1 May, 2008 for the academic year2008-2009. The one-year awards willprovide $15,000 for tuition, an addi-tional $8000 for fees, and a grant of$2,000 to the department in whichthe recipient is registered. A maxi-mum of two awards will be made.

Last year’s predoctoral fellowswere Jintae Kim of UCLA andSudip Shekhar of the University ofWashington.

President Willy Sansen presented SSCSPredoctoral Fellowship certificates toJintae Kim (at right) and Sudip Shekharduring the Plenary Awards Program atISSCC 2008 in San Francisco.

Applicants must have completedat least one year of graduate study,be in a Ph.D. program in the areaof solid-state circuits, and be amember of IEEE. The award willbe made on the basis of academicrecord and promise, dissertationresearch program, and need.

Applications should be in elec-tronic format and must include thefollowing items:

A Short (one-page) Biography -including IEEE membership number.

Academic Records - including acopy of all relevant undergraduateand graduate transcripts.

Graduate Study Plans - including asummary of what has been com-pleted and what is planned (about 2pages is appropriate), plus a list ofany publications authored or co-authored. A copy of each publica-tion is desirable. Work that must bedone to complete the graduate pro-gram of study should be explained -

- why it is important, and what isnovel about its approach -- as wellas the importance of SSCS predoc-toral fellowship support towardcompletion of the doctoral degree.

Letters of Recommendation - atleast two letters of recommendationare required; one should be from theprincipal advisor. These letters shouldaddress academic record, accom-plishments and promise, graduatestudy research program, and need.

Deadline: 1 May 2008Please email your applicationmaterials to: [email protected].

Although electronic file submis-sion is preferred, if paper files area necessity, either fax them to +1732-981-3401 or mail to:

IEEE-SSCS Executive OfficePredoctoral Fellowship445 Hoes LanePiscataway, NJ 08854

sscs_NLspring08.qxd 3/26/08 9:48 AM Page 54

Page 55: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

From Imagination to Market

IEEE Information Driving Innovation

Up-to-date, Relevant Information Driving the Bottom Line

Fueling Imagination

“Findings indicate that IEEE journals are getting the newest, most revolutionary ideas in increasing numbers.”

– Dr. Donald R. Scifres, holder of more than 140 patents, founder SDL Ventures, LLC

Free Trial!Experience IEEE – request a trial for your company.

www.ieee.org/innovate

Access the latest technical information from IEEEand give your team an edge on the competition.

Periodicals and conference proceedings that defi ne the future of innovation

Over 1.5 million documents in the IEEE Xplore®

digital library Top cited journals in the fi eld

07-PIM-0069c_Generic.indd 1 6/6/07 11:45:02 AM

sscs_NLspring08.qxd 3/26/08 9:48 AM Page 55

Page 56: SSCSSSCSSSCS - IEEE Solid-State Circuits Societysscs.ieee.org/images/files/newsletter_archive/sscs... · 2010-11-30 · information technology. In 2007, she completed a certificate

445 Hoes Lane Piscataway, NJ 08854

SSCS SPONSORED MEETINGS2008 Symposium on VLSI Circuitswww.vlsisymposium.org18–20 June 2008Honolulu, HawaiiPaper deadline: Passed.Contact: Phyllis Mahoney,[email protected]

2008 Custom Integrated Circuits Conferencewww.ieee-cicc.org/21–24 September 2008San Jose, CA, USAContact: Ms. Melissa Widerkehr, ConferenceManager19803 Laurel Valley PlaceMontgomery Village, MD 20886Phone: 301-527-0900 x 101Fax: [email protected]

2009 ISSCC International Solid-StateCircuits Conferencewww.isscc.org8–12 February 2009 San Francisco, CA, USAContact: Courtesy Associates,[email protected]

SSCS PROVIDES TECHNICAL CO-SPONSORSHIP 2008 Design Automation Conferencewww.dac.com9–13 June 2008Anaheim, CA, USAPaper deadline: Passed.Contact: Kevin Lepine, Conference [email protected]

2008 Radio Frequency Integrated CircuitsSymposium www.rfic2008.org15–17 June 2008Atlanta, GAPaper deadline: Passed.Contact: Mr. Stephen [email protected]

2008 IEEE Symposium on VLSI Technologywww.vlsisymposium.org19–22 June 2008Honolulu, HawaiiPaper deadline: Passed.Contact: Phyllis Mahoney, [email protected] Business Center for Academic Societies, Japan,[email protected]

Hot Chipswww.hotchips.org24–26 Aug 2008 Palo Alto, CA, USAPaper deadline: PassedContact: John Sell, [email protected]

ISLPED International Symposium on Low PowerElectronics and Designwww.islped.org/ http://www.islped.org/11–13 Aug 2008Bangalore, IndiaContact: Diana Marculescu, [email protected]

ESSCIRC/ESSDERC 2008 - 38th European SolidState Circuits/DeviceResearch Conferenceswww.esscirc2007.org 15–19 Sep 2008 Edinburgh, ScotlandPaper deadline: 5 April 2008Contact: Bill Redman-White, ESSCIRC [email protected]

2008 IEEE Integrated Circuit Ultra-Wide BandICUWB www.icuwb2007.org10–12 Sep 2008Hannover, GermanyPaper deadline: 10 February 2008Contact: Michael Y.W. Chia, [email protected]

2008 IEEE Bipolar/BiCMOS Circuits andTechnology Meeting - BCTM www.ieee-bctm.orgA14–16 Oct 2008Monerey, CAPaper deadline: 17 March 2008Contact: Ms. Janice [email protected]

2008 IEEE Compound Semiconductor IntegratedCircuit Symposium (CSICS)www.csics.org12–15 Oct 2008Monterey CA Paper due date: 12 May 2008Contact: William Peatman [email protected]

2008 International Conference on ComputerAided Design (ICCAD)9–13 November 2008Place: TBDContact: Kathy MacLennan, Conference ManagerMP Associates, Inc.5405 Spine Rd., Ste. 102Boulder, CO 80301Tel: (303) 530-4562Email: [email protected]

SSCS EVENTS CALENDARAlso posted on www.sscs.org/meetings

SSCS IEEE SOLID-STATE CIRCUITS SOCIETY NEWS is published quarterly by the Solid-State CircuitsSociety of The Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17thFloor, New York, NY 10016-5997. $1 per member per year (included in society fee) for each member ofthe Solid-State Circuits Society. This newsletter is printed in the U.S.A. Application to mail Periodicalspostage rates is pending at New York, NY and at additional mailing offices.Postmaster: Send address changes to SSCS IEEE Solid-State Circuits Society News, IEEE, 445 Hoes Lane,Piscataway, NJ 08854. ©2008 IEEE. Permission to copy without fee all or part of any material without acopyright notice is granted provided that the copies are not made or distributed for direct commercialadvantage and the title of publication and its date appear on each copy. To copy material with a copy-right notice requires specific permission. Please direct all inquiries or requests to IEEE Copyrights Man-ager, IEEE Service Center, 445 Hoes Lane, Piscataway, NJ 08854. Tel: +1 732 562 3966.

To maintain all your IEEE and SSCS subscriptions, email address corrections to

[email protected] make sure you receive an email alert, keepyour email address current at sscs.org/e-news

sscs_NLspring08.qxd 3/26/08 9:48 AM Page 56