60
SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガ イ ド Vivado Design Suite PG033 2015 9 30 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資 料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情 報につきましては、必ず最新英語版をご参照ください。 Discontinued IP

SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

SMPTE 2022-5/6 Video over IP Receiver v5.0

LogiCORE IP 製品ガイド

Vivado Design Suite

PG033 2015 年 9 月 30 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Discontinued IP

Page 2: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 2

PG033 2015 年 9 月 30 日

目次

IP の概要

第 1章 : 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

第 2章 : 製品仕様規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

大周波数 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

リ ソース使用状況 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

レジスタ空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

第 3章 : コアを使用するデザインク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

メモ リ要件とレジスタ設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

第 4章 : デザイン  フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

第 5章 : テストベンチデモンス ト レーシ ョ ン用テス トベンチ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

付録 A : 検証、 互換性、 相互運用性

付録 B : 移行およびアップグレードVivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

Vivado Design Suite でのアップグレード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

付録 C : デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

Vivado Design Suite のデバッグ機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

インターフェイスのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

コアのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

Discontinued IP

Page 3: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 3

PG033 2015 年 9 月 30 日

付録 D : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

お読みください : 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

Discontinued IP

Page 4: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 4

PG033 2015 年 9 月 30 日 Production 製品仕様

はじめに

ザイ リ ン ク ス LogiCORE™ IP SMPTE 2022-5/6 Video over IPReceiver コアは、SMPTE ビデオ コネクティビティ規格間、および非圧縮の SMPTE ビデオ コネクティビティ規格 (SD/HD/3G-SDI) と 10Gb/s の IP ネッ ト ワークの間でブ リ ッジ変換が必要なブロードキャス ト アプ リ ケーシ ョ ン向けのモジュールです。 このモジュールは、 ネッ ト ワーク伝送エラーによって失われた IP パケッ ト を回復できるため、非圧縮の広帯域業務用ビデオの画質が維持されます。 このコアを使用する と、オーディオ/ビデオ データの配信および転送に必要なコス トを全体的に削減する IP (Internet Protocol) ベースのシステムを開発できます。

機能• SMPTE 2022-6 に従って 大 8 チャネルの SD/HD/3G-SDI

ス ト リームを処理。 SD-SDI、 HD-SDI、 3G-SDI レベル A、3G-SDI レベル B シングル ス ト リームおよび 3G-SDI レベル B デュアル ス ト リームをサポート

• SMPTE 2022-5 に準拠したス ト リーム単位の前方誤り訂正 (FEC) を回復

• レベル A およびレベル B の FEC をサポート

• ブロ ッ ク アライ メン ト /非ブロッ ク アライ メン トの FEC をサポート

• VLAN (Virtual Local Area Network) TCI (Tag Control Information) フ ィルタ リ ングをサポート

IP の概要

この LogiCORE IP について

コアの概要

サポート される

デバイス ファ ミ リ (1)Kintex® UltraScale™、 Zynq®-7000、

Virtex®-7、 Kintex-7

サポート される

ユーザー インターフェイス

AXI4-Lite、 AXI4-Stream、 AXI4

リ ソース 表 2-1、 表 2-2、 表 2-3 を参照

コアに含まれるもの

デザイン ファイル 暗号化された HDL

サンプル デザイン

『前方誤り訂正を利用して

IP ネッ ト ワークで高ビッ ト レー ト の

SMPTE 2022-5/6 メディア ト ランスポート

を実現』 (XAPP1199) [参照 1]

テス トベンチ Verilog および VHDL

制約ファイル XDC

シ ミ ュレーシ ョ ン モデル

暗号化された RTL、 VHDL ビヘイビアー、

VHDL または Verilog ソース HDL

サポート される ソフ ト ウェア ド ラ イバー

N/A

テスト済みデザイン フロー (2)

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン

サポート されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド :リ リース ノート ガイ ド、 インス トール

およびライセンス』 を参照

合成 Vivado 合成

サポート

ザイ リ ンクス サポート ウェブ ページで提供

注記 :1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. サポート されているツールのバージ ョ ンは、 『Vivado Design Suiteユーザー ガイ ド : リ リース ノー ト、 インス トールおよびライセ

ンス』 を参照してください。

Discontinued IP

Page 5: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 5

PG033 2015 年 9 月 30 日 Production 製品仕様

IP の概要

機能 (続き )

• 次の条件の任意の組み合わせでチャネル フ ィルタ リ ングを設定可能

° IP ソース アドレス

° IP デスティネーシ ョ ン アドレス

° UDP (User Datagram Protocol) ソース ポート

° UDP デスティネーシ ョ ン ポート

° RTP (Real-time Transport Protocol) SSRC (Synchronization Source) 識別子

° VLAN TCI 値

• SMPTE2022-7 に準拠したシームレスな切り替え

• RTP タイムスタンプ チェッ クのバイパス

• 統計インジケーター

° 受信パケッ ト数

° リオーダー パケッ ト数、 重複パケッ ト数

° 回復パケッ ト数

° 有効パケッ ト数、 回復不能パケッ ト数

° 範囲外パケッ ト数

° パケッ ト間隔

° バッファー オーバーフロー フラグ

° シームレス保護フラグ

° リ ンク差分

• コンパイル時に FEC エンジンまたはセカンダ リ リ ンクを含めるか削除するかを選択可能

• AXI4-Stream データ インターフェイス

• AXI4-Lite 制御インターフェイス

Discontinued IP

Page 6: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 6

PG033 2015 年 9 月 30 日

第 1章

概要放送業界と通信業界の融合が進み、 IP ネッ ト ワークを利用してビデオ ス ト リームを伝送するこ とが放送局や通信事業者の双方にとってよ り魅力的な選択肢となる中、 10Gb/s イーサネッ ト を使用して複数の非圧縮 SDI (Serial DigitalInterface) ス ト リームを送信できるこ とが重要な要件となってきました。10GbE を利用したビデオ機器を接続する際のオープン性と相互運用性の確保、高いサービス品質 (QoS) の保証、そしてパケッ ト損失の 小化または FEC による回復のために業界が主に注目しているのが、 一連の SMPTE 2022 規格です。 図 1-1 に示すよ うに、 高ビッ ト レートの SMPTE 2022-5/6 は放送局と ローカル スタジオ間など、 映像素材伝送ネッ ト ワークを主なターゲッ ト と しています。

このコアには前方誤り訂正 (FEC) 機能があ り ます。 FEC は、 IP ネッ ト ワーク上で伝送される高品質ビデオ ス ト リームを保護します。 FEC では、系統的に生成された冗長データを ト ランス ミ ッ ターがビデオに追加します。 こ この効果的な冗長性によ り、レシーバーはビデオの一部で発生したパケッ ト エラーを一定数まで検出および訂正でき、追加のビデオ データを ト ランス ミ ッ ターに要求する必要がなくな り ます。

これらのエラーはビデオ パケッ トの損失という形で発生し、 その原因は熱雑音、 ス ト レージ システムの不良、 周囲環境から混入する ノ イズなどさまざまです。 FEC を使用する と、 レシーバーはこれらのエラーを修正できるため、逆方向のチャネルを使用してデータの再送を要求する必要があ り ません。 リ アルタイム システムでは、再送を要求するのはレイテンシが大き くな りすぎるため現実的であ り ません。ザイ リ ンクス FPGA は、高度に統合された リ アルタイム ビデオ インターフェイスを実行するこ とで、 放送業界と通信業界の融合をサポート します。 これによ り、 放送局はコンテンツの受信、編集、作成にかかるコス ト と時間を削減できます。 10Gb/s イーサネッ ト (10GbE) 経由でビデオデータを確実に配信できるよ うになる と、放送局は現場中継をサポートする高価なモバイル インフラを使用する必要がなくな り、また既存の固定スタジオからのリモート プロダクシ ョ ンも可能になるため、設備投資と運営費の両方を大幅に削減できます。

X-Ref Target - Figure 1-1

図 1‐1 :放送局とローカル スタジオ間の高ビッ ト  レート  SMPTE 2022‐5/6

Discontinued IP

Page 7: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 7

PG033 2015 年 9 月 30 日

第 1 章 :概要

機能概要このコアは、イーサネッ ト パケッ ト を生の SD/HD/3G-SDI ビデオ ス ト リームにマップして、ネッ ト ワーク伝送エラーによって失われた IP パケッ ト を回復できるため、 非圧縮の広帯域業務用ビデオの 高画質が維持されます。

このコアは VLAN をサポート しており、 VLAN タグ付きのイーサネッ ト パケッ ト を受信してもシームレスに動作できます。コアのコンフ ィギュレーシ ョ ンと インスタンシエーシ ョ ンは Vivado® デザイン ツールで行います。コアの機能は、 AXI4-Lite インターフェイスを介して動的に制御可能です。

アプリケーシ ョ ン• 非圧縮の広帯域業務用ビデオ ス ト リームを IP ネッ ト ワーク上で転送

• 映像素材伝送、一次配信、およびデジタル シネマなどのリ アルタイム オーディオ/ビデオ アプリ ケーシ ョ ンをサポート

ライセンスおよび注文情報

ライセンス チェ ッカー

IP にライセンス キーが必要な場合、 そのキーの認証が必要です。 Vivado デザイン ツールでは、 設計フローにライセンスが必要な IP の使用を確認する、 ライセンス チェッ クポイン トが複数あ り ます。 ライセンス チェッ クが正常に終了する と、 IP の生成が継続されます。 正常に終了しなければ、 IP の生成はエラーとな り停止します。 ラ イセンスチェッ クポイン トが適用されるのは、 次のツールです。

Vivado 合成、 Vivado インプリ メンテーシ ョ ン、 write_bitstream (Tcl コンソール コマンド )

重要 : チェッ クポイン トでは、 IP のライセンス レベルは無視されます。 有効なライセンスの有無のみを検証します。IP ラ イセンス レベルは確認しません。

ハード ウェア評価ライセンスを使用している場合、 コアはタイムアウ ト後にビデオの送信を停止します。

ライセンスの種類

このザイ リ ンクス LogiCORE™ IP モジュールは、ザイ リ ンクス コア ライセンス契約の条件に基づいて提供されます。このモジュールは、 Vivado Design Suite に付属します。

重要 : シ ミ ュレーシ ョ ンおよびハードウェアでコアのすべての機能を利用するには、 コアのライセンスをご購入いただく必要があ り ます。 価格および提供状況については、 ザイ リ ンクス販売代理店にお問い合わせください。

詳細は、 SMPTE 2022-5/6 Video Over IP 製品のウェブ ページをご覧ください。

その他のザイ リ ンクス LogiCORE IP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイリ ンクス LogiCORE IP モジュールおよびツールの価格や提供状況については、ザイ リ ンクス販売代理店にお問い合わせください。

Discontinued IP

Page 8: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 8

PG033 2015 年 9 月 30 日

第 2章

製品仕様

規格このコアは、AXI4、AXI4-Stream、および AXI4-Lite インターコネク ト規格に準拠しています。詳細は、『Vivado DesignSuite : AXI リ ファレンス ガイ ド』 (UG761) [参照 4] の 「ビデオ IP : AXI 機能の導入」 を参照して ください。 このコアの機能は、 SMPTE 2022-5/6 規格に準拠しています。

最大周波数達成可能な 大クロ ッ ク周波数は一概には決ま り ません。達成可能な 大クロ ッ ク周波数と リ ソース数は、ツール オプシ ョ ン、 FPGA デバイスの追加ロジッ ク、 ザイ リ ンクス ツールのバージ ョ ンなど、 さまざまな要素によって異なります。 デバイス ファ ミ リ別の情報は、 リ ソース使用状況の表を参照してください。

Discontinued IP

Page 9: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 9

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

リ ソース使用状況表 2-1、表 2-2、表 2-3 に、 このコアに必要な リ ソースの見積も り をデバイス別に示します。 これらは、Vivado® DesignSuite を用いて得られた数値です。 UltraScale™ については、 7 シ リーズと同等の結果が予想されます。

表 2‐1 : Zynq‐7000 デバイス (xc7z045、 スピード  グレード  ‐1) のリソース使用数

SDICHANNEL

FECINCLUDE FF LUT スライス LUT FF ペア

36k 

ブロックRAM

18k 

ブロックRAM DSP48E1

1 0 7,698 6,549 2,745 8,456 14 2 0

2 0 10,585 8,676 3,624 11,351 21 3 0

3 0 13,434 10,104 4,836 14,329 28 4 0

4 0 16,305 10,717 5,502 16,571 35 5 0

5 0 19,145 12,132 6,194 19,046 42 6 0

6 0 22,016 13,429 7,564 21,972 49 7 0

7 0 24,876 14,480 8,786 25,012 56 8 0

8 0 27,743 15,253 9,827 28,044 63 9 0

1 1 12,900 9,238 3,959 12,649 50 7 0

2 1 16,398 11,503 5,239 16,011 57 9 0

3 1 20,042 13,654 6,607 19,601 78 12 0

4 1 23,656 14,913 7,770 22,798 85 15 0

5 1 27,284 16,973 8,821 26,194 120 21 0

6 1 30,893 19,140 10,677 30,144 127 21 0

7 1 34,491 20,447 10,434 32,144 134 24 0

8 1 38,105 21,569 12,152 36,419 141 27 0

表 2‐2 : Virtex‐7 FPGA (xc7vx690t、 スピード  グレード  ‐1) のリソース使用数

SDICHANNEL

FECINCLUDE FF LUT スライス LUT FF ペア

36k 

ブロックRAM

18k 

ブロックRAM DSP48E1

1 0 7,698 6,546 2,531 8,380 14 2 0

2 0 10,585 8,665 3,643 11,341 21 3 0

3 0 13,434 10,103 4,756 14,258 28 4 0

4 0 16,305 10,702 5,637 16,702 35 5 0

5 0 19,145 12,140 6,501 19,299 42 6 0

6 0 22,016 13,418 7,516 21,975 49 7 0

7 0 24,876 14,492 9,012 25,161 56 8 0

8 0 27,743 15,233 8,710 26,901 63 9 0

1 1 12,900 9,248 4,109 12,826 50 7 0

2 1 16,398 11,506 5,364 16,000 57 9 0

Discontinued IP

Page 10: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 10

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

3 1 20,042 13,649 6,973 19,947 78 12 0

4 1 23,656 14,892 8,093 23,159 85 15 0

5 1 27,284 16,976 10,833 27,784 120 21 0

6 1 30,893 19,142 11,032 30,589 127 21 0

7 1 34,491 20,451 13,137 34,748 134 24 0

8 1 38,105 21,571 13,310 37,788 141 27 0

表 2‐3 : Kintex‐7 FPGA (xc7k325t、 スピード  グレード  ‐1) のリソース使用数

SDICHANNEL

FECINCLUDE FF LUT スライス LUT FF ペア

36k 

ブロックRAM

18k 

ブロックRAM DSP48E1

1 0 7,698 6,542 2,780 8,551 14 2 0

2 0 10,585 8,675 3,725 11,479 21 3 0

3 0 13,434 10,103 4,767 14,278 28 4 0

4 0 16,305 10,714 5,415 16,390 35 5 0

5 0 19,145 12,133 6,556 19,221 42 6 0

6 0 22,016 13,420 6,951 21,446 49 7 0

7 0 24,876 14,476 7,967 24,300 56 8 0

8 0 27,743 15,249 9,890 28,081 63 9 0

1 1 12,900 9,241 3,959 12,643 50 7 0

2 1 16,380 11,476 5,440 16,129 57 9 0

3 1 20,042 13,639 6,830 19,740 78 12 0

4 1 23,656 14,905 8,023 23,092 85 15 0

5 1 27,284 16,967 8,338 25,812 120 21 0

6 1 30,893 19,134 11,145 30,509 127 21 0

7 1 34,491 20,446 11,446 33,424 134 24 0

8 1 38,105 21,577 12,322 36,598 141 27 0

表 2‐2 : Virtex‐7 FPGA (xc7vx690t、 スピード  グレード  ‐1) のリソース使用数 (続き)

SDICHANNEL

FECINCLUDE FF LUT スライス LUT FF ペア

36k 

ブロックRAM

18k 

ブロックRAM DSP48E1

Discontinued IP

Page 11: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 11

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

ポートの説明このコアは、 業界標準の制御/データ インターフェイスを使用してほかのシステム コンポーネン ト と接続します。 後続のセクシ ョ ンでは、このコアで利用できるさまざまなインターフェイスについて説明します。図 2-2 に、コアの I/O図を示します。 SDI_TX インターフェイス ピンの数は、 Vivado 統合設計環境 (IDE) で設定したチャネル数によって決ま り ます。

共通インターフェイス

表 2-4 に、 専用 SDI、 AXI4-Stream、 AXI-4、 または AXI4-Lite 制御インターフェイスで共有される信号、 またはこれらインターフェイスに属さない信号を示します。

X-Ref Target - Figure 2-1X-Ref Target - Figure 2-2

図 2‐2 : SMPTE 2022‐5/6 Video over IP Receiver コア インターフェイス

表 2‐4 :共通のインターフェイス信号

信号名 方向 幅 説明

ce_27m 入力 1 これは 27MHz 周期での Valid パルス信号であ り、sys_clk を使用して、 立ち上がりエッジでコアによってサンプリ ングされます。

sys_rst 入力 1 システム ド メ インの リセッ ト

sys_clk 入力 1 システム ク ロ ッ ク

interrupt 出力 1 予約

soft_reset 出力 1 制御レジスタ ビッ トから生成されるコア リセッ ト

Discontinued IP

Page 12: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 12

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

AXI4 メモリ  マップのマスター インターフェイス

コアは、 AXI4 インターフェイスを使用して AXI4 インターコネク ト と接続します。 AXI4 インターコネク トから AXIDDR (Double Data Rate) コン ト ローラーを介して外部メモ リへアクセス し ます。 詳細は、 『AXI インターコネク トLogiCORE IP 製品ガイ ド』 (PG059) [参照 5] を参照してください。

表 2‐5 : AXI4 メモリ  インターフェイス信号

信号名 方向 幅 説明

m0_axi_awid 出力 1 書き込みアドレス チャネルの ト ランザクシ ョ ン ID

m0_axi_awaddr 出力 32 書き込みアドレス チャネルのアドレス

m0_axi_awlen 出力 8 書き込みアドレス チャネルのバース ト長コード

m0_axi_awsize 出力 3 書き込みアドレス チャネルの転送サイズ コード

m0_axi_awburst 出力 2 書き込みアドレス チャネルのバース ト タイプ

m0_axi_awlock 出力 2 書き込みアドレス チャネルの不可分なアクセス タイプ

m0_axi_awcache 出力 4 書き込みアドレス チャネルのキャッシュ特性

m0_axi_awprot 出力 3 書き込みアドレス チャネルの保護ビッ ト

m0_axi_awqos 出力 4 書き込みアドレス チャネルのサービス品質 (QoS)

m0_axi_awvalid 出力 1 書き込みアドレス チャネルの Valid 信号

m0_axi_awready 入力 1 書き込みアドレス チャネルの Ready 信号

m0_axi_wdata 出力 256 書き込みデータ チャネルのデータ

m0_axi_wstrb 出力 32 書き込みデータ チャネルのデータ バイ ト ス ト ローブ

m0_axi_wlast 出力 1 書き込みデータ チャネルの 終データ ビート

m0_axi_wvalid 出力 1 書き込みデータ チャネルの Valid 信号

m0_axi_wready 入力 1 書き込みデータ チャネルの Ready 信号

m0_axi_bid 入力 1 書き込み応答チャネルの ト ランザクシ ョ ン ID

m0_axi_bresp 入力 2 書き込み応答チャネルの応答コード

m0_axi_bvalid 入力 1 書き込み応答チャネルの Valid 信号

m0_axi_bready 出力 1 書き込み応答チャネルの Ready 信号

m0_axi_arid 出力 1 読み出しアドレス チャネルの ト ランザクシ ョ ン ID

m0_axi_araddr 出力 32 読み出しアドレス チャネルのアドレス

m0_axi_arlen 出力 8 読み出しアドレス チャネルのバース ト長コード

m0_axi_arsize 出力 3 読み出しアドレス チャネルの転送サイズ コード

m0_axi_arburst 出力 2 読み出しアドレス チャネルのバース ト タイプ

m0_axi_arlock 出力 2 読み出しアドレス チャネルの不可分なアクセス タイプ

m0_axi_arcache 出力 4 読み出しアドレス チャネルのキャッシュ特性

Discontinued IP

Page 13: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 13

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

m0_axi_arprot 出力 3 読み出しアドレス チャネルの保護ビッ ト

m0_axi_arqos 出力 4 AXI4 読み出しアドレス チャネルのサービス品質 (QoS)

m0_axi_arvalid 出力 1 読み出しアドレス チャネルの Valid 信号

m0_axi_arready 入力 1 読み出しアドレス チャネルの Ready 信号

m0_axi_rid 入力 1 読み出しデータ チャネルの ト ランザクシ ョ ン ID

m0_axi_rdata 入力 256 読み出しデータ チャネルのデータ

m0_axi_rresp 入力 2 読み出しデータ チャネルの応答コード

m0_axi_rlast 入力 1 読み出しデータ チャネルの 終データ ビート

m0_axi_rvalid 入力 1 読み出しデータ チャネルの Valid 信号

m0_axi_rready 出力 1 読み出しデータ チャネルの Ready 信号

m1_axi_awid 出力 1 書き込みアドレス チャネルの ト ランザクシ ョ ン ID

m1_axi_awaddr 出力 32 書き込みアドレス チャネルのアドレス

m1_axi_awlen 出力 8 書き込みアドレス チャネルのバース ト長コード

m1_axi_awsize 出力 3 書き込みアドレス チャネルの転送サイズ コード

m1_axi_awburst 出力 2 書き込みアドレス チャネルのバース ト タイプ

m1_axi_awlock 出力 2 書き込みアドレス チャネルの不可分なアクセス タイプ

m1_axi_awcache 出力 4 書き込みアドレス チャネルのキャッシュ特性

m1_axi_awprot 出力 3 書き込みアドレス チャネルの保護ビッ ト

m1_axi_awqos 出力 4 書き込みアドレス チャネルのサービス品質 (QoS)

m1_axi_awvalid 出力 1 書き込みアドレス チャネルの Valid 信号

m1_axi_awready 入力 1 書き込みアドレス チャネルの Ready 信号

m1_axi_wdata 出力 256 書き込みデータ チャネルのデータ

m1_axi_wstrb 出力 32 書き込みデータ チャネルのデータ バイ ト ス ト ローブ

m1_axi_wlast 出力 1 書き込みデータ チャネルの 終データ ビート

m1_axi_wvalid 出力 1 書き込みデータ チャネルの Valid 信号

m1_axi_wready 入力 1 書き込みデータ チャネルの Ready 信号

m1_axi_bid 入力 1 書き込み応答チャネルの ト ランザクシ ョ ン ID

m1_axi_bresp 入力 2 書き込み応答チャネルの応答コード

m1_axi_bvalid 入力 1 書き込み応答チャネルの Valid 信号

表 2‐5 : AXI4 メモリ  インターフェイス信号 (続き)

信号名 方向 幅 説明

Discontinued IP

Page 14: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 14

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

AXI4‐Stream スレーブ インターフェイス

詳細は、 『10 ギガビッ ト イーサネッ ト MAC LogiCORE IP 製品ガイ ド』 (PG072) [参照 6] を参照してください。

m1_axis_bready 出力 1 書き込み応答チャネルの Ready 信号

m1_axi_arid 出力 1 読み出しアドレス チャネルの ト ランザクシ ョ ン ID

m1_axi_araddr 出力 32 読み出しアドレス チャネルのアドレス

m1_axi_arlen 出力 8 読み出しアドレス チャネルのバース ト長コード

m1_axi_arsize 出力 3 読み出しアドレス チャネルの転送サイズ コード

m1_axi_arburst 出力 2 読み出しアドレス チャネルのバース ト タイプ

m1_axi_arlock 出力 2 読み出しアドレス チャネルの不可分なアクセス タイプ

m1_axi_arcache 出力 4 読み出しアドレス チャネルのキャッシュ特性

m1_axi_arprot 出力 3 読み出しアドレス チャネルの保護ビッ ト

m1_axi_arqos 出力 4 AXI4 読み出しアドレス チャネルのサービス品質 (QoS)

m1_axi_arvalid 出力 1 読み出しアドレス チャネルの Valid 信号

m1_axi_arready 入力 1 読み出しアドレス チャネルの Ready 信号

m1_axi_rid 入力 1 読み出しデータ チャネルの ト ランザクシ ョ ン ID

m1_axi_rdata 入力 256 読み出しデータ チャネルのデータ

m1_axi_rresp 入力 2 読み出しデータ チャネルの応答コード

m1_axi_rlast 入力 1 読み出しデータ チャネルの 終データ ビート

m1_axi_rvalid 入力 1 読み出しデータ チャネルの Valid 信号

m1_axi_rready 出力 1 読み出しデータ チャネルの Ready 信号

表 2‐6 : AXI4‐Stream インターフェイス信号

信号名 方向 幅 説明

pri/sec_eth_rst 入力 1 コアからのアクティブ High リセッ ト信号

pri/sec_eth_clk 入力 1 XGMAC からのリ カバリ ク ロ ッ ク信号

pri/sec_s_axis_tdata[63:0] 入力 64 XGMAC からの AXI4-Stream データ信号

pri/sec_s_axis_tkeep[7:0] 入力 8 XGMAC からの AXI4-Stream データ制御信号

pri/sec_s_axis_tvalid 入力 1 XGMAC からの AXI4-Stream データ Valid 信号

表 2‐5 : AXI4 メモリ  インターフェイス信号 (続き)

信号名 方向 幅 説明

Discontinued IP

Page 15: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 15

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

ビデオ インターフェイス

詳細は、 『SMPTE SD/HD/3G-SDI 製品ガイ ド』 (PG071) [参照 7] を参照して ください。

1. [0-7] は、 SDI ス ト リームのサポート対象が 大 8 チャネルであるこ とを表すインデッ クスです。

pri/sec_s_axis_tlast 入力 1 パケッ ト終了を示す XGMAC からの AXI4-Stream 信号

pri/sec_s_axis_tuser 入力 1

XGMAC からの AXI4-Stream ユーザー サイ ドバンド インターフェイス

• 1 は正常なパケッ ト を受信したこ とを示します。

• 0 は不良パケッ ト を受信したこ とを示します。

表 2‐7 : ビデオ インターフェイス信号

信号名(1) 方向 幅 説明

tx[0-7]_rst 入力 1 リセッ ト

tx[0-7]_clk 入力 1ク ロ ッ ク入力。 HD-SDI モードでの周波数は 74.25MHz または 74.25/1.001MHz、 3G-SDI モードでの周波数は 148.5MHz または 148.5/1.001MHz、 SD-SDI モードでの周波数は 148.5MHz にします。

tx[0-7]_ce 出力 3 SMPTE SD/HD/3G-SDI の tx[0-7]_ce に接続

tx[0-7]_din_rdy 出力 1 SMPTE SD/HD/3G-SDI の tx[0-7]_din_rdy に接続

tx[0-7]_ds1a 出力 10 SMPTE SD/HD/3G-SDI の tx[0-7]_ds1a に接続

tx[0-7]_ds1b 出力 10 SMPTE SD/HD/3G-SDI の tx[0-7]_ds1b に接続

tx[0-7]_ds2a 出力 10 SMPTE SD/HD/3G-SDI の tx[0-7]_ds2a に接続

tx[0-7]_ds2b 出力 10 SMPTE SD/HD/3G-SDI の tx[0-7]_ds2b に接続

tx[0-7]_level_b_3g 出力 1 SMPTE SD/HD/3G-SDI の tx[0-7]_level_b_3g に接続

tx[0-7]_mode 出力 1 SMPTE SD/HD/3G-SDI の tx[0-7]_mode に接続

tx[0-7]_m 出力 1

HD-SDI モード と 3G-SDI モードでは、受信したビッ ト レート を示します。 この出力が Low の場合、HD-SDI モードで 1.485Gb/s 、 3G-SDIモードで 2.97Gb/s のビッ ト レートであるこ とを示します。High の場合、HD-SDI モードで 1.485/1.001Gb/s、3G-SDI モードで 2.97/1.001Gb/sのビッ ト レートであるこ とを示します。

gtready[0-7] 出力 1

これらのポー ト は GT から信号を受け取り、 High の場合は SDI クロ ッ クが安定しているこ とを示します。 この信号は SDI ク ロ ッ ク と同期します。gtready[0-7] は、そのチャネルの rx[0-7]_playout_ready 信号がアサート される前にアサート される必要があ り ます。

表 2‐6 : AXI4‐Stream インターフェイス信号 (続き)

信号名 方向 幅 説明

Discontinued IP

Page 16: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 16

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

イーサネッ ト  パケッ ト受信インターフェイス

詳細は、 SMPTE 2022-5/6 リ ファレンス デザインを参照してください。

1. [0-7] は、 サポート される 大 8 チャネルの SDI ス ト リームを表すインデッ クスです。

AXI4‐Lite 制御インターフェイス

AXI4-Lite インターフェイスを使用する と、 コアのパラ メーターを動的に制御できます。 組み込み ARM® プロセッサまたは MicroBlaze™ などのソフ ト システム プロセッサを使用してコアを構成できます。

AXI4-Lite インターフェイスを介してコアを制御するには、 SMPTE 2022-5/6 Video over IP Receiver コアのレジスタ空間に対して読み出し /書き込みト ランザクシ ョ ンを実行します。

AXI4-Lite スレーブ インターフェイスを使用するこ とで、 このコアをプロセッサ システムへ簡単に統合できるよ うになり ます。 または、 AXI4-Lite インターフェイスを介して AXI4-Lite マスターへ接続して、 その他のビデオ/AXI4-Lite準拠の IP と共に統合する こ と もできます。 詳細は、 『AXI インターコネク ト LogiCORE IP 製品ガイ ド』 (PG059) [参照 5] を参照してください。

表 2‐8 : イーサネッ ト  パケッ ト受信インターフェイス信号

信号名(1) 方向 幅 説明

rx[0-7]_pri/sec_rtp_pkt_recv 出力 1 プライマ リ /セカンダ リ リ ンクから RTP パケッ ト を受信したこ とを示すパルス (pri/sec_eth_clk に同期)

rx[0-7]_pri/sec_rtp_seq_num 出力 16 プライマ リ /セカンダ リ リ ンクから受信した RTP パケットのシーケンス番号 (pri/sec_eth_clk に同期)

rx[0-7]_rtp_pkt_buffered 出力 16 バッファーに格納された RTP パケッ ト数 (pri_eth_clk に同期)

rx[0-7]_rtp_pkt_transmit 出力 1 SDI 出力用に RTP パケッ ト を消費したこ と を示すパルス (pri_eth_clk に同期)

rx[0-7]_pkt_lock 出力 1 チャネルが特定のペイ ロードにロ ッ ク したこ と を示す信号 (pri_eth_clk に同期)

rx[0-7]_pri/sec_vid_ts 出力 32 プライマ リ /セカンダ リ リ ンクから受信した RTP パケットのビデオ タイムスタンプ (pri/sec_eth_clk に同期)

rx[0-7]_pri/sec_rtp_ts 出力 32 プライマ リ /セカンダ リ リ ンクから受信した RTP パケットの RTP タイムスタンプ (pri/sec_eth_clk に同期)

rx[0-7]_playout_ready 出力 1 チャネルが TS データをプレイアウ ト可能になったこ とを示す信号 (pri_eth_clk に同期)

Discontinued IP

Page 17: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 17

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

表 2‐9 : AXI4‐Lite インターフェイス信号

信号名 方向 幅 説明

s_axi_aclk 入力 1 AXI4-Lite のクロ ッ ク信号

s_axi_aresetn 入力 1 AXI4-Lite のアクティブ Low リセッ ト信号

s_axi_awaddr 入力 9 AXI4-Lite 書き込みアドレス バス

s_axi_awvalid 入力 1 AXI4-Lite 書き込みアドレス チャネルの書き込みアドレス Valid 信号

s_axi_wdata 入力 32 AXI4-Lite 書き込みデータ バス

s_axi_wstrb 入力 4 AXI4-Lite 書き込みデータ チャネルのデータ バイ ト ス ト ローブ

s_axi_wvalid 入力 1 AXI4-Lite 書き込みデータ チャネルの書き込みデータ Valid 信号

s_axi_bready 入力 1 AXI4-Lite 書き込み応答チャネルの Ready 信号。 ターゲッ トが応答を受け入れる準備が整っているこ とを示す。

s_axi_araddr 入力 9 AXI4-Lite 読み出しアドレス バス

s_axi_arvalid 入力 1 AXI4-Lite 読み出しアドレス チャネルの読み出しアドレス Valid 信号

s_axi_rready 入力 1 AXI4-Lite 読み出しデータ チャネルの読み出しデータ Ready 信号。 ターゲッ トが読み出しアドレスを受け入れる準備が整ったこ とを示す。

s_axi_arready 出力 1 AXI4-Lite 読み出しアドレス チャネルの読み出しアドレス Ready 信号。ターゲッ トが読み出しアドレスを受け入れる準備が整ったこ とを示す。

s_axi_rdata 出力 32 AXI4-Lite 読み出しデータ バス

s_axi_rresp 出力 2 AXI4-Lite 読み出し応答チャネルの応答信号。 読み出し転送の結果を示す。

s_axi_rvalid 出力 1 AXI4-Lite 読み出しデータ チャネルの読み出しデータ Valid 信号

s_axi_wready 出力 1 AXI4-Lite 書き込みデータ チャネルの書き込みデータ Ready 信号。 ターゲッ トが書き込みデータを受け入れる準備が整ったこ とを示す。

s_axi_bresp 出力 2 AXI4-Lite 書き込み応答チャネル。 書き込み転送の結果を示す。

s_axi_bvalid 出力 1 AXI4-Lite 書き込み応答チャネルの応答 Valid 信号。 応答が有効であるこ とを示す。

s_axi_awready 出力 1 AXI4-Lite 書き込みアドレス チャネルの書き込みアドレス Ready 信号。

Discontinued IP

Page 18: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 18

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

レジスタ空間SMPTE 2022-5/6 Video over IP Receiver コアのレジスタ空間は、一般レジスタ とチャネル固有レジスタで構成されます。レジスタ使用法の詳細は、 SMPTE 2022-5/6 リ ファレンス デザインを参照してください。

表 2‐10 : AXI4‐Lite レジスタ  マップ

アドレス (16 進数)

レジスタ名 アクセス タイプデフォルト値 

(16 進数)

説明

ビッ ト範囲

一般レジスタ

0x0000 control R/W 0x00000000 制御

31:2 予約

1 チャネル レジスタ更新0 - ホス ト プロセッサがレジスタを更新中 1 - プロセスの更新が完了

0 予約

0x0004 reset R/W 0x00000000 リセッ ト

31:1 予約

0 1 - コンフ ィギュレーシ ョン レジスタを リセッ トし、 soft_reset 信号を High にする

0x000C channel_access R/W 0x00000000 チャネル アクセス

31 0 - プライマ リ1 - セカンダ リ

30:8 予約

7:0 チャネル空間レジス タへアクセスするためのチャネル番号

0x0020 sys_cfg R ジェネ リ ッ クの設定による

システム コンフ ィギュレーシ ョ ン

31 シームレス スイ ッチングのサポート

30 FEC 回復のサポート

29:8 予約

7:0 サポート されるチャネル数

0x0024 version R 0x04000000 バージ ョ ン

31:24 メジャー バージ ョ ン

23:16 マイナー バージ ョ ン

15:12 バージ ョ ン リ ビジ ョ ン

11:8 パッチ ID

7:0 リ ビジ ョ ン番号

Discontinued IP

Page 19: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 19

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

0x0028 network_path_differential R/W 0x00000000 ネッ ト ワーク パス差分

31:0 シームレス モードでの 2 つのス ト リーム間の 大許容遅延。 単位は 27MHzクロッ クのサイクル数

0x0030 fec_processing_delay R/W 0x00000000 FEC 処理遅延

31:0 順番が入れ替わって遅れて到着した FEC パケッ ト を受信してから回復処理を実行するまでの遅延時間。 値は 27MHz ク ロ ッ クのサイクル数で指定します。

0x0034 fec_buf_base_addr R/W 0x00000000 FEC バッファー ベース アドレス

31:0 DDR 内のバッファー先頭のベース アドレス

0x0038 fec_buf_pool_size R/W 0x00000000 FEC バッファー プール サイズ

31:0 FEC バッファー用に確保するメモリ空間のバイ ト数

0x003C pri_recv_pkt_cnt R 0x00000000 プライマ リ受信パケッ ト数

31:0 プライマリ ス ト リームで受信したパケッ ト数

0x0040 sec_recv_pkt_cnt R 0x00000000 セカンダ リ受信パケッ ト数

31:0 セカンダ リ ス ト リームで受信したパケッ ト数

0x0044 pri_err_pkt_cnt R 0x00000000 プライマ リ エラー パケッ ト数

31:0 プライマリ ス ト リームで受信したエラー パケッ ト数

0x0048 sec_err_pkt_cnt R 0x00000000 セカンダ リ エラー パケッ ト数

31:0 セカンダリ ス ト リームで受信したエラー パケッ ト数

0x004C pri_discard_pkt_cnt R 0x00000000 プライマ リ破棄パケッ ト数

31:0 プライマリ ス ト リームで受信してフィルター条件を満たさなかったパケッ ト数

0x0050 sec_discard_pkt_cnt R 0x00000000 セカンダ リ破棄パケッ ト数

31:0 セカンダリ ス ト リームで受信してフィルター条件を満たさなかったパケッ ト数

表 2‐10 : AXI4‐Lite レジスタ  マップ (続き)

アドレス (16 進数)

レジスタ名 アクセス タイプデフォルト値 

(16 進数)

説明

ビッ ト範囲

Discontinued IP

Page 20: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 20

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

0x0054 gen_stat_reset R/W 0x00000000 一般統計リセッ ト

31:6 予約

5 sec_discarded_pkts_cnt をリセッ ト

4 pri_discarded_pkts_cnt をリセッ ト

3 sec_err_pkts_cnt を リセッ ト

2 pri_err_pkts_cnt を リセッ ト

1 sec_recv_pkts_cnt をリセッ ト

0 pri_recv_pkts_cnt をリセッ ト

チャネル

0x0084 ip_hdr_param R 0x00000000 IP ヘッダー パラ メーター

31:16 予約

15:8 TOS (Type of Service)

7:0 TTL (Time to Live)

0x0088 match_vlan R/W 0x00000000 VLAN によるフ ィルター条件

31 VLAN フ ィルタ リ ング0 - VLAN タグのないス トリームがフ ィルターを通過1 - ビッ ト 15:0 にタグ制御情報 (TCI) を持つ VLAN タグ付きス ト リームがフ ィルターを通過

30:16 予約

15:0 16 ビッ ト VLAN TCI

0x008C match_dest_ip_addr R/W 0x00000000 デスティネーシ ョ ン IP アドレスによるフ ィルター条件

31:0 32 ビッ ト IP ホス ト下位アドレス

0x009C match_src_ip_addr R/W 0x00000000 ソース IP ア ド レ スによ る フ ィ ルター条件

31 :0 32 ビ ッ ト ソース IP ア ドレス

0x00AC match_src_port R/W 0x00000000 UDP ソース ポートによるフィルター条件

31:16 予約

15:0 16 ビッ ト UDP ソース ポート アドレス

表 2‐10 : AXI4‐Lite レジスタ  マップ (続き)

アドレス (16 進数)

レジスタ名 アクセス タイプデフォルト値 

(16 進数)

説明

ビッ ト範囲

Discontinued IP

Page 21: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 21

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

0x00B0 match_dest_port R/W 0x00000000 UDP デスティネーシ ョ ン ポートによるフ ィルター条件

31:16 予約

15:0 フ ィルタ リ ングに使用する 16 ビ ッ ト の UDP デスティネーシ ョ ン ポート アドレス

0x00B4 match_sel R/W 0x00000000 フ ィルター条件の選択

31:6 予約

5 SSRC によるフ ィルターを適用する

4 UDP デステ ィネーシ ョ ンポー ト によ るフ ィルターを適用する

3 UDP ソース ポートによるフ ィルターを適用する

2 デスティネーシ ョ ン IP アド レスによ るフ ィルターを適用する

1 ソース IP アドレスによるフ ィルターを適用する

0 VLAN によ るフ ィルターを適用する

0x00B8 link_reordered_pkt_cnt R 0x00000000 リ ンク リオーダー パケッ ト数

31:0 リオーダー パケッ トの数

0x00BC link_stat_reset R/W 0x00000000 リ ンク統計リセッ ト

31:3 予約

2 link_valid_fec_pkt_cnt をリセッ ト

1 link_valid_media_pkt_cnt を リセッ ト

0 link_reordered_pkt_cnt をリセッ ト

0x00C0 link_valid_media_pkt_cnt R 0x00000000 リ ンク有効メディア パケッ ト数

31:0 1 チャネルのリ ンクで受信した有効メディア パケッ トの数

0x00C4 link_valid_fec_pkt_cnt R 0x00000000 リ ンク有効 FEC パケッ ト数

31:0 1 チャネルのリ ンクで受信した有効 FEC パケッ トの数

表 2‐10 : AXI4‐Lite レジスタ  マップ (続き)

アドレス (16 進数)

レジスタ名 アクセス タイプデフォルト値 

(16 進数)

説明

ビッ ト範囲

Discontinued IP

Page 22: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 22

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

チャネル (共有)

0x0100 chan_en R/W 0x00000000 チャネル イネーブル

31:2 予約

1 RTP タ イムスタンプ バイパス1 - タイムスタンプなしでRTP ス ト リームを処理0 - タイムスタンプあ りでRTP ス ト リームを処理

0 チャネル イネーブル0 - チャネルを無効にする1 - チャネルを有効にする

0x0104 chan_timeout R/W 0x00000000 チャネル タイムアウ ト

31:0 入力にパケッ ト動作がない場合、 チャネルをアンロックする ウォ ッチド ッグ タイマー。 単位は 156.25MHzクロッ クのサイクル数

0x010C chan_stat_reset R/W 0x00000000 チャネル統計リセッ ト

31:7 予約

6 chan_valid_fec_pkt_cnt をリセッ ト

5 oor_pkt_cnt を リセッ ト

4 unrec_pkt_cnt を リセッ ト

3 media_buffer_ov を リセッ ト

2 dup_pkt_cnt を リセッ ト

1 corr_pkt_cnt を リセッ ト

0 chan_valid_media_pkt_cnt を リセッ ト

0x0110 match_ssrc R/W 0x00000000 SSRC によるフ ィルター条件

31:0 プラ イマ リ リ ン ク とセカンダ リ リ ンクをチャネルに一致させるために使用する 32 ビッ ト SSRC 値を設定

表 2‐10 : AXI4‐Lite レジスタ  マップ (続き)

アドレス (16 進数)

レジスタ名 アクセス タイプデフォルト値 

(16 進数)

説明

ビッ ト範囲

Discontinued IP

Page 23: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 23

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

0x0114 sdi_pkt_status R 0x00000000 SDI パケッ トのステータス

1 1 - SDI フレームが同期していない。 SDI フレームのパケッ ト数がビデオ フォーマッ ト と一致していない

0 パケッ ト サイズ ロ ッ ク インジケーター0 - ロ ッ ク していない1 - ロ ッ ク している

0x0118 vid_src_fmt R 0x00000000 ビデオ ソース フォーマッ ト

31:28 MAP (SMPTE 2022-6 規格を参照)

27:20 FRAME (SMPTE 2022-6 規格を参照)

19:12 FRATE (SMPTE 2022-6 規格を参照)

11:8 SAMPLE (SMPTE 2022-6 規格を参照)

7:0 予約

0x011C playout_delay R/W 0x00000000 プレイアウ ト遅延

31:0 受信ス ト リームのパケット サイズがロッ ク され、SDI フレームの終わりが初めて検出されてから SDI プレイアウ ト までの待ち時間。 単位は 27MHz ク ロ ッ クのサイクル数

0x0124 fec_param R 0x00000000 FEC パラ メーター

31:22 予約

21 FEC 保護レベル1 - レベル B、0 - レベル A

20 1 - FEC パラ メーターがロ ッ ク している

19:10 受信ヘッダーからの 10 ビッ ト D 値

9:0 受信ヘッダーからの 10 ビッ ト L 値

表 2‐10 : AXI4‐Lite レジスタ  マップ (続き)

アドレス (16 進数)

レジスタ名 アクセス タイプデフォルト値 

(16 進数)

説明

ビッ ト範囲

Discontinued IP

Page 24: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 24

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

0x0128 seamless_protect R 0x00000000 シームレス保護

31 シームレス保護のステータス0 - 保護なし1 - 保護あり

30:0 受信したプラ イマ リ ス トリ ーム パケッ ト とセカンダ リ ス ト リーム パケッ トの RTP タイムスタンプの差

0x012C media_buf_base_addr R/W 0x00000000 メディア バッファー ベース アドレス

31:0 DDR 内のバッファー先頭のベース アドレス

0x0130 media_pkt_buf_size R/W 0x00000000 メディア パケッ ト バッファー サイズ

31:16 予約

15:0 現在のチャネルの DDR に格納する RTP パケッ トの数

0x0134 chan_valid_media_pkt_cnt R 0x00000000 チャネル有効メディア パケッ ト数

31:0 チャネルで受信した有効メディア パケッ トの数

0x0138 rec_ pkt_cnt R 0x00000000 回復パケッ ト数

31:0 FEC で回復したパケッ トの数

0x013C dup_ pkt_cnt R 0x00000000 重複パケッ ト数

31:0 チャネル内の重複パケ ットの数

0x0140 curr_pkt_buffered R 0x00000000 FEC 処理遅延

31:16 予約

15:0 DDR のバッファーに格納された RTP パケッ トの数

0x0144 pkt_interval R 0x00000000 パケッ ト間隔

31:0 マージ ス ト リーム内のシーケンス番号が連続する 2 つのパケッ ト間のタイムスタンプの差

0x0148 chan_valid_fec_pkt_cnt R 0x00000000 チャネル有効 FEC パケッ ト数

31:0 チャネルで受信した有効 FEC パケッ トの数

表 2‐10 : AXI4‐Lite レジスタ  マップ (続き)

アドレス (16 進数)

レジスタ名 アクセス タイプデフォルト値 

(16 進数)

説明

ビッ ト範囲

Discontinued IP

Page 25: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 25

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

CONTROL (0x000) レジスタ

CONTROL レジスタのビッ ト 1 は、チャネル空間内のすべてのユーザー レジスタを同時に更新するために使用するホス ト プロセッサ用の書き込み完了セマフォです。 レジスタは同じものが 2 つあり、そのうち 1 つ (プロセッサ レジスタ ) にはプロセッサ インターフェイスから直接アクセスできます。 も う 1 つ (アクティブ セッ ト ) はコアが使用します。 プロセッサ レジスタへ書き込んだ値は、 レジスタ更新ビッ トがセッ ト されている場合のみアクティブ セッ トへコピーされます。 レジスタ更新ビッ ト を 0 にしてから複数のレジスタに書き込みを実行し、 その後でレジスタ更新ビッ ト を 1 にする とすべてのチャネル空間レジスタが同時に更新されます。

RESET (0x004) レジスタ

ビッ ト 0 はソフ ト ウェア リセッ トです。 このビッ ト を High にする と、 コンフ ィギュレーシ ョ ン レジスタはリセッ ト状態に維持されます。 同時に、 コア インターフェイスでは soft_reset 信号が High に保持されます。

CHANNEL_ACCESS (0x00C) レジスタ

アクセス先のチャネルを設定します。 すべてのプライマ リ リ ンクおよびセカンダ リ リ ンク チャネルは、 チャネル空間で同じレジスタ アドレスを共有します。 セカンダ リ リ ンクのチャネルにアクセスするには、 ビッ ト 31 を 1 にセット します。 セカンダ リ リ ンクで利用可能なレジスタは 0x084 ~ 0x0C0 のみです。 ビッ ト 7-0 は標準の 2 進数表記でチャネル番号を指定します。

SYS_CFG (0x020) レジスタ

コアのシステム コンフ ィギュレーシ ョ ンです。

ビッ ト 31 が High の場合、 シームレス スイ ッチングがサポート されるこ とを示します。

ビッ ト 30 が High の場合、 FEC エンジンが含まれるこ とを示します。

ビッ ト 7-0 は、 利用可能なチャネル数を示します。

0x0154 media_buffer_ov R 0x00000000 メディア バッファー オーバーフロー

31:1 予約

0 1 - メディア バッファーがオーバーフローしたこ とを示す

0x0158 unrec_ pkt_cnt R 0x00000000 回復不能パケッ ト数

31:0 回復不能パケッ トの数

0x0160 oor_ pkt_cnt R 0x00000000 範囲外パケッ ト数

31:0 範囲外パケッ トの数

表 2‐10 : AXI4‐Lite レジスタ  マップ (続き)

アドレス (16 進数)

レジスタ名 アクセス タイプデフォルト値 

(16 進数)

説明

ビッ ト範囲

Discontinued IP

Page 26: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 26

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

VERSION (0x024) レジスタ

このレジスタのビッ ト フ ィールドを使用して、 ソフ ト ウェアはシステムに統合されたハード ウェア ペリ フェラルの正確なバージ ョ ンを特定します。 コア ド ラ イバーはこの読み出し専用の値を利用して、 ソフ ト ウェアが正しいバージ ョ ンのハードウェアに適合しているかを確認できます。

NETWORK_PATH_DIFFERENTIAL (0x028) レジスタ

シームレス スイ ッチング モードで動作するコアのプライマ リ リ ンク とセカンダ リ リ ンクの間の 大遅延を設定します。 値は 27MHz ク ロ ッ クのサイクル数で指定します。

FEC_PROCESSING_DELAY (0x030) レジスタ

順番が入れ替わって到着した FEC パケッ ト を受信してから回復処理を実行するまでの遅延時間を設定します。 値は27MHz ク ロ ッ クのサイクル数で指定します。

FEC_BUF_BASE_ADDR (0x034) レジスタ

回復用の FEC パケッ ト を格納するために DDR に割り当てたメモリのベース アドレスを設定します。

FEC_BUF_POOL_SIZE (0x038) レジスタ

FEC パケッ ト を格納するために DDR に割り当てる メモ リ バッファー サイズを設定します。 値の単位はバイ トです。

PRI_RECV_PKT_CNT (0x03C) レジスタ

プライマ リ受信パケッ ト カウンターは、 フ ィルター条件に一致したパケッ トがプライマ リ リ ンクのチャネルに到達する と インク リ メン ト します。 レジスタ 0x054 のビッ ト 0 を High にする と リセッ ト されます。

SEC_RECV_PKT_CNT (0x040) レジスタ

セカンダ リ受信パケッ ト カウンターは、 フ ィルター条件に一致したパケッ トがセカンダ リ リ ンクのチャネルに到達する と インク リ メン ト します。 レジスタ 0x054 のビッ ト 1 を High にする と リセッ ト されます。

PRI_ERR_PKT_CNT (0x044) レジスタ

プライマ リ エラー パケッ ト カウンターは、 プライマ リ リ ンクでパケッ トが MAC コアからの不正フレームと識別される と インク リ メン ト します。 レジスタ 0x054 のビッ ト 2 を High にする と リセッ ト されます。

SEC_ERR_PKT_CNT (0x048) レジスタ

セカンダ リ エラー パケッ ト カウンターは、 セカンダ リ リ ンクでパケッ トが MAC コアからの不正フレームと識別される と インク リ メン ト します。 レジスタ 0x054 のビッ ト 3 を High にする と リセッ ト されます。

PRI_DISCARD_PKT_CNT (0x04C) レジスタ

プライマ リ破棄パケッ ト カウンターは、 プライマ リ リ ンクのいずれかのチャネルでパケッ トが拒否される と インクリ メン ト します。 レジスタ 0x054 のビッ ト 4 を High にする と リセッ ト されます。

Discontinued IP

Page 27: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 27

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

SEC_DISCARD_PKT_CNT (0x050) レジスタ

セカンダ リ破棄パケッ ト カウンターは、 セカンダ リ リ ンクのいずれかのチャネルでパケッ トが拒否される と インクリ メン ト します。 レジスタ 0x054 のビッ ト 5 を High にする と リセッ ト されます。

GEN_STAT_RESET (0x054) レジスタ

ビッ ト 5 を High にする とセカンダ リ破棄パケッ ト カウンター (レジスタ 0x050) がリセッ ト されます。

ビッ ト 4 を High にする とプライマ リ破棄パケッ ト カウンター (レジスタ 0x04C) がリセッ ト されます。

ビッ ト 3 を High にする とセカンダ リ エラー パケッ ト カウンター (レジスタ 0x048) がリセッ ト されます。

ビッ ト 2 を High にする とプライマ リ エラー パケッ ト カウンター (レジスタ 0x044) がリセッ ト されます。

ビッ ト 1 を High にする とセカンダ リ受信パケッ ト カウンター (レジスタ 0x040) がリセッ ト されます。

ビッ ト 0 を High にする とプライマ リ受信パケッ ト カウンター (レジスタ 0x03C) がリセッ ト されます。

IP_HDR_PARAM (0x084) レジスタ

IP ヘッダーのフ ィールドに関する読み出し専用のステータスです。

ビッ ト 15-8 : Type of Service (TOS)

ビッ ト 7-0 : Time to Live (TTL)

MATCH_VLAN (0x088) レジスタ

このパラ メーターは、 現在のチャネルに対するパケッ ト フ ィルタ リ ングに使用します。 ビッ ト 15-0 に、 フ ィルター条件となる VLAN タグの値を設定します。 ビッ ト 31 を High にする と、 VLAN タグでフ ィルタ リ ングできます。

ビッ ト 31 が High の場合、 フ ィルター条件に設定した VLAN TCI を持つパケッ トがチャネルに到達します。

ビッ ト 31 が Low の場合、 VLAN TCI を持たないパケッ トがフ ィルターを通過してチャネルへ到達します。

表 2‐11 : MATCH_VLAN (0x88) と  MATCH_SEL (0xB4) の使用法

MATCH_SEL (0xB4)

のビッ ト  0 (VLAN との一致)

MATCH_VLAN (0x88)

のビッ ト  31(VLAN フ ィルタ リング)

VLAN タグ付き受信パケッ ト VLAN タグなし受信パケッ ト

00

該当なし1

1

0 不一致 一致

1 VLAN タグ ID = MATCH_VLAN のビッ ト [15:0] なら一致

不一致

Discontinued IP

Page 28: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 28

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

MATCH_DEST_IP_ADDR (0x08C) レジスタ

このパラ メーターは、 現在のチャネルに対するパケッ ト フ ィルタ リ ングに使用します。 ビッ ト 31-0 に、 フ ィルター条件となるデスティネーシ ョ ン IP アドレスの値を設定します。

MATCH_SRC_IP_ADDR (0x09C) レジスタ

このパラ メーターは、 現在のチャネルに対するパケッ ト フ ィルタ リ ングに使用します。 ビッ ト 31-0 に、 フ ィルター条件となるソース IP アドレスの値を設定します。

MATCH_SRC_PORT (0x0AC) レジスタ

このパラ メーターは、 現在のチャネルに対するパケッ ト フ ィルタ リ ングに使用します。 ビッ ト 15-0 に、 フ ィルター条件となる UDP ソース ポートの値を設定します。

MATCH_DEST_PORT (0x0B0) レジスタ

このパラ メーターは、 現在のチャネルに対するパケッ ト フ ィルタ リ ングに使用します。 ビッ ト 15-0 に、 フ ィルター条件となる UDP デスティネーシ ョ ン ポートの値を設定します。

MATCH_SEL (0x0B4) レジスタ

このレジスタは受信パケッ トに適用するフ ィルター条件を設定します。 この条件を満たしたものがチャネルへ送信されます。

• ビッ ト 5 を High にする と、 パケッ トの RTP ヘッダーの SSRC フ ィールドにレジスタ 0x110 の設定値をフ ィルター条件と して適用します。

• ビッ ト 4 を High にする と、パケッ トの UDP ヘッダーのデスティネーシ ョ ン ポート フ ィールドにレジスタ 0x0B0の設定値をフ ィルター条件と して適用します。

• ビッ ト 3 を High にする と、 パケッ トの UDP ヘッダーのソース ポート フ ィールドにレジスタ 0x0AC の設定値をフ ィルター条件と して適用します。

• ビッ ト 2 を High にする と、パケッ トの IP ヘッダーのデスティネーシ ョ ン アドレス フ ィールドにレジスタ 0x08Cの設定値をフ ィルター条件と して適用します。

• ビッ ト 1 を High にする と、 パケッ トの IP ヘッダーのソース アドレス フ ィールドにレジスタ 0x09C の設定値をフ ィルター条件と して適用します。

• ビッ ト 0 を High にする と、 イーサネッ ト フレームの IEEE 802.1Q タグにレジスタ 0x088 の設定値をフ ィルター条件と して適用します。

フ ィルターを適用した各リ ンク メディア パケッ トは、 いずれか 1 つのチャネルにしか到達できません。

LINK_REORDERED_PKT_CNT (0x0B8) レジスタ

このカウンターは、 プライマ リ またはセカンダ リ リ ンクのチャネル内で順番が変更 ( リオーダー ) された受信メディア パケッ トの数を追跡します。直前のパケッ ト よ り もシーケンス番号の小さいパケッ ト を受信する と、順番が変更されたと見なされます。 レジスタ 0x0BC のビッ ト 0 を High にする とカウンターがリセッ ト されます。

Discontinued IP

Page 29: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 29

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

LINK_STAT_RESET (0x0BC) レジスタ

• ビッ ト 2 を High にする と リ ンク有効 FEC パケッ ト カウンター (レジスタ 0x0C4) がリセッ ト されます。

• ビッ ト 1 を High にする と リ ンク有効メディア パケッ ト カウンター (レジスタ 0x0C0) がリセッ ト されます。

• ビッ ト 0 を High にする と リ ンク リオーダー パケッ ト カウンター (レジスタ 0x0B8) がリセッ ト されます。

LINK_VALID_MEDIA_PKT_CNT (0x0C0) レジスタ

このカウンターは、 プライマ リ またはセカンダ リ リ ンクのチャネルに一致する受信メディア パケッ トの数を追跡します。 レジスタ 1x0BC のビッ ト 0 を High にする とカウンターがリセッ ト されます。

LINK_VALID_FEC_PKT_CNT (0x0C4) レジスタ

このカウンターは、プライマ リ またはセカンダ リ リ ンクのチャネルに一致する受信 FEC パケッ トの数を追跡します。LINK_STATE_RESET (0x0BC) レジスタのビッ ト 2 を High にする と、 このカウンターがリセッ ト されます。

CHAN_EN (0x100) レジスタ

• ビッ ト 0 をセッ トする と、 チャネル動作が有効になり ます。

• ビッ ト 1 をセッ トする と、 現在のチャネルのメディア パケッ ト RTP タイムスタンプ チェッ クがバイパスされます。 範囲外パケッ ト カウンターは動作しません。 範囲外パケッ トは破棄されません。

CHAN_TIMEOUT (0x104) レジスタ

入力にパケッ ト動作がない場合にチャネルをアンロ ッ クするウォッチド ッグ タイマーです。 値は 156.25MHz ク ロ ックのサイクル数で指定します。

CHAN_STAT_RESET (0x10C) レジスタ

• ビッ ト 6 を High にする と、 チャネル有効 FEC パケッ ト数 (レジスタ 0x148) がリセッ ト されます。

• ビッ ト 5 を High にする と範囲外パケッ ト カウンター (レジスタ 0x160) がリセッ ト されます。

• ビッ ト 4 を High にする と回復不能パケッ ト カウンター (レジスタ 0x158) がリセッ ト されます。

• ビッ ト 3 を High にする と メディア バッファー オーバーフロー (レジスタ 0x154) がリセッ ト されます。

• ビッ ト 2 を High にする と重複パケッ ト カウンター (レジスタ 0x13C) がリセッ ト されます。

• ビッ ト 1 を High にする と回復パケッ ト カウンター (レジスタ 0x138) がリセッ ト されます。

• ビッ ト 0 を High にする とチャネル有効メディア パケッ ト カウンター (レジスタ 0x134) がリセッ ト されます。

MATCH_SSRC (0x110) レジスタ

このパラ メーターは、 現在のチャネルに対するパケッ ト フ ィルタ リ ングに使用します。 ビッ ト 31-0 に、 フ ィルター条件となる RTP SSRC (Synchronization Source) 識別子を設定します。

Discontinued IP

Page 30: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 30

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

SDI_PKT_STATUS (0x114) レジスタ

チャネルが検出したメディア パケッ ト サイズに関する読み出し専用ステータスです。

現在のチャネルで同じビデオ ソース フォーマッ トのメディア パケッ ト を 32 個連続で検出する と、 ビッ ト 0 が Highにな り ます。 レジスタ 0x118 が SDI ビデオのビデオ ソース フォーマッ ト を示します。 その後ビデオ ソース フォーマッ トが変更される と このビッ トはリセッ ト され、 検出プロセスが 初から再開します。

ビッ ト 1 が High の場合、 ロ ッ ク されたビデオ ソース フォーマッ トに基づく 1 フレームあた りの受信パケッ ト数が誤っているこ とを示します。 チャネルを リセッ ト して正常な動作を確保する必要があ り ます。

VID_SRC_FMT (0x118) レジスタ

• ビッ ト 31 ~ 28 は、 SMPTE 2022-6 規格の MAP パラ メーターを指します。

• ビッ ト 27 ~ 20 は、 SMPTE 2022-6 規格の FRAME パラ メーターを指します。

• ビッ ト 19 ~ 12 は、 SMPTE 2022-6 規格の FRATE パラ メーターを指します。

• ビッ ト 11 ~ 8 は、 SMPTE 2022-6 規格の SAMPLE パラ メーターを指します。

PLAYOUT_DELAY (0x11C) レジスタ

パケッ ト サイズ ロ ッ ク インジケーター (レジスタ 0x120 のビッ ト 0) が High になり、 マーカー ビッ ト パケッ トが検出されてから、 バッファーに格納された SDI データがプレイアウ ト可能になるまでの待ち時間を設定します。 値は27MHz ク ロ ッ クのサイクル数で指定します。

FEC_PARAM (0x124) レジスタ

チャネルが検出した FEC パラ メーターに関する読み出し専用ステータスです。

現在のチャネルで 初に FEC パケッ ト を検出する とビッ ト 20 が High にな り ます。 検出は、 レジスタ 0x120 のビット 0 が High になるまで開始しません。

ビッ ト 20 が High の場合、 各ビッ トの意味は次のとおりです。

• ビッ ト 9-0 : FEC マ ト リ クスの L 値

• ビッ ト 19-10 : FEC マ ト リ クスの D 値

• ビッ ト 21 : FEC 保護レベル。 Low ならレベル A ス ト リーム、 High ならレベル B ス ト リーム

SEAMLESS_PROTECT (0x128) レジスタ

ビッ ト 30-0 は、同じチャネルのプライマ リ リ ンク とセカンダ リ リ ンクで受信したパケッ トの RTP タイムスタンプの差分をサンプリ ングします。

ビッ ト 31 はチャネルをシームレス保護の対象とするかど うかを示します。プライマ リ リ ンク とセカンダ リ リ ンクで受信し た メ デ ィ ア パケ ッ ト の RTP タ イ ム ス タ ンプがどち ら も NETWORK_PATH_DIFFERRENTIAL とPLAYOUT_DELAY で定義した範囲内にあれば、 チャネルは保護されている と見なされます。

MEDIA_BUF_BASE_ADDR (0x12C) レジスタ

このレジスタは、 FEC 回復およびプレイアウ ト を行う メディア パケッ トの格納用に DDR に割り当てられたメモ リバッファーのベース アドレスを設定します。

Discontinued IP

Page 31: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 31

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

MEDIA_PKT_BUF_SIZE (0x130) レジスタ

このレジスタは、 現在のチャネルの DDR に格納できる 大メディア パケッ ト数を設定します。 (2^n-1) の形式で 16ビッ ト (ビッ ト 15-0) の値 n を設定します。

CHAN_VALID_MEDIA_PKT_CNT (0x134) レジスタ

このカウンターは、メディア パケッ トがメモ リ バッファーに書き込まれる と インク リ メン ト します。このカウンターは各チャネルにあ り、 レジスタ 0x10C のビッ ト 0 を High にする と リセッ ト されます。

REC_PKT_CNT (0x138) レジスタ

回復パケッ ト カウンターは、 FEC エンジンによってメディア パケッ トが回復される と インク リ メン ト します。 このカウンターは各チャネルにあ り、 レジスタ 0x10C のビッ ト 1 を High にする と リセッ ト されます。

DUP_PKT_CNT (0x13C) レジスタ

重複パケッ ト カウンターは、 チャネルのプラ イマ リ リ ン クかセカンダ リ リ ン クかを問わず、 すでにメモ リ バッファーにあるのと同じシーケンス番号のメディア パケッ ト を受信する と インク リ メン ト します。この場合受信パケットは破棄され、 それ以上処理されません。 このカウンターは各チャネルにあ り、 レジスタ 0x10C のビッ ト 2 を Highにする と リセッ ト されます。

CURR_PKT_BUFFERED (0x140) レジスタ

このレジスタは、 DDR に格納されている RTP パケッ トの数を示します。 ザイ リ ンクスでは、 コアの側帯波信号と して利用できる rx[0-7]_rtp_pkt_buffered (表 2-8) を使用するこ とを推奨します。 側帯波信号には、 このレジスタよ り も即時的で高速な表示更新と同じ機能があ り ます。

PKT_INTERVAL (0x144) レジスタ

メディア パケッ ト ス ト リーム内のシーケンス番号が連続する 2 つのパケッ ト間の RTP タイムスタンプの差です。 値の単位は 27MHz ク ロ ッ クのサイクル数です。 シーケンス番号が連続する 2 つのパケッ ト間の RTP タイムスタンプの差は、 ビデオ ビッ ト レートの情報 (3G、 HD、 SD のいずれか) を示します。

例 :PKT_INTERVAL = 200 = (200/27000) = ~7.41us これは、 レジスタの値に基づいて計算されたパケッ ト あた りのビッ ト レートです。

1 HD パケッ トが 1376B メディア ペイロードであるこ と と、 HD ビッ ト レートが 1.485Gbits/s であるこ とがわかっているため、 パケッ ト あた りのビッ ト レートは (1376*8)/1.485 = ~7.41us と計算できます。 これは、 PKT_INTERVAL レジスタの値が HD ビッ ト レート を表すこ とを示しています。

CHAN_VALID_FEC_PKT_CNT (0x148) レジスタ

このカウンターは、 FEC パケッ トがメモ リ バッファーに書き込まれる と インク リ メ ン ト します。 このカウンターは各チャネルにあ り、 CHAN_STAT_RESET (0x10C) のビッ ト 6 を High にする と リセッ ト されます。

Discontinued IP

Page 32: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 32

PG033 2015 年 9 月 30 日

第 2 章 :製品仕様

MEDIA_BUFFER_OV (0x154) レジスタ

送信パケッ ト数よ り も受信パケッ ト数の方が多く、 メモ リ バッファーがいっぱいになる と、 このレジスタのビッ ト 0が High になり ます。 このステータスは各チャネルにあ り、レジスタ 0x10C のビッ ト 3 を High にする と リセッ ト されます。

UNREC_PKT_CNT (0x158) レジスタ

回復不能パケッ ト カウンターは、送信パケッ トがなくなる と インク リ メン ト します。 これは、 まだパケッ ト を受信していない場合、 または FEC エンジンがマ ト リ クスから欠落したパケッ ト を回復できない場合が該当します。 このカウンターは各チャネルにあ り、 レジスタ 0x10C のビッ ト 4 を High にする と リセッ ト されます。

OOR_PKT_CNT (0x160) レジスタ

範囲外パケッ ト カウンターは、 受信パケッ ト と送信パケッ トの RTP タイムスタンプの差が (NETWORK_PATH_DIFFERRENTIAL + PLAYOUT_DELAY) の値よ り大き くなる と インク リ メ ン ト します。 この場合受信パケッ トは破棄され、それ以上処理されません。 このカウンターは各チャネルにあ り、 レジスタ 0x10C のビッ ト5 を High にする と リセッ ト されます。

範囲外パケッ トが大量に発生する と、 コアが動作を停止するこ とがあるため注意してください。

Discontinued IP

Page 33: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 33

PG033 2015 年 9 月 30 日

第 3章

コアを使用するデザインこのコアは、 SMPTE ビデオ コネクティビティ規格の SD/HD/3G-SDI と 10Gb/s イーサネッ トの間でブリ ッジ変換が必要なブロードキャス ト アプリケーシ ョ ンで使用します。コアは SMPTE 2022-5/6 規格に従ってカプセル化されたイーサネッ ト パケッ ト を受け取り、 そのパケッ ト を非圧縮 SD/HD/3G-SDI ス ト リームで SMPTE SD/HD/3G-SDI コアにマップします。 このコアは、 AXI4-Stream インターフェイスを介して 10Gb/s イーサネッ ト MAC からイーサネッ ト パケッ トを受信します。このコアは AXI4 メモリ インターフェイスを使用してコアと外部 DDR メモリの間でデータを転送します。 レジスタ制御インターフェイスは AXI4-Lite インターフェイスに準拠しています。 詳細は、 『前方誤り訂正を利用して IP ネッ ト ワークで高ビッ ト レー トの SMPTE 2022-5/6 メディア ト ランスポート を実現』 (XAPP1199) [参照 1] および『IP ネッ ト ワークを介した SMPTE 2022-5/6/7 高ビッ ト レート メディア ト ランスポート、前方誤り訂正機能と KintexUltraScale FPGA でのシームレス保護スイ ッチング機能を装備』 (XAPP1259) [参照 2] を参照してください。

1. プライマ リ とセカンダ リの ETH_AXIS が存在するのは、シームレス スイ ッチング (SMPTE2022-7) を有効にした場合に限り ます。

2. 3G-SDI の場合、 SMPTE2022-7 がサポート されるのは 大 120ms です。

注記 : SMPTE 2022-5/6 Video over IP Receiver コアには、オプシ ョ ンで FEC (前方誤り訂正) エンジンを含めるこ とができます。 このエンジンを追加する と、 レシーバーはネッ ト ワーク伝送エラーによって失われた IP パケッ ト を回復できるため、 非圧縮ビデオの品質が確保されます。 ただしその場合、 FPGA リ ソース と外部メモリの使用量が増加します。 シームレス スイ ッチングは冗長保護リ ンクを追加してネッ ト ワーク伝送エラーによるパケッ ト損失を防ぐもので、 このオプシ ョ ンを有効にした場合もデバイス リ ソース使用量が増加します。 chan_en レジスタ (レジスタ オフセッ ト 0x100) のビッ ト 0 を Low にする と、 各チャネルの個別リセッ トが可能です。 コアを リセッ トするには、 resetレジスタ (レジスタ オフセッ ト 0x004) のビッ ト 0 を Low にして個々のアクティブなチャネルをすべて リセッ トする必要があ り ます。

X-Ref Target - Figure 3-1

図 3‐1 :ほかのザイリンクス IP コアと組み合わせて構築した SMPTE 2022‐5/6 Video over IP Receiver システム

Discontinued IP

Page 34: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 34

PG033 2015 年 9 月 30 日

第 3 章 : コアを使用するデザイン

クロッキングこのコアには 5 つのクロ ッ ク ド メ インがあ り ます。

• SDI ビデオ ク ロ ッ ク ド メ イン

• システム ク ロ ッ ク ド メ イン : 200MHz 推奨

• プライマ リ イーサネッ ト ク ロ ッ ク ド メ イン : 156.25MHz

• セカンダ リ イーサネッ ト ク ロ ッ ク ド メ イン : 156.25MHz

• AXI4-Lite ク ロ ッ ク ド メ イン : 100MHz 推奨

リセッ トSMPTE 2022-5/6 Video over IP Receiver コアには主に 4 つ (シームレス スイ ッチングを有効にした場合は 5 つ) のリセットがあ り ます。

• プライマ リ イーサネッ ト リ ンク リセッ ト : pri_eth_rst

• セカンダ リ イーサネッ ト リ ンク リセッ ト : sec_eth_rst

• システム ド メ イン リセッ ト : sys_rst

• SDI ド メ イン リセッ ト : tx<port_num>_rst

• AXI4-Lite ド メ イン リセッ ト : s_axi_aresetn

リセッ ト要件

• これらの リセッ トは各クロ ッ ク ド メ インに同期する必要があ り ます。

• リセッ ト信号は 16 ク ロ ッ ク サイ クル以上アサートするこ とを推奨します。

• pri_eth_rst と sec_eth_rst リセッ ト信号を 後にする以外、 リセッ ト信号のディアサートに定められた順序はあ り ません。

『前方誤り訂正を利用して IP ネッ ト ワークで高ビッ ト レー ト の SMPTE 2022-5/6 メディア ト ランスポート を実現』(XAPP1199) [参照 1] を参照してください。

Discontinued IP

Page 35: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 35

PG033 2015 年 9 月 30 日

第 3 章 : コアを使用するデザイン

メモリ要件とレジスタ設定

ベース アドレス、 パケッ ト  バッファー、 ネッ トワーク  パスの差、プレイアウト遅延の各レジスタの設定

次に示す計算式を使用して、 チャネル パケッ ト バッファー サイズと playout_delay を得るためのコンフ ィギュレーシ ョ ン値を求めます。 これらの値はすべてのチャネルを有効にする前に設定する必要があ り ます。

(注記 : 下記の計算はすべてチャネル単位のものです。 )

SMPTE 2022-567 の計算は次の SDI レートに基づいています。

(注記 : SMPTE 2022-567 のペイロード サイズ : 1376 バイ ト )

network_path_differential は次のよ うに算出されます。

(注記 : 2 つのリ ンク間の 大遅延は、 シームレス オプシ ョ ンを有効にした場合に設定されます。 )

バッファーに格納されるパケッ ト数は次のよ うに算出されます。

ネッ ト ワーク パス差分パケッ ト バッファーの場合

FEC 訂正パケッ ト バッファーの場合

(注記 : FEC 回復プロセスのための時間を確保するため、 パケッ ト マージンは 256 に設定されます。 )

表 3‐1 : SDI レート

SDI フォーマッ ト SDI ビッ ト レートの最大値 (Mbps)

SD 270

HD 1485

3G 2970

Discontinued IP

Page 36: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 36

PG033 2015 年 9 月 30 日

第 3 章 : コアを使用するデザイン

FEC 処理遅延パケッ ト バッファーの場合

バッファーに格納されるパケッ ト数の合計は次のよ うに算出されます。

playout_delay (0x011C) は次のよ うに算出されます。

playout_delay は 27MHz ク ロ ッ クのサイクル数で値を指定するため、 次のよ うに算出されます。

media_pkt_buf_size は次のよ うに算出されます。

(注記 : メディア パケッ ト バッファー サイズの 大値は 65535 (0xFFFF) です。 )

次の計算を使用して media_buf_base_addr、 fec_buf_base_addr、 fec_buf_pool_size の値を求めます。

各パケッ トに対して割り当てられるサイズのルッ クアップ テーブル (表 3-2) に基づき、 各チャネルの DDR に割り当てられるサイズを求めます (RTP)。

各チャネルのメディア バッファー ベース アドレスは次のよ うに連続して設定できます。

メディア バッファーの割り当てが終わった位置に FEC バッファー ベース アドレスを設定できます。

表 3‐2 :各パケッ トに対して DDR に割り当てられるサイズのルックアップ テーブル

SMPTE 2022‐5/6 のパケッ ト メディア/FEC

1 パケッ トに割り当てられるサイズ (バイ ト ) 1472

Discontinued IP

Page 37: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 37

PG033 2015 年 9 月 30 日

第 3 章 : コアを使用するデザイン

後に、 各チャネルの FEC バッファー プール サイズを設定します。

すべてのチャネルのプール サイズを合計します。

ユースケースのセッ トアップ例

* ト ランス ミ ッ ターからレシーバーまでの 2 つのリ ンクが異なるパスをたどるこ とによって生じる リ ンク遅延

ネッ ト ワーク パス差分レジスタ (0x028) を設定します。

バッファーに格納されるパケッ ト数は次のよ うに算出されます。

FEC 訂正パケッ ト バッファーの場合

チャネル 1、 2、 3 のマ ト リ ッ クス サイズは同じなので、 次のよ うになり ます。

FEC 処理遅延パケッ ト バッファーの場合、 FEC 処理遅延時間はほとんどない (ほぼ 0) とみなします。

表 3‐3 :

チャネル SDI フォーマッ ト ビデオ パケッ ト  レート FEC L FEC D

1 SD 24527.62 4 4

2 HD 134901.89 4 4

3 3G 269803.78 4 4

SMPTE 2022‐56 TX SMPTE 2022 ‐56 RXNetwork Path

Link Delay between Primary and Secondary = 50msNetwork Jitter = 5ms

Primary

Secondary

Primary

Secondary

Discontinued IP

Page 38: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 38

PG033 2015 年 9 月 30 日

第 3 章 : コアを使用するデザイン

バッファーに格納されるパケッ ト数の合計は次のよ うに算出されます。

playout_delay (0x011C) は次のよ うに算出されます。

media_pkt_buf_size は次のよ うに算出されます。

(注記 : メディア パケッ ト バッファー サイズの 大値は 65535 (0xFFFF) です。 )

次の計算を使用して media_buf_base_addr、 fec_buf_base_addr、 fec_buf_pool_size の値を求めます。

Discontinued IP

Page 39: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 39

PG033 2015 年 9 月 30 日

第 3 章 : コアを使用するデザイン

各チャネルのメディア バッファー ベース アドレスは次のよ うに連続して設定できます。

* メモ リのベース アドレスは 0x00000000 から開始する と仮定します。

メディア バッファーの割り当てが終わった位置に FEC バッファー ベース アドレスを設定できます。

後に、 各チャネルの FEC バッファー プール サイズを設定します。

すべてのチャネルのプール サイズを合計します。

AXI メモリ  マップの帯域幅の要件

メモ リ帯域幅は、チャネル数および SDI フォーマッ ト とは関係なく、RTP および FEC パケッ ト を含む SMPTE 2022-5/6RX へのリ ンクあた り 10Gbps の 大入力に基づいて計算します。

表 3-4 の値は、 各ポートのワース ト ケースでのものです。 大帯域幅は、 コアでのイネーブル/ディ スエーブル機能に従って変化しません。たとえば、FEC を有効にした場合は、表 3-4 のすべてのポートの 大帯域幅を適用できます。ただし、 FEC を無効にした場合、 M1_* の 大帯域幅は有効ではなくな り、 ポート M0_* の 大帯域幅も表 3-4 に示す値と同じになり ます。

表 3‐4 : レシーバー AXI‐MM ポートで必要な帯域幅

ポート 最大帯域幅 (Gbps)

M0_AXIMM WR 21.5

M0_AXIMM RD 10.5

M1_AXIMM WR 2.5

M1_AXIMM RD 10.5

Discontinued IP

Page 40: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 40

PG033 2015 年 9 月 30 日

第 3 章 : コアを使用するデザイン

レシーバー出力データの動作

図 3-2 に、 レシーバー出力データの動作を示します。

X-Ref Target - Figure 3-2

図 3‐2 : レシーバー出力データの動作

Discontinued IP

Page 41: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 41

PG033 2015 年 9 月 30 日

第 4章

デザイン  フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプ リ メ ンテーシ ョ ンの手順について説明します。 標準的な Vivado® デザイン フローと IP インテグレーターの詳細は、 次の Vivado Design Suite ユーザー ガイ ドを参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 13]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 10]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 9]

コアのカスタマイズおよび生成コアは、 Vivado IDE を使用して要件に沿う よ う コンフ ィギュレーシ ョ ンしてからインスタンシエート します。 このセクシ ョ ンは、 生成時に設定可能なパラ メーターのクイ ッ ク リ ファレンスを提供します。

Discontinued IP

Page 42: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 42

PG033 2015 年 9 月 30 日

第 4 章 :デザイン フローの手順

Vivado IDE では左側に IP シンボルが表示され、 右側でパラ メーターを指定します。 詳細は次のとおりです。

• [Component Name] : このコアに対して生成される出力ファイルのベース名です。 初の 1 文字は必ず小文字アルファベッ ト と し、 2 文字目以降は a ~ z、 0 ~ 9、 アンダースコア (_) を自由に組み合わせるこ とができます。v_smpte2022_56_rx は、 コンポーネン ト名と して使用できません。

• [Number of SDI channels] : SDI チャネルの数を選択します。

• [Include Forward Error Correction Engine] : オンにする と、 コアで SMPTE 2022-5 FEC (前方誤り訂正) エンジンが生成されます。 コアは、 ネッ ト ワーク伝送エラーによって失われた IP パケッ ト を回復可能になり ます。

• [Enable Seamless Switching] : オンにする と、 シームレス動作をサポートするためにセカンダ リ AXIS イーサネット リ ンクを備えたコアが生成されます。

X-Ref Target - Figure 4-1

図 4‐1 : Vivado IDE 

Discontinued IP

Page 43: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 43

PG033 2015 年 9 月 30 日

第 4 章 :デザイン フローの手順

ユーザー パラメーター

表 4-1 に、Vivado IDE のフ ィールド とユーザー パラ メーターの対応関係を示します。ユーザー パラ メーターは Tcl コンソールで表示できます。

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8] の出力ファイルの生成に関するセクシ ョ ンを参照してください。

コアへの制約

必須の制約

このコアに必須の制約は、 第 3 章 「コアを使用するデザイン」 の 「クロ ッキング」 で説明したクロ ッ ク ド メ インに対するクロッ ク周波数の制約です。クロ ッ ク ド メ イン間のパスには max_delay 制約を適用します。また、DATAPATHONLYフラグを使用してク ロ ッ ク ド メ インを交差する (ク ロ ッ ク乗せ換え) 信号に対するセッ ト アップ チェッ クおよびホールド チェッ クを無効にします。 これらの制約は、 コアに含まれる XDC 制約ファイルで提供されています。

デバイス、 パッケージ、 スピード  グレードの選択

このコアには、デバイス、パッケージ、 またはスピード グレードの要件はあ り ません。低電力デバイスで使用するための特性評価は行われていません。

クロック周波数

第 2 章の 「 大周波数」 を参照してください。

クロック管理

第 3 章の 「ク ロ ッキング」 を参照してください。

クロック配置

このコアには、 特定のクロ ッ ク配置要件はあ り ません。

表 4‐1 : GUI パラメーターとユーザー パラメーターの対応

GUI パラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

[Number of SDI channels] C_CHANNELS 1

[Include Forward Error Correction Engine] C_INCLUDE_FEC FALSE

[Enable Seamless Switching] C_INCLUDE_HITLESS FALSE

1. パラ メーター値については、 GUI パラ メーター値とユーザー パラ メーター値の異なるものを示しています。 これらの値は、

パラ メーター名の下に字下げして表記しています。Discontinued IP

Page 44: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 44

PG033 2015 年 9 月 30 日

第 4 章 :デザイン フローの手順

バンク設定

このコアには、 特定のバンク設定規則はあ り ません。

ト ランシーバーの配置

このコアには、 ト ランシーバー配置要件はあ り ません。

I/O 規格と配置

このコアには、 特定の I/O 規格および配置規則はあ り ません。

シミ ュレーシ ョ ンVivado シ ミ ュレーシ ョ ン コンポーネン トについて、 またサポート されているサードパーティ ツールについては、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 9] を参照して ください。

合成およびインプリ メンテーシ ョ ン合成およびインプ リ メンテーシ ョ ンの詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8] を参照してください。

Discontinued IP

Page 45: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 45

PG033 2015 年 9 月 30 日

第 5章

テス トベンチこの章では、 Vivado® Design Suite で提供されているテス トベンチについて説明します。

デモンスト レーシ ョ ン用テストベンチVivado IP カタログを使用してコアを生成する と、オプシ ョ ンでデモンス ト レーシ ョ ン用テス トベンチが生成されます。このシンプルな SV テス トベンチを使用してコアに信号を印加します。デモンス ト レーシ ョ ン用テス トベンチのソースコードは、 Verilog/VHDL 混在ファイルおよび SystemVerilog ファイルから Vivado Design Suite の出力ディレク ト リの demo_tb/ ディレク ト リに生成されます。 テス トベンチの 上位ファイルの名前は tb_<component_name>.sv です。

デモンスト レーシ ョ ン用テストベンチの使用

デモンス ト レーシ ョ ン用テス トベンチは、 生成された SMPTE2022-56-RX コアをインスタンシエート します。 デモンス ト レーシ ョ ン用テス トベンチでは、 ビヘイビアー モデルまたはネッ ト リ ス トのシ ミ ュレーシ ョ ンを実行できます。デモンス ト レーシ ョ ン用テス トベンチは、 次の手順で実行します。

1. IP カタログを使用してコアを生成し、 上位に設定します。

2. [Simulation Settings] ダイアログ ボッ クスを開き、 [Simulation top module name] フ ィールドに表示されたコンポーネン ト名に接頭辞 「tb_」 を付けます。 次に [OK] をク リ ッ ク します。

3. [Run Simulation] をク リ ッ ク してビヘイビアー シ ミ ュレーシ ョ ンを開始します。

このテス トベンチはデフォルトで 3G-A モードのデータを生成します。データ ス ト リーム チェッカーが SMPTE2022-56-RX のレシーバー側からの出力を検出する とシ ミ ュレーシ ョ ンが停止します。データの不一致が検出される と、SDIス ト リーム データ チェッカー モジュールによって Vivado® IDE のコンソールに表示されます。

Discontinued IP

Page 46: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 46

PG033 2015 年 9 月 30 日

第 5 章 : テストベンチ

デモンス ト レーシ ョ ン用テストベンチのアーキテクチャ

図 5-1 に、 テス トベンチのアーキテクチャ図を示します。

X-Ref Target - Figure 5-1

図 5‐1 : テストベンチのアーキテクチャ

Discontinued IP

Page 47: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 47

PG033 2015 年 9 月 30 日

第 5 章 : テストベンチ

SMPTE コア (TX および RX) のテス トベンチは、 表 5-1 に示す主要ブロッ クで構成されます。

表 5‐1 : テストベンチを構成するブロックと説明

テストベンチのコンポーネン ト

説明

SDI ビデオ ジェネレーター SDI ビデオ ジェネレーターのコンフ ィギュレーシ ョ ン モジュールで設定した SDI フォーマッ ト (3G/HD/SD) に基づき、 SMPTE TX コアへのビデオ入力を供給します。

ダ ミー DDR パケッ ト ト ランザクシ ョ ン中にコアとネッ ト ワーク エミ ュレーターが使用するダミーの外部ス ト レージと して動作します。

XGMAC ブリ ッジ ダ ミーの 10G イーサネッ ト MAC ブリ ッジと して動作します。

SDI ス ト リーム データ チェッカー

SMPTE RX コアが受信した生データ出力と SDI ビデオ ジェネレーターから出力された元のデータを比較します。 一致しないデータがある とエラーがアサート されます。

また、入力および出力ス ト リームで SOF を検出する機能もあ り、 TX コアによるデータ送信および RX コアによるデータ受信が正常に完了する と通知します。

コンフ ィギュレーシ ョ ン モジュール

TX、 RX、 SDI ジェネレーター、 およびネッ ト ワーク エミ ュレーターを設定するために使用できます。次のサブブロ ッ クで構成されます : API レイヤー、 ド ライバー レイヤー、 HAL レイヤー、 AXI4 Lite マスター /スレーブ デコード ロジッ ク

SMPTE TX VoIP Transceiver コアを暗号化し、 テス トベンチでループバッ ク モードに設定したシミ ュレーシ ョ ン モデルです。 暗号化したモデルは、 ユーザーからは見るこ とができません。

Discontinued IP

Page 48: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 48

PG033 2015 年 9 月 30 日

付録 A

検証、 互換性、 相互運用性SMPTE 2022-5/6 Video over IP Receiver コアのバリデーシ ョ ンには、ザイ リ ンクスの Kintex®-7 FPGA コネクティビティキッ ト を使用しています。 詳細は、 『前方誤り訂正を利用して IP ネッ ト ワークで高ビッ ト レー トの SMPTE 2022-5/6メディア ト ランスポート を実現』 (XAPP1199) [参照 1] および 『IP ネッ ト ワークを介した SMPTE 2022-5/6/7 高ビッ トレート メディア ト ランスポート、前方誤り訂正機能と Kintex UltraScale FPGA でのシームレス保護スイ ッチング機能を装備』 (XAPP1259) [参照 2] を参照してください。

また、 このコアは Video Services Forum 社 (www.videoservicesforum.org) が主催する業界で著名なさまざまな独立系相互運用性テス トに採用されています。

Discontinued IP

Page 49: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 49

PG033 2015 年 9 月 30 日

付録 B

移行およびアップグレードこの付録には、 ISE® から Vivado® Design Suite へデザインを移行する際の情報、 および 新版 IP コアへのアップグレードに関する情報が記載されています。 Vivado Design Suite でアップグレードする場合のポー ト変更およびユーザー ロジッ クへの影響といった重要な情報もこ こに記載されています。

Vivado Design Suite への移行SMPTE 2022 5/6 Receiver コア バージ ョ ン v5.0 は、 新の SMPTE 2022 5/6 規格の仕様に準拠するよ う更新されています。 ISE バージ ョ ン (v2.1) からの移行がサポート されており、 移行プロセス中に警告メ ッセージが表示されます。

Vivado Design Suite への移行方法については、『ISE から Vivado Design Suite への移行ガイ ド』 (UG911) [参照 11] を参照してください。

Vivado Design Suite でのアップグレードSMPTE 2022-5/6 Video Over IP Receiver バージ ョ ン 5.0 では次の点が変更されており、 以前のバージ ョ ンのコア (v2.0、v2.1、 v3.0、 v4.0) とは互換性がない場合があ り ます。

パラメーターの変更点

表 B-1 に、 変更点の詳細を示します。

表 B‐1 :パラメーターの変更点

バージョ ン注記

v2.0/1 および v3.0 v5.0

[Component Name] [Component Name] 変更なし

[Number of SDI channels]

[Number of SDI channels]

変更なし

[Include FEC Engine] [Include FEC Engine] 変更なし

[Enable Seamless Switching]

バージ ョ ン v4.0 と同じ。詳細は 「コアのカスタマイズおよび生成」 を参照してください。

Discontinued IP

Page 50: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 50

PG033 2015 年 9 月 30 日

付録 B :移行およびアップグレード

ポートの変更点

表 B-2 と表 B-3 に、 変更点の詳細を示します。

表 B‐2 :ポートの変更点

バージョ ン注記

v2.0/1 および v3.0 v5.0

ce_27m 新規に追加

eth_rst pri_eth_rst 名称を変更

eth_clk pri_eth_clk 名称を変更

sec_eth_rst シームレス スイ ッチングをサポートするため新規に追加

sec_eth_clk シームレス スイ ッチングをサポートするため新規に追加

s_axis_aresetn 削除

s_axis_tdata pri_s_axis_tdata 名称を変更

s_axis_tkeep pri_s_axis_tkeep 名称を変更

s_axis_tvalid pri_s_axis_tvalid 名称を変更

s_axis_tuser pri_s_axis_tuser 名称を変更

s_axis_tlast pri_s_axis_tlast 名称を変更

sec_s_axis_tdata シームレス スイ ッチングをサポートするため新規に追加

sec_s_axis_tkeep シームレス スイ ッチングをサポートするため新規に追加

sec_s_axis_tvalid シームレス スイ ッチングをサポートするため新規に追加

sec_s_axis_tuser シームレス スイ ッチングをサポートするため新規に追加

sec_s_axis_tlast シームレス スイ ッチングをサポートするため新規に追加

m2_axi_* 削除

rx[0-7]_rtp_pkt_recv rx[0-7]_pri_rtp_pkt_recv 名称を変更

rx[0-7]_rtp_seq_num rx[0-7]_pri_rtp_seq_num 名称を変更

rx[0-7]_rtp_vid_ts rx[0-7]_pri_vid_ts 名称を変更

rx[0-7]_rtp_ts rx[0-7]_pri_rtp_ts 名称を変更

gtready[0-7] システム向上のため新規に追加

表 B‐3 :ポートの変更点

バージョ ン注記

v4.0 v5.0

rst27m 削除

clk27m 削除

ce_27m データ ク ロ ッ ク イネーブル ポート と して新規に追加

gtready[0-7] システム向上のため新規に追加

Discontinued IP

Page 51: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 51

PG033 2015 年 9 月 30 日

付録 B :移行およびアップグレード

機能の変更点

重要 : v3.0 およびそれ以前のコアに適用します。

シームレス スイ ッチングのサポー ト が追加されました。 この機能は XGUI オプシ ョ ンで有効にできます。 詳細は第 4 章の 「コアのカスタマイズおよび生成」 を参照してください。

最小限の変更で移行する方法

SMPTE 2022‐5/6 RX v3.0 から  v5.0 への移行

ポートの変更点

SMPTE 2022-5/6 RX v5.0 には SMPTE 2022-7 をサポートする新しい機能が追加されており、 レシーバー コアはユーザー設定に基づいてヘッダーが異なる 2 つの同じ /シームレスな AXI-Stream (プライマ リおよびセカンダ リ ) を受信します。 表 B-4 に、 新機能に関連するポートの変更点を示します。

コアの設定でシームレス スイ ッチングを有効にした場合にのみ、 セカンダ リ AXI4-Stream のスレーブ インターフェイス信号と イーサネッ ト パケッ ト受信インターフェイス信号が可視化されます。 これを無効にする と、 プラ イマ リAXI4-Stream のみが可視化され、イーサネッ ト リ ンクを 1 つのみ使用する SMPTE 2022-5/6 RX v3.0 コアと同様になります。

* 接頭辞 pri_ はプライマ リ、 sec_ はセカンダ リ を表します。

* 接頭辞 N はチャネル番号を表します。

表 B‐4 : SMPTE2022‐7 の機能サポートに伴うポート変更点

SMPTE 2022‐5/6 TX v3.0 のポート SMPTE 2022‐5/6 TX v5.0 のポート

s_axis_aresetn pri_s_axis_aresetn

sec_s_axis_aresetn

s_axis_tdata[63:0] pri_s_axis_tdata[63:0]

sec_s_axis_tdata[63:0]

s_axis_tkeep[7:0] pri_s_axis_tkeep[7:0]

sec_s_axis_tkeep[7:0]

s_axis_tvalid pri_s_axis_tvalid

sec_s_axis_tvalid

s_axis_tlast pri_s_axis_tlast

sec_s_axis_tlast

s_axis_tready pri_s_axis_tready

sec_s_axis_tready

rxN_rtp_pkt_recv rxN_pri_rtp_pkt_recv

rxN_sec_rtp_pkt_recv

rxN_rtp_seq_num rxN_pri_rtp_seq_num

rxN_sec_rtp_seq_num

Discontinued IP

Page 52: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 52

PG033 2015 年 9 月 30 日

付録 B :移行およびアップグレード

移行に伴う変更を 小限にするには、 シームレス スイ ッチング機能を無効にし、 名称が変更されたポート (表 B-2 参照) のマッピングを適切に変更します。 v5.0 で追加されたポートの詳細は、 第 2 章の 「ポートの説明」 を参照して ください。

レジスタ設定

表 B-5 に、 新しい機能をサポートするために追加された新しいレジスタをま とめます。

これらは移行時に考慮する必要がある重要なレジスタです。

1. プライマ リ レジスタ とセカンダ リ レジスタは別々に設定します。

これらの新規追加レジスタを設定する際は、 第 3 章の 「メモ リ要件とレジスタ設定」 を参照してください。

移行に伴う変更を 小限に抑えるには、 シームレス スイ ッチングを無効にし、 それに応じてプライマ リ レジスタを設定します。 レジスタの設定は、 付録 C の 「コアのデバッグ」 を参照してください。

rxN_rtp_vid_ts rxN_pri_rtp_vid_ts

rxN_sec_rtp_vid_ts

rxN_rtp_rtp_ts rxN_pri_tp_rtp_ts

rxN_sec_rtp_rtp_ts

表 B‐5 : レジスタ  マップに追加されたレジスタ

アドレス オフセッ ト

一般/チャネル

レジスタ名レジスタの説明

ビッ ト 名前

0x028 一般 [31:0] network_path_differential 27MHz ク ロ ッ クのサイ クル数を単位と した、2 つのス ト リーム間での 大許容遅延の設定

0x034 一般 [31:0] fec_buf_base_addr FEC パケッ ト を格納する DDR のベース アドレス

0x038 一般 [31:0] fec_buf_pool_size FEC バッファー用に確保する メモ リ空間のバイ ト数

0x11C チャネル [31:0] playout_delay 受信ス ト リームのパケッ ト サイズがロ ッ クされてから SDI プレイアウ ト までの時間を設定。 単位は 27MHz ク ロ ッ クのサイクル数

0x12C チャネル [31:0] media_buf_base_addr チャネルごとにパケッ ト を格納する DDR の開始ベース アドレス。 重複しないよ う注意してください。

0x130 チャネル [15:0] media_pkt_buf_size 各チャネルの DDR に格納できるパケッ トの大数

表 B‐4 : SMPTE2022‐7 の機能サポートに伴うポート変更点 (続き)

SMPTE 2022‐5/6 TX v3.0 のポート SMPTE 2022‐5/6 TX v5.0 のポート

Discontinued IP

Page 53: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 53

PG033 2015 年 9 月 30 日

付録 B :移行およびアップグレード

SMPTE 2022‐5/6 RX v4.0 から  v5.0 への移行

ポートの変更点

SMPTE 2022-5/6 RX v5.0 では、新しいポート gtready[0-7] が SDI チャネルごとに追加されています。これらのポートは GT から信号を受け取り、 High の場合は SDI ク ロ ッ クが安定しているこ とを示します。 この信号は SDI ク ロ ッ クと同期します。

clk27m ポート と rst27m ポートは削除され、 ce_27m ポートに置き換えられました。 これはデータ ク ロ ッ ク イネーブル ポート であ り、 入力は 27MHz 周期での Valid パルス信号です。 この信号は sys_clk を使用して、 立ち上がりエッジでコアによってサンプリ ングされます。Discontinued IP

Page 54: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 54

PG033 2015 年 9 月 30 日

付録 C

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ヒン ト : IP の生成にエラーが発生し停止した場合、 ラ イセンスに問題がある可能性があ り ます。 詳細は、 第 1 章の「ライセンス チェッカー」 を参照してください。

ザイリンクス ウェブサイ トこのコアを使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート 、 アンサーなどを参照するか、 テクニカル サポートでケースを開いてください。

資料

この製品ガイ ドは、 このコアに関する主要資料です。 このガイ ド並びに全製品の設計プロセスをサポートする資料はすべて、 ザイ リ ンクス サポート ウェブ ページまたはザイ リ ンクスの Documentation Navigator から入手できます。

Documentation Navigator は、 ダウンロード ページの [デザイン ツール] タブからダウンロードできます。 このツールの詳細および機能は、 インス トール後にオンライン ヘルプを参照してください。

アンサー

アンサーには、 よ く発生する問題についてその解決方法、およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。アンサーは、ユーザーが該当製品の 新情報にアクセスできるよ う作成および管理されています。

アンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 よ り的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

SMPTE 2022‐5/6 RX コアに関するマスター アンサー

AR 54534。

Discontinued IP

Page 55: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 55

PG033 2015 年 9 月 30 日

付録 C :デバッグ

テクニカル サポート

ザイ リ ンクスは、製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポート をザイ リンクス サポート ウェブ ページで提供しています。 次のいずれかを行った場合、 タイ ミ ング、 機能、 製品サポート を保証されません。

• 資料に定義されていないデバイスにソ リ ューシ ョ ンを実装した場合

• 製品資料で許容されている範囲を超えてソ リ ューシ ョ ンをカスタマイズした場合

• 「DO NOT MODIFY」 と記されているデザイン部分に変更を加えた場合

ザイ リ ンクスのテクニカル サポートに問い合わせる場合は、ザイ リ ンクス サポート ウェブ ページにアクセスします。

• 問題によっては、ファ イルの追加を求められる場合があ り ます。ウェブケースに含める特定ファイルについては、この資料の関連セクシ ョ ンを参照して ください。

注記 :すべての問題がウェブケースの利用対象になるわけではあ り ません。 ウェブケース ツールにログインしてサポート オプシ ョ ンを確認してください。

Vivado Design Suite のデバッグ機能Vivado® Design Suite のデバッグ機能では、 Logic Analyzer (ILA) および Virtual I/O (VIO) コアがデザインに直接挿入されます。 デバッグ機能を使用する と、 ト リ ガー条件を設定して、 アプリ ケーシ ョ ンおよび統合ブロ ッ クのポート信号をハード ウェアに取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 Vivado IDE のこの機能は、ザイ リ ンクス デバイスで実行されるデザインの論理デバッグおよびバリデーシ ョ ンに使用されます。

Vivado ラボ ツールのロジッ ク解析は次の LogiCORE IP ロジッ ク デバッグ コアに使用されます。

• ILA 2.0 (およびそれ以降のバージ ョ ン)

• VIO 2.0 (およびそれ以降のバージ ョ ン)

『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 12] を参照して ください。

Discontinued IP

Page 56: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 56

PG033 2015 年 9 月 30 日

付録 C :デバッグ

インターフェイスのデバッグ

AXI4‐Lite インターフェイス

デフォルトがすべて 0 でないレジスタから読み出して、 インターフェイスが機能しているこ とを確認します。 読み出しアドレスが有効になると出力 s_axi_arready がアサート され、 読み出しデータ /応答が有効になると s_axi_rvalidがアサート されます。 インターフェイスが応答しない場合は、 次を確認します。

• s_axi_aclk および aclk 入力が接続されており、 ト グルしているこ とを確認します。

• インターフェイスが リセッ ト状態に保持されておらず、 s_axi_aresetn がアクティブ Low のリセッ トであるこ とを確認します。

• インターフェイスが有効になっており、 s_axi_aclken がアクティブ High であるこ とを確認します (使用されている場合)。

• メ インのコア ク ロ ッ クが ト グルしており、 イネーブル信号がアサート されているこ とを確認します。

• シ ミ ュレーシ ョ ンが実行されている場合はシ ミ ュレーシ ョ ン、 または Vivado ラボ ツールのキャプチャ機能を使用して、 波形が AXI4-Lite インターフェイスへのアクセスに適しているこ とを確認します。

AXI4‐Stream インターフェイス

データが送信または受信されていない場合は、 次を確認します。

• <interface_name>_tvalid 入力がアサート された後、 送信の <interface_name>_tready が Low のままになる場合、 コアはデータを送信できません。

• 受信の <interface_name>_tvalid が Low のままになる場合、 コアはデータを受信しません。

• aclk 入力が接続されており、 ト グルしているこ とを確認します。

• AXI4-Stream の波形に従っているこ とを確認します。

• コアの設定を確認します。

• コア固有の確認を行います。

コアのデバッグ

重要なレジスタ設定

1. network_path_differential (0x028) レジスタのビッ ト [31:0] と playout_delay (0x11C) レジスタのビット [31:0] が適切に設定されているこ とを確認します。 第 3 章の 「メモ リ要件とレジスタ設定」 に示す式を参照してください。

2. 第 3 章の「メモ リ要件とレジスタ設定」で説明したメディア バッファー サイズの式に基づき、media_pkt_buf_size (0x130) が十分な値に設定されているこ とを確認します。

3. fec_buf_base_addr (0x034) レジスタ と media_buf_base_addr (0x12C) レジスタが適切に設定されており、バッファーのアドレスが重複していないこ とを確認します。

4. コアで設定され、どのチャネルに属するかを示すイーサネッ ト ヘッダー (SSRC、IP ソース、IP デスティネーシ ョン、 UDP ソース、 UDP デスティネーシ ョ ン、 VLAN TCI) に一致するよ う match_sel (0x0B4) レジスタが設定されているこ とを確認します。

Discontinued IP

Page 57: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 57

PG033 2015 年 9 月 30 日

付録 C :デバッグ

デバッグ方法

1. pri_recv_pkt_cnt (0x03C) レジスタ と sec_recv_pkt_cnt (0x040) レジスタがインク リ メン ト していれば、コアがパケッ ト を受信しているこ とを示します。

2. pri_discard_pkt_cnt (0x04C) レジスタ と sec_discard_pkt_cnt (0x050) レジスタがインク リ メン ト していれば、 パケッ トが match_sel (0x0B4) レジスタの設定と一致していないため、 受信パケッ トが破棄されているこ とを示します。

3. sdi_pkt_status (0x114) レジスタのビッ ト [0] パケッ ト ロ ッ クが High であるこ とを確認します。 これは、 コアがパケッ トにロ ッ ク されているこ とを示します。

4. link_valid_media_pkt_cnt (0x0C0) レジスタ と chan_valid_media_pkt_cnt (0x134) レジスタがインクリ メン ト していれば、 コアが有効なパケッ ト を受信しているこ とを示します。

5. oor_pkt_cnt (0x160) レジスタがインク リ メン ト していれば、受信したパケッ トのタイムスタンプが network_path_differential (0x028) レジスタのビッ ト [31:9] と playout_delay (0x11C) レジスタのビッ ト [31:9] で設定した範囲から逸脱しているためパケッ トが破棄されているこ とを示します。

6. rx[0-7]_rtp_pkt_buffered (0x140) レジスタの値が media_pkt_buf_size (0x130) レジスタの値を超えて

いないこ とを確認します。

Discontinued IP

Page 58: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 58

PG033 2015 年 9 月 30 日

付録 D

その他のリソースおよび法的通知

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

参考資料次の資料は、 この製品ガイ ドの補足資料と して役立ちます。

1. 『前方誤り訂正を利用して IP ネッ ト ワークで高ビッ ト レー トの SMPTE 2022-5/6 メディア ト ランスポート を実現』 (XAPP1199 : 英語版、 日本語版)

2. 『IP ネッ ト ワークを介した SMPTE 2022-5/6/7 高ビッ ト レート メディア ト ランスポート、 前方誤り訂正機能とKintex UltraScale FPGA でのシームレス保護スイ ッチング機能を装備』 (XAPP1259 : 英語版)

3. 『SMPTE 2022-5/6 Video over IP Transmitter LogiCORE IP 製品ガイ ド』 (PG032 : 英語版、 日本語版)

4. 『AXI リ ファレンス ガイ ド』 (UG761 : 英語版)

5. 『AXI インターコネク ト LogiCORE IP 製品ガイ ド』 (PG059 : 英語版)

6. 『10 ギガビッ ト イーサネッ ト MAC LogiCORE IP 製品ガイ ド』 (PG072 : 英語版)

7. 『SMPTE SD/HD/3G-SDI 製品ガイ ド』 (PG071 : 英語版、 日本語版)

8. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896 : 英語版、 日本語版)

9. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900 : 英語版、 日本語版)

10. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910 : 英語版、 日本語版)

11. 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911 : 英語版、 日本語版)

12. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908 : 英語版、 日本語版)

13. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994 : 英語版、日本語版)

Discontinued IP

Page 59: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 59

PG033 2015 年 9 月 30 日

付録 D : その他のリソースおよび法的通知

改訂履歴次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2015 年 9 月 30 日 5.0 • AXI4-Lite レジスタを更新。

2015 年 4 月 1 日 5.0 • Kintex-UltraScale に対応。

• gtready ポート を追加。

2014 年 10 月 1 日 4.0 • デザイン アーキテクチャの改良に伴いリ ビジ ョ ン番号を 4.0 に更新。

• デモンス ト レーシ ョ ン用テス トベンチを更新。

• GUI 画面を更新。

• 第 2 章 「製品仕様」 の表を更新。

• コアのメモ リ要件を更新。

• 「移行およびアップグレード」 のセクシ ョ ンを更新。

2013 年 10 月 2 日 3.0 • XDC およびコアに対するモジュールレベルの制約を追加。

• デモンス ト レーシ ョ ン用テス トベンチを追加。

• すべての信号表記を小文字に変更。

2013 年 3 月 20 日 3.0 • コアのバージ ョ ン番号と一致するよ うに リ ビジ ョ ン番号を 3.0 に変更。

• コア バージ ョ ン 3.0 および Vivado Design Suite に更新。

• Virtex-6 デバイス、 ISE Design Suite、 CORE Generator™、 UCF に関する内容をすべて削除。

• GUI を更新。

• 表 2-8 および表 2-10 を更新。

2012 年 12 月 18 日 2.1 • コア バージ ョ ン 2.1 に更新。

• ISE® v14.4 および Vivado® Design Suite 2012.4 に更新。

• デバッグに関する付録を更新。

• 新の SMPTE 2022-5/6 ド ラフ ト仕様変更に合わせてデザインを更新。

• MAC_LOW _ADDR、 MAC_HIGH _ADDR、 および IP_HOST_ADDR レジスタを削除。

• 第 4 章と第 6 章のスク リーン キャプチャを変更。

2012 年 10 月 16 日 2.0.1 コアのメモ リ要件を更新。

2012 年 7 月 25 日 2.0 コア バージ ョ ン 2.0 に更新。 Vivado Design Suite の資料と Virtex-7 デバイスのサポートに関する記述を追加。

2012 年 4 月 24 日 1.0 初版

Discontinued IP

Page 60: SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP ......SMPTE 2022-5/6 Video over IP Receiver v5.0 LogiCORE IP 製品ガイド Vivado Design Suite PG033 2015 年 9 月 30 日

LogiCORE IP SMPTE 2022‐5/6 RX v5.0 japan.xilinx.com 60

PG033 2015 年 9 月 30 日

付録 D : その他のリソースおよび法的通知

お読みください : 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」 、 法人その他の団体の場合には 「貴社」 。 以下同じ )に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれら

に限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または貴

社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害には、

直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の損失、

その他あらゆる種類の損失や損害を含みます) が含まれる ものと し、 それは、 たとえ当該損害や損失が合理的に予見可能であった

り、ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。ザイ リ ンクスは、本情報に含まれる

いかなる誤り も訂正する義務を負わず、本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いません。事前

の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一定の製品は、

ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件

を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したラ イセンスに含まれる保証と補助的条件に従う こ とに

な り ます。ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケーシ ョ ンに使用する

ために、設計されたり意図されたり していません。そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を使用する場合のリ ス

ク と責任は、 貴殿または貴社が単独で負う ものです。 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参照

してください。

© Copyright 2012–2015 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、米国およびその他各国のザイ リ ンクス社の商標です。ARM は、EU およびその他各国の ARM社の登録商標です。 すべてのその他の商標は、 それぞれの保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。

Discontinued IP