11

shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

Embed Size (px)

Citation preview

Page 1: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *
Page 2: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9

1YAY JLa,t (ldf) t o.1Loi t .tI+.

+tL4 c:*,#

s J s e l l .J - . J | - r

.lllL- J*rlr;r.lt ,lo-o.gQJu. Atf.u;6r* .SJii.ro a.l1.€ eya.-if.r "i-.

6r..lcgr.\f ;-Jlptli;

6Jrni lJ,jA.tq. o$ Ajy al;ib l,f

,.*f V: Gfl*,,r::.r. .gto ,&S:l o;li: ol !,r+tt .i9g ,r-t$;L o

;J. . . l r t ->l

,g& ' ,sF

YA s.l"tr...lJl "o*

eb* cx.*-

&* j*-5..... Permissive Planning: Extending Classical Planning to dLi".r;; o

Uncertain Task Domains

Y1

Page 3: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

\ t -

l _ rt --1."r,*ffi

iro+"|S.t-u'ql I f*

6ly-l ;9.rrl5 ;-eJl .,.rtrt .,.lt a,-Jl

\YAY )Lq (uJl) \ .,lj.1 .tJ*

ft -YA c,laiF

pl5.ooU ,gLrll*o gl* jc 6ltg. 9 Lo c.*ii3 f l. 6)rs

el.r* "r**, .,-lB ...1 Jlu*

.# rnl.r::*a oULllo ,i91;.,S.rr.L.q.c 0.15.-lJlo

c.t$q

&iFFFFF.r ,.rlrb 15o9 .9Lorl;l s 6ly* 6l{:tJ9J*c 9 lart,r. 6r"l .>lrb st-.i d.t"++, #+ J9 .cul or9,f aJ"" .5o)lr+ \1a' 6taJL.;l 1.lL.ou ul,rr {,f ttrl,! 'rtl*

o.u,-l .,s s9.;..ruJ;l .gc 6.lo- rc Lorlao c,,,-l oroe,l .*lrb 5Lrl;/.9;u coQ 9,glrt9 uiy'ts sr { qi Lr t l.c-l dl.rj tfis .Fl.sldL u +r'1 6r-1 lc.9rL-1

c&i 6)W olsr 1.tLaU 6trco;1b;, .5r* ,J,Jrt ceL a, t *l,stdL .rr tsn* .rrt .,$! !ur,r5 jl .l} .rs ,'K..o 6rt* cll .i;gt.r'-F-l+ rK'd; 6rl*

.c-l o.ri ,JU ,-fsr6,-l L larl,r. ,?l* ;t o*l c-q.stfigr*.1 9 gl9. 9 o'Li pt4l oLl'ril 'Qrf.t elll l rssl.t'dW 6'-l ,o 'c*l

ptLaU,.911*, jS t 9; o>l p.plS.oti qgb,l.r." :,g.gl5 oL5

,otyl*. tJ ftJ or9Ja. Jr ti! ta;i.ii 4-! r9?9 .& Jl .u-iL a:;l,r

.c.if,r.ral9 era U.29 )s 6;J sl95

u+, .:-l p;! pLf-.o .gtorl,r. ,o :rl.r. ,rr-lrlf O-j+ cSt+l J"-i. 15aIJ|5 djl)l

l, oU'. ,.s.h olf .l+r o.r! to.;,g; a-.o J.'.SJ;E:! ot -l*. a.;B ;l

,,r..ri:S.,..i,-r; rl.r. dlJl J,tl.i ,r,l.r5 Lt-j* l) c-JE O--l e5 Jt-j &F

aLti)! u+ aLr. otol"c ab:. .P Ot-t" -il .f, plLoU.5to.rl.t 1r a5;r9o

oJt,,rf,*.rl9 {& fls-r! 6l-orl.r. r,r :rlro .5.plr* Oitfi :.;J ::

)9e 6lX.t-bjt-,',qr c-l p;Y jq-U".1i* it-i.\;S a-1 ,lu-o c.cl-

,o..rf J,Lrl .dl.-t-" )p p csl.rr.i+l o--115: J--)-.-L Jq

9 ory a:-119 ol*Lc JE ,o lliruo ;* 4t Li! Fi...- cc.p pLLatj 6Lo.rl'r''

.ql .ul9- .1l,r-. .9r+lr+ O;t-a:oa; q 6;l*

L9-r,--"7 .g;t-or! 6tg-j/t5 ;l oo$i*'l,sl * t+. rlr-*;Li, 6lrlo

..ro*.r.9;LorQ g;lrJs 9,-.lr7 ! J9> oL- J* -tt 4rd* .gL-o;|.r"

ti! Oli.* ,re .,.lLrU rl.r. .-iJ.:j* .9lQ: " i rrilJ ,rfL.tl9 fr.r 'lal{

.og.i,Je,-9- 9 61Loot9, f--r- ,$l* la ;; l, ,l'r" J d*!

,**i; L.,lu. .i- rrit :.qJ9; 9 J".* &* oQt* L .5;L91 .;*-..l;

6l; ptLo rl,r.. .5,- .sll .;f .ral9.' "p o-ji; 6+- jlll t qJi cstorlrt,

uif .P ro Lry-lrL, ,J,-l rslx dtr ir.i".| c-l p;! p:--.+ c"tr- ?t-.

d.A.ir -l

n^a (\ : c.-f oog ,-E: tr9- J;-5E 'ft' Y rrjl- .gb.rl& o->lp I

Jl ,rSLt' .$tt.,.6la.i- rl,r..glr7l ;L; (Y ..u:-:..o 15F"l.t kJUf.-

.9l6r.i-U "fS'l- p9r .f S \ s o.ri palp o2l.r-L 9s Jl"L. jl orLii-l elS'l

..ri! cs" ,ts.f F:-,!E,rfjlr .9lrl,r 6b1l,r-. .5[-9g 6tA.rL-i.) 9 a:-19;l:

o9791 .r.jlf,r"l),-9tk 6,.ti a.3l 1l Ot5*l .593.tl'{.'t'ii- a5 col ,a:--

tdt-rl.r" Ol+* Jr or$ .rj> ;L; gllai;l .,it pt5-ob,gbrlr..,,r '1.191

:09,3 ,f.Li l: :t) rS\1.r. ljli.. r"l dt-l ..i ji-./ .fS ,S;*-V

.gtc*-i 11 c,rt- ,JL-1 e.r*-.r.r..lLj .g>L;l 'Clock Skew .si-.

F:. i.- c"t)r" g ,-?l*,o ,5--Lq11o9ux pLl-o 6L-orlr" ro .1l.l" .r,L-;u

.9r+lr- ccL ,,.Jt, o:+: t & cJ+ plS--ol,; ,gtorl.r" 1c d o191q3r o9-79a

,c.ill uolyr;.:979 r$l it-l J,JIF

)i;'j .5,- c-L, .;Jt" p rs plS.-o orlslil .5ta.1l,r" ro :t:5 u2ta 619:

,r,-t{i*,i .;> ,1,r. 6l.Ai-f G.Li .St+;l- ,t! +J*J .S,- 9;rL: .5-- Cilr.rr)

.91-o1l.r. Jt:- ry'"1 .cilr uolf o9>9 (,!jl$ (s-Lij r*tf ur+*r.; .,o aJ

,9:ro 6l;l p.rc J$p .tt.re n5.:f orLil ,19;,r. lr.r9[jj;* 4.-t*

q fl .-,.il.r.u.6l,' "J.1,- ccl- Jt-" r- )r o$jl.)JJ")J )tt' 't'

i r

6;4 j*;J C jU ar-l* Jb tr csu ". 6 2i pl'Latj .gLorl.r" c-l ;5-

Page 4: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

r1

o.r^! ootL-l 6tarl;l 9 Ws.t ,y-',ss* f ;n ,; "ril o'u! a6l;l I 6'r+c--s

A ,;^a1 ,.: 9 e; ,lf a--lo 9 cgu.tl. t1f "9"9' ,"LITU ,5Loo'r;1ls.r-. -l':

a?t- t ;tLl J> )J 9 djl)l .9;L* 9'-l ,; ou^i ,r>lrJ' '-9Loo'rill;'-" '-'ll

.$l diif ,li a--to cr9' c9> Pl3'o

PtS-o-bU dtb)l'r.o )c )*tU Al+J'r" elil -Y

(Bounded Delay) o9'r'*'r ,pb t' .gtart'rt -till

,,j,.i s9.ra "lslu t- 9 ft- ,t{.-*- t )-w pL; ,l ;t-'u Lo,l'r' ;r-l -lo

i)lsj c-l e-,Y I rr.r pl+,l loll.u c-l ,-flP Jb Jglu 55 'cvl',r-

F f Clh t-y Jl.r+ rJt+JLa- PJn + i'i-L- J aFl<;a3 p;Y

L.i ",-r+ a-lgU rJ tJt r'& Jr;;'-l ,r o'ri eit''il a:(i '* "!'J

;,-l 6lap-.:9.ra. jl .$!.f rlo- ;rfosL ;+3 615r9'c a 6-l-*e-jt i'�*'lf

,*a JF c5l)- A-UIJ ;j -.rr c5)9-t9 5-- ,c tji )i-i! oJH )t+- ist

;.:9^; *iLal ,r++ti a-19-u r;n J;ts.sQtl-, jl ;*;+'-o ''rit.r" c-ly;L;

.c.jls .ul9> 'j'U .r,-iJs rc a5 .r.j,L*' tf )\ rs'r*r': *L-,i'l-i

(Speed Independent, SI) ee* )l rli;* t5Lozl-u -u

f Fe.frs I d r!-+ Q'*- ri'b 9 ':9u*L; t'€--f r"-ir Jl-;--l -1'r

;--*.! 9r q rlJ. Jrr ;,-lrc .cyi.r- u-f ,b' rc c-*f ;"-'b U n--ll-

6kSf*5:;l ,c^.--! ra.5rL'"r!" I el\.glrr 9 o'r'3,q-*ii o':lc a S;5

U- ;rt "';t ,gtou5 ,l 'Y9* or1.: j.* gl\ gll'rr.r o':U:-l ;9$"

)St,h;.:t'.Stqil,,;1 pl'r5 ra 1l ostc-l ;-l .r.Q.�-'r' s1it" o':l:-- J ">91

ra ! ocl.: l"9t>.roc.r. ojbl , oc-;-.t iJ-* jl l) o'rlc ,r* ,s a:-ly;U "-5;

r*' J6fl+ 6ll. Jrrs :"-'^-' \s2l)' 'rr'r!tL- rl'+''i ;Lj :"aii

," lL L?9f ,-F.6.rs ,,1"r!" jl Ji .s"l-,t ('i-F) ,t --J ;! a: Jy;U

\;*l ;cr- .-,L-lll I $ly5j *re..l t''t '"1-,-+ 6Flz rl'r' '5'r" ;""'s

."y- ki.,;14;,reli t{1t' JU- r': la."- r9'l;;l

(Delay lnsensitive, DI) 25>'U ar ,t't" .>;c 6b1l'u -6

6talUl t- fV gQr.*.)" ).-'U 'r9u* r;'b .,).9, J)Brt '-'":-' o--l -r"

ctlt 6.,iL; e.r Jlrie JJ* J- ;,-l ,r 'svi,r' t"F -p rc r9'r*Li llJ:

.t-ldt<.-.i-S.'1 ",lri 9 o.r:;ri;-l p;! 'otc)lLl JL,l 9 c*-iL-,;':;L-;

JUi- ,Ja+-iJ ,lu .S^5, J- .r-l ''r':o': gltl n-'" a--rl-' c-!L-,,c 9 JL-'l

Jr;-';".r'u-i;Jt- F-l ;': 'r'; u--ol9- pLadl or;raJ;c -!-Lr;L'-\

br" ,r'srr,-l ,,: .-ri!,r, .gua c'b)lJ"l JL-rl .9lr- Jt<t- F-l ;---6L-'':

,1u." cfLc d-rr 9 { i'l$ rtl { u*-'il, Coo rl'r- *i-rt'$L 9 c?lP

.:..-:ls -ul9; j- s-W r"-! t.r*-19

clr,.l ;J9l .$t.,. $-U'l slr'-l 9': .5lrl': 'u'-l ,'-l '69i ou'-l ;':y -lj> c979 !

, 619)9 q .91rlc a5 AND J XOR 9 OR 'r:';L Jg* eW; +5 ':'-';-l

a.ail- .glrls (C-elementg NOT 9 Buffer rLl-l a') r-il1.'" eyf '5.'

.5,- C ,fL J*;.U r, lorl.r^ 'Sl-h Sll v:*.t r;ii a: ..lL+ pu-

;> c;L, -,0.-r-l ;r o9)lc.$L,r' )V,-nt-f r:? !.rL;.5t4# 6r-

q.rq-!,s. tdi .,$lr s-ot "sl rJ .,*Q;t a'ilc a- )"-4- tP ti"l'€J

l-, .r.-'b + yLe p.r as;ia aS $L ,La l, t4:t5 ;19;.r' t5'-': --ll+-

Jl or-Lo.; 1.r.1, J"L;rl ,.-'b tt ort- P& J;9- a- 1":+ L 9 ''r':';L | 'lc

J,t! JSt;"-l ".,11 ..r,J cLsgo '>9r,* r.-'U J- o"Ll t l+J c9 c;L

.$lo.i-t altrl ,rib .,,-l+.J gr; 9 :-l 6s,1

*..r;!.r J- +-l ! lorlu 1l cs;L.+ c;L e e\.P;1i"1 p'r= p9r rl,:-l

lpl Uddine ce aSLa--o,Jb-.j1* +.$tai-j,.J-ls J-;'-l ,5'-c ;rLc

p15-oU .gLorl.r. €l.P .tr elr r b '--,ts9 .t cs-.r:,r' 'r"lr{ 'a ''sJb 'o'

l--lr- ,L.o ,r i:LJ-r art-t, Ju<.- tJi + ozr ! ltt'-ou .5Lorl'r^ ,r 's/:

.rl.: a."l;l o["c a, .,].j )tt .,'s19 Ot-\ rl "+ a1-ti>t ;19;tt' *tr.*

6[A619)9 Jr-S s sJ -^{l *.-/-c .gLorlu- 6'll ;-'J* '5-ll'r'-L "51-lls

.tQ/f:f.- , ,-l-* ,Jte/Ul.- .SrLajl Lr-):-J "rrt J*'-i 'nW :o>;l>

[orl.r" r;.ig;.9rl.l-[ ll+-l p-+r 3L- 1l -rb- 6Pl)- "JL" t+.-z'u

JL;l ,lj-.grl.r,-\L; c..;9 JbLi,l'r'-L, a4- i^..-i 'S-'- [Y\] u +'t''-

.9lr, rl.r^ :^.;9 J,-l;c .'riL.r- J9 Y/A jLJg ,': NOT '--:c lJ 6)*;-

,.tLa,o..g,L* rs l-orlu- rt-| .sl* rr-1.;+Lr '[YYJ 'lL 'rol9 rgrau c'u

sl9;,r. rl.r'. pl-fu.oU J,r" f &to r': 'r"l 'rol9i ,l'u ,r Jl5-!l )lt J-l

.grLa;l *-)-J 9 o.riL.p- d& )a b ;'r- .$; 6;l-,2a '1*9 '(-- lc

,,Sr.lr.,Jt t 'ri,6L'b + jt+ euc + d+i tt a++'3 'Js!J c:r:'i l-, -,l't'-L'

..r;:--o c--iL-rc ,Ll, grL; .9!c ,l ;o':L ,t* b.t"rrl ''K'rL; tgLo)lu- -)c

pl3-o .91a.":,4- lr7 ry .r-ol9: CF Jl'S-.'1'"l !r9e dt-l; !s't^t + d?i q

.91-or1.r. ,;lrb ,r ;l9li o)15-i-" ,:99 ,"t ,'-l ,pr '$9-.r- di--ilrt )L-J

s €-r \yst.S.- or9,oq ptL-ol; 6Lorlu 'Sl* # th .rjtl.,- FL-LIU

t Cllt ,flL.s Firi- rJ .J-5L.'. r'l5--b .gtorl'r- 1l ;rlfs ;L-*r ciiL-.:iL

tt-J 9 ;i -llrtl ,s oLi .9rl.r.; 3;1.:rlf 9 jl+ r)t" ,r+JJ, rl- eP 6-P

t-r, .sQ!l- t- tdt l.- c-le-U cgLb).{- J ;tF e"b "Jb i-L ;t'

) sFlz.glrr pl-(.ol; rl'r' '5'- 6ltb.r-5c; 'Jlc 'r-ol9-; .1l'r' au-'lfL;9

t- .ri- Ll.r" ,r,-L-91 .sqJb )" .9":t-; ;!'r c-!": ':-l p;Y J"-lc A-Lj zuil-ll

a5 c,$-r ,=.:; c""Vrari-+'o "$! ri:il': glh Al":,c Q;i ,1l 'S)'Sl?

.gLorlu. r,l J-l5 c-! ejy r9-,r' gl-J l'lJ'o rlu-,1 c'L-i 't-- 4-t

J,+at klull ,9-J *y &-lr; ou'a" cSkr":-'*- t9l,' ":':;'l 'LlaJ Pll$U

.glorlu. ,r-lrt .-,&l .rr* o,1r+ a;b-i:- J9- 'r--o!9; ;-S'-'"c '':-c

9 pt-L-o .91-orl,r" e,, i. L-,.I-(a-o,gtorl.r. .;lrt .51'orl;l rl o':Ul-l Lpl3''oLr

el* sl4J,st#+ JU-;19,4.u;!,ra c9>9' o9G:-".5r1-"rL-" l+

.91; l, (;tf19-c ;r9,rs 9 ,r,-hta '.5r'-\5lr;l) .9J*? eli dts- eL-<''!U

r .,1L3lr , .i[-otS. CAD .5t-orl;l i,.'+n 'u!l':' '; .rh' rl'- '511-orl

v;z; a'jt* torl.r^ ,,-l o,rt-i:-l .sl.rr F efu .gt'orlo- c9-9' cs.L-"lr!i-r

.$) lJ

;l9r + lr pt<--6u dl)t ,Lei C-, a+ jL: I .lrs .9tdt-* *:L; r9>9

;l ,.U:- ,.1 ;,1 ..:rL.,r. C.b. GW GLbtr+.ru -rJ re-{- ;L--r \f '4'

Jol*irl ,-glr, ,rel; ,.15-ot; .5t'orl.r- r-''L,r- pL3--o .5lQ"j ': 'tsQ:*i!r

.$rl*. rli o.:U:-l ;,,9* ptfua .91+;- "r ' i.ol t- I L-* t etl$ q9l"d+tu

JU J.sjlr* .l-l .r"l Ch pt* ':-l o'r'^i csL o'ij o-1Lil ;)15-u

,c la;l a;75;E ,c ,gla!>)L .J+E $-, 9 ,l9li oclJ-l ;95b t5;\- \ 1A'

:--,t' iirJ^.1jf rrlr,r-toj pt,,.-lt 'lFii o'r-oL-l .sJll tsttl"l-a

,:-:,a:c7t zolrl 9 ;-l ,"t:- ol".; ,lt ..,r.ol9l e9U' ft<'"au 6lo-llu'"

+l*. a, d+l ! el3^ab .g1-orlu' ,s a5 lr--, 'ri!.,- .,-'lg '-l-'c .;c

L .;1 .r.ol9; -rl* J..rr tfte J.slact+ .JL-r'!'0/l.j4- t9-lL-'Jl---oL'a

dlrb ,pt<...o .sQ-::r .st's-*l!9- 1l '!i; - 9 oLla3l J-"1 .,-Lj d--? qi

,,-l *l .,S* C"-+1.t.oi!.f .t--.r+ .:t^-jt rJ rs-'{- &-;r eLL'6L

.$S )t o.:ldrl s.,9a i! eK-'6 csQ'j-'t- ,': .!* L L'1, ;19'--n La;l'r"

l.orl.r,. ,,-l ,-fl* Ol+3st,r9-9' c')15-u c'ti.l5 djl)l 'ira ! aJG' ;--l 1o

;r-V ,slrooj dif F r" J- csL.* .,1 Qil, t"'l Jjla3f)l-P t'c'..l r:f

o.ri orLil ta;i a, Y ,;:4 ,c a5 s4- csr+Fr*it ,l* tsl+t-- I r'W

F* 9 Ji-S t9t4# €lp "F e JfL"c;-"'-oL o9b';4 c?r L-r ":*t

t.,,- i-r-l -r tarlo- ;,-l u-l* .sl+)stt ox-n lc pLL-oL; t5Lo-llr- ,rc o'-:lr

Page 5: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

P . trAy )tar ,(.;t) I

,.brlaib .taor-.:S-F .l.aoJ;S6€ et<-.ou .,>llt ,.: paa ,-Lr *le 1lJL5.- 4jlrl rr pt<--6u rt.lc o€Li 6i-s *.4?i t? .$t.- aJr) J.; J-lf+ rL, Ol+ ol,Ls *Jl9:-.gl_r-l ,15.1 9 ft<-.6 ft! ..- , : c,t,L.r ,L_L_..ril,. " ,fcr9ir, .91;* c..-.rl ;l ,-W 6,-l,r>lrt .-l,Ls J* olr- ;L;..ul+.- *:lr cttdUli- ,l osl;:*,| -1, L"r ;L-L u4+_-jJ ,t,y ,r-istr-$!.- a,-i; *.fr-.rttf ;lfrg;L I Ot-.,:61 o;l,ll 14, "*1,,L- 9 boui56e gl;l -;L> JL> ,c .,r

.r.t,,, c9;l..1 J".+.,_ .glrl.: a5

319-- ale ,l .sl ou-i a:;L. 9 sAp +f GIF t pt<-.6u .gtoorJ_rj-,.-'b ! I CMOS ,-9f.- ,lt r''ly* Q .r-+ f f oJ: .-(C_.? ceL- a_,t* I o+U lU A ,;'tr l.? *+l yy o.rJ-,'j f+ A.jtj lL; y ,l ,:_J L .r:-

el.b ,y-t^U.cf orLll +U iU \t. L Williams ,, :* Af orjJ F. ;j.; .l o,r^i, Clrl Amulet 2e os;l.rr, )r )-6 Jb _rc 6ta; ar.hil>

MIPS R3000 ptl,"aU os;lcr, ,; yf ,l .r:.:.i- !;--, 614Jy' .'Ia;-11 ocli:,,1

,o osUl69! s,l)|S dilrl ! 9 Caltech olK-t^;lr ,.: MARTIN "r.f L.i

JJ !5.,.;-S r,-l ,r,_lrl5 jl .,.1f .F-U,oclc;:- )r ?tb 9 6e eir, .ha

s15,L!X'""6 .91; .guL-, oU*;; ,;6 JL rJ .Slr.,. flL.-6U 6)L*pla;l ; ".r ,.: .5;.* J{l .I.S eUl ;l ,jl}- o.:l:: .l 9 ,l.r- .gtor.";'b

..\.iq*-

ouj-i5Ji-i5.9b11*o el*.ir,r- .SQai:t I C.tg>n -f

plK^aU

Foundamental Mode (FM) Huffman Circuits _r_oJl

jl L9-r .[ff] r^!UG- pK..6 .gtorl.u a-*t & ,r,srJ_*l ,; ,l.r- ,r>lrtk+.", J:r+ ;rl ,.: ..r9.5,r. oslc--l ,1,1" .51{.!b ..rt+,_Sll oyL> Jru>,rb ciJ9rJ..t;f*".f ,l"Li lr..g.r9r9 61"{..Jt t+i- r.slrlJ,.glg:.,o9 r.tL-i!.r-u:..**r d e-QJb.JAJ.,- dt{Ldj lt dg.p J J+r> i^,r;9 a_,1>

.'r a+f .!G o53 1l .s2."alp rl& rc rl-\L .gtalb u.iL )L;t: --..r1-bf

t-* jl .siu "JU- csQlb .rr":,1 ,,1 a, pl-(.a _,,:, gbp--,:- .grL-oc!-J:"+ ,, \ \ * ' . jl .scl_.,r ,r* 6lx JIL Jllr-t ..ul!.s-- 6otr9 _r._.;c,l p;Y 6,1r,1,, .;*l ,_itj -tjbl \ . L_ . \ { c9Jr.r9 ,.*ir \ JS_-i cJl>..rJt+ jq \ c-"..;J rJ oi--.:- F \. r . \ .SLe,,_"r.rf ,_91 ,- r .:-_j9 rs

FMrc .rrl,l-o _\ JK.i

's9, ualy; Clrt "tt, I Gs.) url rsHazard,!*.; .l9>U.gtorL_lr..ij>

;l 6Flz 61, *.iUl ..J;6.rlu;;.,1 ply.yr J:.q rr Jb_ rle-+r*i- c5l, Jtj- ;ly* .corf +!L-l ,lu. a, (AC ,p.) Static Hazard.*.Jt rf- .r .oL r*" C csrl ;J E Gry.rc (\9,91) o_+ (\rt f . ) -Jb ; lc-l p;Y cJb J,-l .glr, .;;lr o..lf : a;19;U Ls-?9p 9 (\ 9.9. ) ,s_,L_t? 9p ;r U or1 ('l 9 \ 91 ) sjL- Jt- a, l, ,l.r- ,*_L ,o9- drUl L Clft.:1.r,; ,l-lfl Jr., ..rrl o.r.o, s)B:..L ,l ..r,_L-_.; ;9r, 6la:-19>L.E_ "4 ei_acsta)**.j J;-S c.+a ,l u" 3,' .i.Jrp t-., OR L- AND 6t$J csL+-c.:rro.:l:-.-l glrt! Jrli 4le ;l .cy ualy; Uf dr:-,S.j { jU 4t $U,r. aily;L;d.cL af o.:9, .rK_.,rJ 9 Jl;;l .gt.>l Jh- ff<.o J* ar._+ 6+li .r_t-j.9l1L rl.r".grlu,_[";l ;l:,.Ll .su;; rl,ro ro .r,u> dj_l];U dta)i+; rLa_l

orL" I ,l -rb. ,;lrrl ;9.-5;--?.jl ,r-tir; .r-lc a,-r.t.; ,iy;^6 .'.,u,*- I e*

* u'.Le f& J& ,"Llrr ;lE; l, , ; ' " ,91; .5,_ ,lu. ,; rl o.:9^; o1*-3l

{ .lLj ,,a ,.: l, 5;.1 C+- .S,- aS oJlcf .grl.r. ,9lo .c4 ,r>lrt ,*;'bo"td;l,lt+l.i:-;l F- C l, 6,; orl..-o rfl 9 .r.oc*- o"Ld;l .';t.L_ 4O-,'u;t t+- Jf JKj* .4 , ;o:-, or*-(r o.rr.:L;l,, csFs .glaL;h; 9 osl.>

:-:r,,1l t r.L-.g|arl.r- af,-)t+ r--lqs- J-,liU Jr' qF . i.La,

..)'."j ,s-l)t J*";i t.,;14 l, tdL-(_ ;iL-rc

pt<.onU 6Lo1l.r.o .5.U,{ au*JJ -f

ptS$U .9[o o.uil J1i;5 _ liJljer ols"l ;l sFlz eK.iu 6t6 orj.'l5 Jil' el.b |,r, 0J-_io4-

sl-t s:-lr JL, "l+l p.rr ,[1J+ .J-i[ s- ,l.r- ,; a:-19;U o_o;,*;J 4f f (;tL.o .5Lorl,r" a.*..i) ,l,r-" ,o a:-15;l; ol ,._*; Or,-$l.-,lr rlu. L- 9 "r:5 J;5.rfLr 9 *"f _.tl .x"- ;194,, Jjli.,. 614:_lfL,t:.ir r,,: L- a:-lgU E; J;S Jrb .SQjr, Le-, .*_, ,ll;,b ce.;gL+.l,:, * .r_l,,rQ ..r.-o.r:- ,lf .#:8.:a- l, ,1.r." .,:lrt5 [- 9 .!rlJ<i-l'b )l&.c9. u--ol9i )1. I at .l*.y,,._il; JrJ .5lr- ,l.r_" __lrt ,L, .,r Jr-l"Lu., .J.U c-lg;b .*-j; J;-S ogai L ,l.r- o-l* Al+jlt 6.r td.:.rJ

:a*i[" FSM S Jlf t d-^1- .d9,J

f 4"rf tr c914.Jf J_rii j-b .rl; ;r,l ,o ;.i- strt "s :c5d .-Jf.*; ,jl.r,,-l .gt-l; jl .ril-r- SI t_ DI ,l.u a, ,lu. 6yt Cl- .".-r cs_,* .Lu+ !J9*) yq

eU- .st+l!; [-.1 oJ: ?ai Ajlr At+;--...r- ,:, a9 ;15,1 .i9,orLll ;19:.. l, ;i .5lal-t ,li.rt 9 (dr.,-t, 79L- .eo.9: olSe. lcoJg.r*r.t.{r}i Cr_t:! clrl 9 rlfo9i ,r-lrt rJ ,-hS s Sr.l* .9jL{-..A1 f..l.l; .ofttj:, .r-l afe ,l .u-!t ,-s" ct"st.r-l .,-,-L- ale 1l ,l.r-. oL-; cel . ,b-olr csL.- r Brunvand .ri9) ,CSP o!; qst - , Martin .r:., jl ,.,1r-.,--pU TANGRAM ;[; 9 Trace Theory .sL._ -,1 Ebergen oje) ,OCCAM

.Jy'

.51.,r ;i ;l ,rca; L- Petri Net ;l tg:r., d/-l ..J;l l+-yj ,.il.f , crj:r. t-i9.,ale ,l -l,Ls .dLF ..,t* .:+Li .JJ*- o.rtf ..1 ,lu_ eiLajul .rl, It cSJ+ )U!J ./4xl L- tE.:9r9 -U,ll , ̂ tay oS.tr}* 9 .r19, ,--l .9L_l;-..,+l .ski:., irl .rit .- ..ir, .r-l ,="-l* dL> 1l ,l.u .9s9r9 ol **; ,,L_lL9c a-19-l; ,*-u J;:5 U_ 6s, .slr, .,rJ.r. .qJr; SI J.u ro l, ,1.r. .al-c

*5l,ti 4t.rr.lr- a.!L-l !a_:, dr JgJrj ).-b J& csL:. r s_lrlr,.ji; .S.{

.grlu,-! l-; 19, p;Y ,.o; 5- rl .r,, +Jrl .st+ir-, ,",r.^;5,j;cs[eiri ,r aS*J[> JJ rF Ja.:-r 6J--.t 15Jr)9 JLsl .5lr- rlu- o,-r-a6 Qif-, at-l ..r.5t .- tt?rn 6rrr;L;a "*; dp-.u.7 JL_.rl ,l_S.l ,*;lorbl .r5,r. .5;L,0.:L l, Mealy .j*-iL .'rrLi+ | r Burst Mode Machine.l-p---------------49r9 a-"-o r.JJ t? 4t 6b drl { ..r,.o,ro. !-:u9 e ,o lr r**; F_.r:>-li:-ll .r9r-.rr-J? -t-9 q o-f- 9 #i l) L-o,r>9/'a-9* Oo-,.i,L1; ,l.r- oYt- .ro;5 ;-.>-,o 9 ocy ,rL! o:r, jl ioJL ,.r"st ,rtl JJ dr9)9,-F- St*.5L.orlu-.9r1-os!- 9 *ai rr ,-irr .r_*l ..L:t*-;ool ,,af*;l ..*t**-.,rr, ol.tL;rl ori_jJ;jt L_.1 ,L€ 4_Lsl:> oriJJ,:-.:5L .r: Differential Equation Solver ;r, ;_,_l L or i *_lrt .91-orl.r.t , , High Performance SCSI Controller I r , Ted Williams..:r, pL; 3lo+- l, Intel Asynchronous Instruction Length Decode Chip

ptt^.oU oclo 6Ll:s - u

Page 6: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

f \

o,le )rc, reJtu ,*'tt J- sV'r.s J -;; Y Jsi djt J"i ,L-L ,r

!- .5,- c-19;r.r ,(-e! o..-) ,L;L ,,1 ,': '[YA] i-l ou-1' ostc-l ,"-'U t--'

,r 9 R1n ;-l9ir.: lr .rf .s. C ,G Rou, ,g"rL J 9 'rrl9 R;n e'rL J 14

oslc r9r9 ..rK-l (.S*'t .r>19 ,l Ack JL<.-) .St+ .r-19 ;c9- orL-i ;,9-

t'1 rBt*.Dr.-L- gr-,;l ,--.3.:Li;v-l cv 'rol9- Fsli d-14 5- {'-''+

!--+, t? ,z;c .ty:,ua ocl.: 3){!l ,J,rt ,r.ll +.s; i rl'r' ,': ula' ,L)

J-fli.i- t- r-;l cSl+r-o:-,r ,s"V &t' r':9 ':-l eS;- )r";lJl a' C

.g.r919 JL3*- .i- .S,'" tly *. t?9f J;?a./-l -r*t -.,r 9 S'- ,-19.f

ll E JRout &-rb )l s* r;y^.C ,'W '"6 )l ;r- rl ,-' Rin,l

sCfW J*L: JGi* :';ya. aJ>r^ P 6*:r* it"l J+ .l': sri'.r' 6.'t>

r9r9 ;t(.1 Aout Jtl.- tt.t9 J;f -,J Jtl*- .r"1 'r.r .sl or':5 Li--!!

JI.5.*- ,gsr;s9.i orLi r.tf .tr gr-lyQ ,c;l': l-aly; l, P1 ^i, u o-:

+ o.r-:.:rr-i jU JJ+ ,.1 ,r-l ..:'i uo19; ':rl9 d9J L-; a-.l ;; .915-r '3c9,9

Js di) ,asr a+*f'rLi ,''r r;f ts a5 c,b Ain JJL"I JtL-

ou-c .r5 .s.j.s- JL-rl F o'u-i 6l; u=sP a;J 'ilr 1 (Cd 9 C

rgtl ,sls: !Jt) J.; 4;-L )b ,iJ9l JB g GJr;9 ..r$ "sLl uLuLo C r-'s

.91-oc-19- L; r**; o c1.: .r! rlsy. ;..---i rc J':'" ;--l r.,:':; ""itl

,r--.c,-t^;ur li c, lr Lell .:9u* ,..;'b Jilr+ Jl r'6r tr rjli.sr '*-;

Req JL!-"- r:-U , azy L ccrf,r- or-)s ;-'-*, '-a ,r +5-lo:l':

JS-x- At ro*...,.,r9.1 .r.o1y; u-ly;u ,::- aj93P )l c.tV s cd)J L-j4l.

,,1 ,r c9, ..iL;;* .gloc-l9zi ,x" )n;t", of ft<'.oJ.r 6L-arl'r- ,rl_-l

o.:L;;L-+ .ri .SL* N ,-?l.p e'-l ,1- o9)|s ';-l ou-'j' J- JtL* J'-

ou,.i JcL "Ji-,:.-"r.r9.r.ol9n Jrrr,sli oUd'r"uJ++* 9 $Lts-

,rsb 4rl+ "F ,lf azy rtf rt-+ J*'",sQJL.rJ J- ir"l a-J c-l

.:-\ axrs pt+l ;i At*- N )P.91" q 4L? jl ,ri'U; .91-orl'r' ,3ltb

t-91, dy' B Sll ,-Pl..5rLocl*1,.r-lrb.r-1 ^+ fl "- "'ral-u a5'"r9J^;La

.llr5 Jr.-l oc9+; J'1-1 t€U o797 a:-ly;U.stat-.ij tlS--- 9 o':9-

,c jt-l ,Jt J.;'b.F-l-Pl J.J+ at $!.,.;l)K .:Jl2 !,.-i& ouj djl)l

1";' ..r-!,s. ,rJl rlu- .,jl',-l-- ,Jt-j i.P-i+ .:*Joj .sl.l ,l'r' J;5 ,.-

.9r-o aJy' t; Jr-S tV9 o.rj "f,Ll l, oclc,c'i:*' r* lza ol5 orLil a!9J

,l;r cJb OlQ.,;iL rs toJLl.- rpll,:y;r .:f )1. S6:r*.5lrl'r !-.5119-

.J,j,tG" JS.l

olSir.l.;lo ,,: Amulet .Jli.s. l) .-,'st ,l-l tt "J'i $eltb .9Loo'rlrlrr-' a-Je ;l

.gl-.orlu. ,-elg- *-V L--;> fi * o.r';;lur;, if-l 'r.H pt-'; Manchester

..:-! orl,r; Clrl ;9-fb l1 69 .r'-lr5 eK"bJ*;

c,r:'lb r-ill 9 Trace TheorY -6

6lt s.,,L.5,- Ju- ;l .ri ,s a5 riL,r, ,goL-t 6;ls l+ [Yt] TraceTheory

,t* .slr, sl9:,r, J.r. J,-l .uJ.,- 651:: ' 'l ,l'1- .sLdul gt+f *''"t-'y

ell-".6rit .9lorl.r^ .:r5.L"c .t-,P 9r g=:g.o 9 .l.-t--c .91 Q ' -- t - c;-5Lc

,i .st' rt* .S,-rf 44-j rl;l ,'-l ;l o':U:rl ;o-;'o9r u*s-S ,E u-;lr"s-

6:-r r!-'q ,h ui otf )lf +? Jrr c-! rl:* "'"1 *-l* t-TU:

. a l U

,l-L;<aT 9 tT> orc-.t aS c-l !9* aLe oryoa' Trace't'' )UiL

,t.r, JLS.- J.:r.- Q1* jl *"b acge '5'- aT ;i ,'r a5 c9'5.r' ocls

aS acaa.5,- ,l c-l . )Ld tT ,'>y:,o" aiS; alphabet Ji + , t':L'r,r'

3,-l ,.: ..r.iL,r. aT + .itu- al+Jl** jl .r^Li ,J.r- 4 ;y; ..ll .5Lal

",t* .slt Jtr olrt+r 4.+ ;t5- J- ,l ',rl+s .5Qi9, '!)1i.,21 ..19.1

ptl(."rL; 6t-orl.u '?l.P :" elf : l' c-;ov" I c9;rrrflr* C 'r'Jalr 'or

,.1 ,,-l ..r;!.r" glP ,y-l rc prY ll! jl .s'"-l t.- .ll J+ ,scr-lr r'- r-^

-^:,;9:-*.i- ,*; &-r9J* , ,l,v ,9-1\ s:e ;t r>L "l-l;-sl 'S-'5'

6Q.ol) a.Lc ;l one-hot ,9rlu5u5 ,l ortc-l g! .s- J9> ,[Ls c--u7

..r-:lq ,s. jf &-s9.ra JLtl 6;l/ .51L".:t ,

,r<..A aJ ;-l o.r-i, qg-,lLoctar 9 a'-ilrl ,l'r- 1.rg,t J,rS 6lt u-rG:- .JL{PIJ

I la;i .grL"rt ,, .5c9r9 ,lu; ,l-l;-il o t' .tr ,-?l* .S",Zo";- o9)lr

6J9), oL-f^.o;:+- cl-€ "rlal c;l ; d .,:-Q"|, al* ,l '':1 'r--ol9i ,9J'j.

61a!-J c# .sll .t!- c,l4 5- ,;9; dL-l ou''i a5lll [Yf] Nowick J'-9;

l, ,9'b ,l *rL it - .gt+..Jtt o5 'r-L'L.r' ,l'r" + t*rj ;L;.l JLcl 9 ol"*;

-)15-r 1l Gf el;l oLj 9 .r1* i-L ,Jt" + jU Jr+ ;-;l u-;19; *-/ v r l n \ . 1 1 . . . 1 ^ ' -(\ LPr) lq cro u"9J

*f.U+.,, 9 oclr .gto,p- c9-9 ,.:Jb c5t€*,it- vJcl ;cn oJ'.'a+r" €q-6+^ JJ

l, J:, ,"-l r,,rt5 a5 ."llr ,r- .rlt ir"l tr o'lrt oJ-" Js-i' .i-11)- F

.C-l ocf .l9.ra

MicroPiPeline -r-r

REcIEvER

sENDER

('t-oc K

[rt] ,J* d-L,.rJt, t'. olf-l ,l.u- Y 'f5i

[ . r ru i t l( l o e k

l ) y - r t l r t t i c S l i l t l u

l -a l r r l t . :s l . l tu l tcs

)t :NI )t lt{

Irt :

t ]

t :R

{rLt l )

[ro] ;,-V :--t-:F-u J;jt -(.ijl) r Jff

[fA] .o--teF-t- o.:1,: ;L-r7 -(e ) f J,5-:'

f

q

Jq

..1

,J

;l

t_

N

. )

1'l:

J il.{l

P

11 ;

Jr&

.$l

.J qJ

jl c

lo'f

, (14-1ot,1

l&l

,rljll

I

Page 7: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

FY

Input

Output

Concatenation

Union

Repetition

Prefx-closure

Projection

Weave

Wire

IWire

Fork

C+lement

Sequencer

\YAY rtq1.(.irll) I o,,L,l,.\ Jz,rly-l i9ii,.l5 O-".j| ,-l^ot3," # 4-F.r*-E rs-e.Sf t ftt

Meaning Example

d? = l"d')

a! = {"a"}

a; b = {"ab"}

a l b = { " a " , " b " }

*[a] = {e, "d' ,*d' , . . .1

pre("ab) = {e, "a", "abt'}

abc { {4 c} = {"ac"}

abd ll acd = {"abcd", "acbd")

Specification Schematlc

11*b l

o'lg>;b!

b:

c :

o.t?bt* .c l

re)*ir'l

b?

[Yf] Trace Theory .91 r,.\ 6to dJi. -6 J(,i

f.1 Lii rl.r.".5.-.gols cJ|> r,r.r9*!,r. orUiil +Si S rlr5;.gt .7l .Jl-;l

gt . j ' * .1u . . L | . ' .3 l l r , . ' € .J . ru i . : c . i ' #c i . , !L -o . - r i c ,cJc . r

Ol* cJ*r" s.qa .-ir., ;r-l 1o .o- jrlg; oo$' ,J r.rr r,};:.. 9 .rJ;lo

ac9-4, .r9"i .,'o ocl;i.l d-> .5,- ,=Jti ,J 15 OLI .SA 1l ,l,r-. .91{,: :lrt3

.lLt Lii o.r.i o.,tjl cStAiL)r J.?' ou-! o,,[.i,l f ,lSl-i' r,r t+t"p ir-l

.s9j.* .1J,sc 1g;.r,-q 6t{dl.tl9,i,y osl:: .'l'6;LOL;-

<sym> ?

<sym> !

<cmdl>; <cmd2>

<cmdl> l<cmd2>

* [<cmd>]

pref <cmd>

<cmd> J <aph>

<cmdl> ll <cmd2>

<ym> € Inprt Alph & occurs in string

<sym> € Output Alph & occurs in string

<cmd2> follows <cmdl>

either <cmdl> or <cmd2>

zero or more concatenations of<cmd>

Any prefix of <cmd>

Remove all symbols from <cmd> notcontained in <alph>

Shuffling of <cmdl> and <cmd}, withshared symbols occurring simultaneously

pref *[ a?; b! ]

pref *[ b!; a? ]

pref *[ a?; (b! ll c!) ]

pref*[ (a? ll b?); c! ]

pref*[ (a? | b?); c! ]

pref+[ a?; b!; a?; c! ]

pref*[ a?; p! ] ll pref* [ b?; q! ] ll pref* [ n?; (p! | q!) ]

pref *[ (a?)2 | O?)'| (a? llb?); c!)2 ]

pref *[ (a?; dlF | (b?; e^!)'� I( (a?; (d! l lc!))

2ll or;(et l lct)) l

t;3GF.'

esf ,s.*{.-,f.t i Trace .5.- ."r.,. ol$' .l ,1.r," el* s Lf9! oLa*

.i99 ct-i *..ti!.* .S.f -i'o I l.; ,l.r. .51.$*lrL! af a:.r, 6;fr- 9l,;ll

l|" .S,- ,o oL; .5,- ,9tr! L- o9-79 9 ,l.r- jo Jt3;-:..5--:L )tstt-t.l*lJl

,a;,1- .gtanir q l+Jl .192- !9* ..rjt.,. JKi:" csss F,li .F"L'i

.c*f o.r.3 p*rJ r;a.tu f .-#1" ,r*tp.6r919 6Qup- Ol+r All

. . > . ; . , c J { , . . L ! ! l r : 1 . l . 3 . l L i . J c L , c l p . q . i + J , c - - . l , J . ' ! - & i i . b

Page 8: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

F T

isochronic fork -(sJl)

*t- )1,,F:- J.:t'- .gl.orl.r. -i ,|5*i,

. r:; .i DI a5 QDI .gtorlu^ ;l ,r,-to Jt- -Y J'ti

,Li, ; OR ,,-r ..:.lL,r. QDI ,rJ+lrJ ,o ,c l-o,-gr9r9 qn ):--Jj cil . ;

QDI XNIOR L-- XOR t*W l6'��������������ls)r- rc .rrlu l, AND r---a-:r a,l r ,

o9U; u:5 ;*'r cstr,;l 9r r^ t" ,ri- aS!-! L *U:- .l9p l)t.) \i-.itt,r4

l, DI ,l Gr-4d 9 fu rqb ol) SI 9 QDI .5la.J.r. a(-l ,J ,.fc ..:x .ul9>

,lu- ,;'b cglt 45 c,-t-afltl C d?i tt .rJ9 u--oc,r- auil ,l .grL-ocL- .91 -;

.,c JL::- 6ly1 ..l.i5.,. F l) te!tu a--o r.r .5lLocL ,tS.l u-;5.r" g!".

u*+.3 .J-6J.,.. ,lrs gLJlco; l., t€J rr't; Lr-, q-t-*- r-'b FPGA

o+.51 .-!.f 4"i ,j.ll-! iu,-c r^r .rlrtl ti* t-.r L, t-r. 6tor1-i'b 4-5

oiu F QDI .9;L osL ;Lz"-o Jl -! ,_* rblt SI ,l QDI .g;Loct ,

,l *<-.6 144;e- a;L-i CL I kJ sL : l+ _* Jlt .r)Ltl ..s! .s-

..r-.-L.; ,.ra lJ eDI Lalr*! gly .r. 6 r-,: ^.rLl9c

.5,- L-i ,1.r" .$L,r. [A] Ebergen ,r,rr qoj r!--.,r o-f Martin ;9, ,c

. :. g CSP (Communicating Sequential Processes) d:*.i y! Cl- Ol.'1;

et- .5;[.oota rf,l.! o.:L d]e c9J-,5',- + y'"q.5 L-t' ' ;.a93 er-l ,r9.:,r-

,.r;L isochronic +! tei tr -Ljil d5 ,rtUu i*:+"a .cr.r. .J,-uJ e*r

,1.r. ,rfug1, ,a,-;a; c,t*Lc ca,;{ JLtl ! .r"lr .r-l ,.r ..!.rr5,r- ,ra;--l-

1l 6,las1* { _21u.,:,at; sl^J-:. rtq.7 Ji:r+ t- .;; rl.u L , L, c-K

lJg ,l cJl> 6ta)*;i ,c9^; a"!L-l I ..rJj t ol.l,. JLil ! 9 "f,-,s ;lro;

.l;lg,r, ,1.r. , ;.. y d c-l .9crl9* ,c pQl .s1i,r. rSrS l? rl.r. ,.: pl.q1l

pt-1oL; .5torlu. ,_?l* ;r elr r l, -*r!r ,,r cgrtr :llr* .C ,*Jt! .o"

9 .9119. o[Lc .51r, af Weave 9 ;lr- a1*J- .i+.9: 6l1a5 Projection

.c-l o.r-.i ool.: ,!-L; A ,l5J ,o +.\ fV;,-l 1! .gcl.r-- u;! *. +-L- ,-Lr

L 9 rl.r,";L5- , :,-t; J.r" a,r,-l o?i Jl" c'Vy; rl u^:, orL;l 6-rfhb

,.- l $, iq,, , , ,1,r . :-_re.ra,y-l .?lt 5- ; l , .* \ , .W )r. ;- a' . a-+y

.J,J JAlr^; Jr>9j

.(5 ,1.r. G-?9.p,cgJgr, rt:-!-r lu;-l ;9, J-"1 .S-.i ,lu- ,r-lrt ,.9111

p.rr ;*.; [- a:-19-U rr; J;tS c.q? .J]^xG- , :, -g Trace c,lr9;-,r

o.r-l ag .tl.ij ./-kp!t-r r .r.,lf .5r5" ,*t'tJ a, c,lr9;-,: c --Le

,-'b o.,f o"L- ,., ')L5.gt-orl,r"

ceL t r?l* d;1cL! 6/ ,"9, .c-l

Trace Theory ,l ocbil 'Y9l

.c*l of ,yV .:)l.(-i- .glrlc ,rJ9 .t-!.r"

st* *- J".+.* JJ" + ,rr-l r $!,s. JS-i- rl. I .5.>Lr rl fl sln

p9c jiu .r'- J- yt et- 6t0/l.ij J-i .rli,r. l) Jf--i- arJ-l .riL?,r--

JS* aS,.J+ * $!.s" .r"r-, i.r-l -1r o.r.i ,r>l rt .gl-orl.u ll l, ,l- p.e

.&t?.jt*.g)L,oo[i ;cy ;.l*r.c a, c-l

eQl,,.5rL ,i-tj t? 6Lkl, ril !L:J o.ql Martin ;p JS--L JF 6lr.?

Itn ,F+ t.> 3194 fl I orf ;Ll a, c-l oo9*; cjlrl Isochronic Forks

a, lr rlu. Fp d.rt'.lloj tr .SSL l,l p1- .l- ;l .*.L:-. a>L! 9l ,;.'t; .rl,r.

o/..- a::i,l ,r,l--ll a, ;.L.4 -L r,:l .clc ".uF Jli.,. cAl,\g-y. ,!U trb

;,r &-J9r* dt-l ,r,.i,l.i ,trf FS:- rlLi rl- r.rl csbr.- ,o ,,-lb .!)L;l a5

c./-re.rJ lrcsr- jl JGi*.5l-orlu. a"..: J- x-b a, r"Le r*: L-orl,r.

,r,_b9.1 -LlJl .!- cor ,l "F:* Jcl- ,1,r.2 ,fSi ,c .J.o"\*r d+.i iJl'>

ar ,rLepuc .i,"F jl .elFl cdt .u,-l ,r-l .9;3 ,15. .c-l o.r,! orlt "i"-l*;

,oc9^; eu-19 -S,-;p.g;L".:la or4 * i l, ,l.u rfl"c tsl;r I "$ rr'ti

p& J& ^3U J ;Lal -iu.o et;; at-+ .JjLl,,," 6t9t- lt t-uro-'U 6;o c*;

l1 ooll ,r-l L ".$ ,;1,;1" .gl-orl,r. .c9j.r- oorg'ir- ;J l=i; o: u*L-

[t e A .f .Y ,Y ,\] .,.' U ,r- QDI t- Quasi Delay Insensitive

tgg -)g- )r @ cd ,l,r"..u.i,lo*; DI ,rJ9 oc91 QDI Y $J 6L-orl.r.

c9J9.r9 9r p r.;J )a:- AND f^c oJ.i5 .,!r- '?Si o$St )! rc ,.-.;

ya;s o,r9; "-Jt, Jt l.- Jb .Slra I Gty.;o .,r;[",r, e9f ).;- )t rF

+ d?i 11 ,1.r. ;,-l ..r-oo,r. t::- lt d1p -r+ c.-/:l ;i!-ro ,;4.1 AND

.'.r;! cf $ Cf. + a-.\; t9\ ,t7jtL CSt a. J;^ o>lp J>ly

Concurrent Program (Like CSP) rrils.,. )l/), tt*,rl o^ ! JU|S O--'U 1l 9 o.�f Ju ,-s:lr ctya E .,*-rt .r:.7 t- 4 j.U -

Process Decomoosition ;5795 .gla.rl.:r1 a., ;:-5J -

Separation of Control & Data Parth csrl ,glrt &r. * &r" u,"! J;*5 ,.' a Jt Jf- .5;Loo[1 orl,lb-l oJ]a.t J.tlitsi oJlJ f4! -

Handshaking Expansion JL;5 ro tL;rl { (,r:l al-r. Y b_ .,,_ld.br. f ) .'tLtrl J$lr* Jkl -

Reshuffling J+ jt j C ,J'l+rl ,f5i9ra p9s ""* .rrf Jfr -

State Variable Inserting 4fiJ Jl.! -*,-+b9\ s9>9.it9- )r d[1- r.*;.rf ailal -

Check non-interfering and stability *la;l 6119- .9191 J":l* ir,tp -+; 6lfl ,t:-lt 9c ,,-l c99 rsyo tr -

Generate Production Rule JU<"- * .gl.,U ,b.i* i.r+|.t' .r*Ji -

Add Reset Signal ,l.u a, a.J9l Lll)"l JL4l -

Symmetrization .91-o_,9;lJ,l + rl,-S 9 t{/i.r,l)?l t^llr-(r,:f csp L- u,- ') r.+ , ..r9rLi 9r .5LoU9Lr c9.r!L-s -

C-element L" or-gate srlsl-:--l

Test Isochronic Fork Condition -L I rl cst.o ":* ,o .99L- [ csta-;iatj c9.79 ,".r J;5-

Chang to CMOS Mappable YL q 9_, ,o; Jr ,jr, .r+-L, { tr Ji*ii )r !:-& *;i 1l o.lb; .l -

Transistor Sizing & Optimization GND a, VDD ;l r* ,s:lf t tr :-L:. .lyp r:- uV) ,-s.rrFabrication lati--;l;.Si or.la sfL.c .9lrr :i-"yly *L o1l,!l d4b." -

Page 9: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

f F lrAy rtad,(. i j t ) \

.f,- J..Jt .slr-l ,i ,r a5 c. ,J Contraction q,,i)s-t' STG .9;l-..r!-

.o9.:.r. .!bj> STG ;l ("o2") .?lf &- C ql:r., ctt4Jb s.Li Jtr<*-

J""++" ,ritp .p ! rtsLi ;S7ef .sQ/.r. a1 STG O:*S-i, ! 6-3le ,.rf.r .:p l-"lF tdl STG af ,r-Lorl,r";l 6la.;y;.o .r. ..r-olf ^. ,tr ,s,-l*

.c..1 oui oorri 1 l5i ro u5,r-; oorgir,

4^.6 OJrri o,!; ;r-lrrl't ,rt5 Jti is,r-* c*l ,*L* r+ orJ a c. -! ,c

,Plt- :l,*-, b r.rF. ro .o1l-*- Jl- l) I +.L1 a o.r 1r L-oorJ

c J.lge;J .o9, ,r^al9> el-!i Y .9lrls y+ 9 r+ c!L! ,; S+)r-)S-) r+

..r5,r^; oorgir, | )t L F x+)y+ ,s y+ 1l J+ *- Ori Jl-s JlLl Jt&

,l,ro ,o X* "p 9c llg #+ ,,lJtl.- cJb Ji o^"-6i,J JlLl d c-Jt> ,scJl> 9r,o ldl-t<-* e!- Os91 i#q ,fJq e ,lu- ,o ,ji ,r 9 .orl,r: .:9e9

.srl,l o9>9 rla. ,_5la;Jb + tlJjl- .5rl,rl.r5 .rtS*l ,y- )x+ 9 a*J9l

[Yt e I A , \ Y] .$lc.it- ,y'; Amulet .llrb rr STG y o:r*- SI 6tor"e,

' pt5.oaU.g[o o.rj.llo; -6

o8i,iJfo .,o ,-*,'tU og.f J"-g CAP pL- I 1AA JL ,.r pl^l"or,s o.lllsrr ;J9l

, OL.p c;.--ya"U- .r,t-lrr rl,r-" ,r-lrt {r-C. .-*5 rl"r-l Caltech

!-Jrt 6il.l"c ,Ig ty'.'. uJi 4**j .rJrl .,o r "r.lrt Q;i .rJl9:. o)t_q;

af oy ,jLLLLLLLLLLLLLLLL�* eli .9lt 6;!�9. a.NSA ,o l.ril ,c o,!jl.r_p, Or"l ,r>l.rt .[Y]

t. F-S" C JLo;l l-i 9.J,-S .5r-,l,.!l ,la- q,rJlg. o>t-.r;;l ,r.._t

,o iu;;for, O,_l jl)t5..!-.r,J r.Ji MOSIS ,-9F--Y 9 \12 4.W)9)9;.SJ..re, cJ9 t/Y 3Ue ro

'lA MIPS e,Si. l/P ,o e \Y MIPS ,f.. \ g;rJe;_t

\l? djl#i.9lr, P. MIPS/IV 1l oujllorr, y_l jlrt' + Gr* Jli c^r*j

cJ9 Y jue t )-rS*. V .sjllS |sl), ?.; MIPS^V ti cJe A jU9 e ef-

Jol- Y/Y V jUs : Y. W,rsr-",-jlF 9 f . . MIPS L UJi os;lor-;-; L

..r.it "- <*,_l,ir JG \ . MIPSAV

dlrt TOKYO ot-Liil.r ,.: CHO J-e; 111. JL "f.lel ,.: FAM o.rJ;lo.,:,

oolo.;*c rr+r lr.l ooy ,ral-Ll-L;I CAP a+;;i o,r.;1lor1 .r--l .c*l o.r .r.

6r-l ,.: .c*l o.r.i 61Lool.,, ,i-.1 lA 6 d* fY ot .i ,:.rc YY 9 *:* YY

RESET 9 SET r** Jo )q:d-ili 9o ;l a*il9 Y 6;LptJ-.o .91.,- o,.r,i;1.:rr"

(y)tr,/.s t-y ,F_ 9 glrt L..y J;5 .,iti .r! ,l ,.:) t-b.r,)L-ay-*l;s

6jl+pLL.o lrlsl. .,1 .gLa# )l rS;-ta .,Jlrlt a-i c. -l o.r-i o,rti:-l

Y. . MIPS o,r;1llr- Or_l .,-.:i-it;l)l5.c*l o.rlo rjl.,l l, {C-element)

.c-l o.r-1, a*-ut*.

cS;lf 5/r ouc A ,"[-l ,.t l11Y Jl- ,o Utah oLl-l;lr ,o NSR o,l1l.:r-

.g.rl.u;l d fl-<^l.r9 9 (;i;9rS*- e,Ll o.r.;1lrr, dy' J"t ol:lL &Lt )

a;;..qsrf ,-Sl*;y o.r'.l, ooliil QJ* O* ,;S; tt rSriy'g. Sl.* *\.c-l oclc dl)l lr \/f MIPS jlrt.f o.r;;lo.,- OJ_l FPGA o.r r..511- or!

v-

tf

o,,L.j.l uIa.;lr,_l ;f.r*l5 O-"Jl .,-169;,, ,* qr.l;.f*yt5 i+rt I flc

;,_l ,s ocl:-.--l or9. .gLorLil- a.l"-7 ,l .cf a:-15;b,*--,-J +Jt q F-

6;19- ,g,lgl.ill. Lor .t )1; ,)l;i.lr>l ,o 9b.:-;l .rl.r :. -l .tl ,-i,.ryi f JSJ ro a5 sL,r. t+-:X .fLi^o r \j-r] g�+-dittLi Jl .t+r*,1.,+

cul o,ri oJlo oL-L;

fK.Ar. ; fu ,.r"r, .lr-l I t o.r r. selrt fK"i)ij o,rills,-, a.J* ;ld of orL:,| 619,r- l, Caltech otl-!;lo ,o MIPS R3000, ARM osll.:r-,

rJi 4*-j 6J9l 9 0.r9, ,,>lrt 6Locj'"i ./Li Ji.f ro ooUl693 ,r-,lr5 6lrlsYA.MIPS jlrt5 ouillorr ,,1 -l-:.1-.;l .c-l or: ,rrLl.r o.l*a ;9u, ,io19'/.6 upy^;19; L- ol9 Y Gr^.,|t: aa.ro YA 6L;9 cJ9 Y/Y illg ro

6,-l ;-l .srli rl* r" j! .s!.* \ . . MIPS .;l)K ! c.Je \/A 6115 iUero.[t Ol .^ltr e$"c slg6 cJ9 Y tr 7Y ilJg o.:9u*. ,o o.r.i llsrr

sTG -J

Jl.U Petri Net 4*i rlo.:a3 .ll.rf .t- .f-tt ,l.L ol-oi-L, ,-if; ,.r+l .rJ

,ul a5 ,r*" ar-l * .[\'l] ":-.r 6! olr$ A_'-i- r JtS'. t- of .5r5,-

6,1 ,s.,ry ual9> aJ"9, J. Fi- [- JIJ*- rs li .:J 6U-q Jt'<o ;.r^ Oorj

J".* .!j> 9..,>lrt Atg-r.X 6.:f .S;L9:l c-a.7 p;! cil;L.r c.,ig)

J.rL. 9 STG ojl.; .i" .o9.1* a,3lrl Petri net Ju- .gl' 'l o i.i )1.\-. d-l+.c-l ou,.l, ,e,) A JSi ,o rl,r" cJE .elf 9 Ji Petri Net

[Yf] ;l Petri Net J.:r* e STG ce-; -A JKJ

,; eF a, STG 691 ,.glt.*o9.r*o .9r*i,- c-l p;Y STG ;l .,1.r. uolg .9111

:,>9.i )y;S 9 o-rX.rfl,;l l, 6rdiiJ r^ ClL *jl ;l* 4t b 9_l C ;t,F .stfff org.rorij -

.$1.1 o.rf # ef Gi.o rfgp .r,-l+ .r.9Jt.rl o.q..2r# )l Jj -

.ur-flr Ob ..l," J "ll .9lrlo .r-L; Lor"*.;l 4 e.. -

.o9.! ..rf X', X* L- r,o 9 .i- e -t^ os9-1.Jl.S.- olr."1, -

..r, r.L a:-il.r.i l4Jt,€o* .91; ;L-S.,-,,-oLi. STG "f 9o @f _

a--L 9 ooy fjy (f,9p 6o9, c-ly;U;+# ;l t;;;tc n -ii dlr+ Y9Y .rrl9-

.rkJrj jl .r!-..s!.* c.i.'al tb .,1* +*J9; .sk"'i-,F| o>lp 6ly trle.

y'1.

{ d )

x+qar-t lV Iv+ x-

l - lV Ix- z+

+ fv--.} 1r

( r ' )( b ) ( c )

[Yf] STG 6tarl.u 1l .il.o oj]-t -1 jlJ

l .Vt +

Page 10: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

F A

[6] A. J. Martin, "Tommorow's Digital Hardware will be

Asynchronous and Verified," In J. van Leeuwn, editor,Algorithms, Software, Architecture, Information Processing 92,Vol. I . Elsevier.1992.

[7] J. T. Udding, "A Formal Model for Defining and ClassifiyingDelay-insensitive Circuits and Systems," J. DidtributedComputing, l ,1986.

[8] J. C. Ebergen, "Translating Programs into Delay-Insensitive

Circuits," Ph.D. Thesis, Technische Universiteit indhoven,1987.

[9] J. C. Ebergen, "A Formal Approach to Designing Delay-insensitive Circuits", Destributed Computing, Vol.

'5, No. 3,

i 9 9 1 .

[10] A. M. Cummings, A. M. Lines, A. J. Martin "An

Asynchronous Pipelined Lattice Structure Filter," AdvancedResearch in Asynchronous Circuits and system, pp. 128-133,1994.

[11] T. Murata, "Petri Nets: Properties, Analysis and Application,"Proc IEEE,Yol. 77,No. 4, 1989.

[12] T. H. Y. Meng, R. W. Brodersen, and D. G. Messerschmitt,"Automatic Synthesis of Asynchronous Circuits form High-level Specifi cations," I EE E Trans. Comp-Aided Des ign, Y ol. 8,I 989.

[13] T. A. Chu, "Synthesis of Self-Timed VLSI Circuits fromGraph-Theoric Specifications,' Ph.D. Thesis, MassachusettsInstitute of Technology, I 987.

[14] T. W. Venkatech, "Asynchronous Processor Survey,"University of Califomia Teqhnical paper 0018-9162197, IEEE,1997.

[15] P. A. Beerel, K. Y. Yun, "The Design and Verification of AHigh-Perlbrmance Low-Control_Overhead AsynchronousDifferential Equation Solver," IEEE Trans. On VLSI System,1997.

[16] P. G. Lucassen and J. Udding, "A Process-Algebraic Approachto the Design ofAsynchronous Pipelines, 'IEE, 1998.

[7] A. Yakovlev and A. Semenov, "Synthesis of SpeedIndependent Circuits from STG-unfolding Segment," TechnicalPaper GR/J 52327,University of Newcastle, 1998.

[8] A. Yakovlev and A. Kondratyev, "Technology Mapping forSpeed-Independent Circuits: Decomposition and Resynthesis,"Technical Paper GR/L 24038, University of Newcastle, 1997.

[19] L. G. Birtwistle, "Modelling Amulet3 in LARD," AmuletProject Technical Paper, Manchester Univaersity, 1998.

t20] A. M. Lines, "Pipelined Asynchronous Circuits," CaltechTechnical Paper, 1998.

[21] A. J. Martin and R. Manohar, "Quasi-delay-insensitive Circuitsare Turing-complete," Caltech Tachnical Paper CS-TR-95-11,1995.

[22] S. B. Endecott and S. B. Furber, "Modelling and Simulation ofAsynchronous System using the LARD Hardware DescriptionLanguage," Proceeding of the l2'' European SimulationMulticonference, 1998.

pl-(."oU .gt.orlu. el.h .tr elr" .: b -^.tsf , <S.s9t^ tplt*.E ,.r-lt-i .,r.

J9-Fr-l .9t-o o,r;1lr_rr a--to-'\ J9u-1

jt:ts

MIPS.S;lJ jLJr

,illys:c4;l!

oui.L;lcJrr

g9IJ

oA;jl), tU

i 8 3 3 v 1.6 um Caltech l 988 CAP

t2 l v 2 u m Caltech I 988 CAP

300 3 . 3 v 0.5 Disital ALFA

300 Tokvo I 990 FAM

l . J FDGA Utah t993 NSR

SUN t994 CFDD62.5 2 u m Stanford STRIP

38 l u m Manchest t997 Amulet

.J9f- .5b o.rirlsr, .rlllsr, ,19; +-"lo -Y Jl.+

o$ i l ) J -l�j'Jvs;

*;l*

MIPS Pw MIP 2/E

Minimios 3.3v 0.6 280 '/ 3 1002 v Minimips 0.6 r50 1 3375

Amulet 2 0.5 3 8 0.1 50 365orion R46000 6.64 t 5 0 3 .0 tr25

ARMSALLO 2v 0.35 235 0.9 14420

c5rj ,,: SUTHERLAND "srs "!-r \ 11f JL-- ,c CFPP o,rirl.rr:-

ocldrl o.ri rl.:; ,,1 ,-?l* J-l ou,-l .c-l o.r.l, 61L "cL 9 ,r>lrt SIIN

Jl- oU+ rl oclc-l 9 .,1.u J;5 .91+-.0,"i .r-Lj ,r d-l f jo-sj ,l

cSt+" -,t STRIP o..rj,lc;..;-l ory oGJ a.r.a r->'tJ -i)Ljl el;q 6lr-

ol-i.!.;lc ,r p*Lr J"t! {-L- .rJL" I i ;, MIPS-X pL3"-o o.rillcr-- Jl-

,.:-l o.ri G-lrt STANFORD

613 azL,Ji 9 6q 4e -?

9 ;b.;:u .-.{e .,.i oL-l .9lrl,: eL<."6[ .r>lrt qcr5 6)L-^il 45,t"jL.o

,y-l elh.Skifr ett+,+ aSlrl .i.ro aJG. e,-l ,r c.i-i> ,.r ..riL,r. 6r9i;-i

.!G : C*: .:t-l ,.riLL-,i r +J9l c;U: allrl -i.ro _':-:--.. 4!.i 9 oc91 i lorlur

.sbojl-,+ ailrl L rlli o.r, Ii ,,:,o,-rl9o.-l .i*l o,r9- qg.rL* J-"1 jt;-'-S

e:-l ,lt^:rl ,!t!.skJ!-l 9 -!1.:.ol .91Lo.:t 1c.{e rr ts-l5,!-.q, car.r-rrtJ

.9-rlcr, .grL-

|9.lsn

[] A. J. Martin, "The Design of an Asynchronous MIPS R3000Microprocessor," PKO. IEEE, 1997.

l2l A. J. Martin, S. M. Burns, T. K Lee, D. Borkovic, and P. J.Hazewindus, "The Design of an AsynchronousMicroprocessor," in C.L.Sietz, editor, Advanced Research inYLSI: Proceeding of the Decennial Caltech Conference onVLSI,pp.35l-373, MIT Press, 1989.

[3] A. J. Martin, "The Limitation to Delay-lnsesitivity inAsynchronous Circuits," Sixth MIT Conference on AdvancedResearch in VLSI, ed W. J. Dally, pp. 263-278, MIT Press,I 990.

[4] A. J. Martin, "Synthesis of Asynchronous VLSI Circuits,"Technical Report CS-TR-9 j -2 8, Computer Science Department,California Institute of Technology, 1991.

[5] A. J. Martin, "Asynchronous Datapaths and the Design of anAsynchronous adder," Formal Methods in System Design,Yol.1, No. I , pp. l I7-137, 1992.

Page 11: shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9ceit.aut.ac.ir/~pedram/Papers/Journal-CSEJ2003-Async.pdf · shf ir#froe*rs$er,&es jg11plt 15n rli{. g Pl9 ... *[a] = *

f 1 \YAY rl.e.t .(.iJl) I

i*yl5,r-.r'e. o.rS-i,.ilo rs \fY\ UL;l plrq;5o .J,-rf )95L ot5lilo 1l

t;" i"t .S!-l , r*o,J c*lt e { J}i.l- Olrg, tr ,a.5,*"1 G:,r.. oBi.tjlr

&,u- oorarlJ a!)lc o29. s-]c ctLo a;:-; .uf!c* o.r5illr ..141 ,r.tr oi.a

dl.6di &_,1& .iJ*rit5 6rl* r.r l6loo.rr-l $L dlr*9* "f.li 9 oo9;

,rS.,:r!l c*, ,rroi .$lis" .S+tli,, 9 (*)i itl$Fi*.- 1Si*pV

[email protected] :11 c*rllp orl*U

olLi.\ .t$..6lrp-l ;.o,-E Osll .,-!.o9jr ,,Jt 4,;,;,-il*S e*r*f f r.*

[23] D. A. Bardsley and D. A. Edwards, "Compiling the LanguageBalsa to Delay Insensitive Hardware," Proceedings CHDL'97,Toledo, 1997.

l24l S. Hauck, "Asynchronous Design Methodologies: AnOverview," Proceeding of IEEE ,Yol.83, No. l, 1995.

[25] "Caltech Asynchronous VLSI Design Current Research",

Caltech Journal,2000.

126l 'AMULET Group These s," Journal of (Jniversity of

Manchester,2000.

[27] J. R. Burch, "Modeling Timing Assumption with TraceTheory," IEEE Transaction on Computers,1998.

[28] C. J. Myers, "Technology Mapping of Timed Circuits," IEEETransaction on Design and Test,1995.

[29] P. G. Lucassen, "Normal Form in Dl-Algebra with Recursion,"IEEE Transaction on Cornputer, 1997..

t30] R. Negulescu, "Relative Liveness: From Intuition toAutomated Verification," IEEE Design and Test, 1995.

t3l] T. J. Chaney, C. F. Molnqr, "Anomalous Behavior ofSynchroniyers .and Arbiters," IE E E Trans actions on Computers,Y ol. C-22, pp. 421 -422, 197 3.

[32] C. Mead and L. Conwany, Introduction to VLff System,Addison-Wesley, 1980.

[33] S. H. Unger, Asynchronous Sequential Switching Cicuits,Wiley-Interscience, 1969.

[34] S. M. Norwick and D. L. Dill, "Exact Two-level Minimizationof Hazard-Free Logic With Multiple-Input Changes,"Proceedings of ICCAD, pp.629-630, 1992.

[35] I. E. Sutherland, "Micropipelines," Communications of theACM, Vol. 32, No. 6,pp.720-738, 1989.

.ro a.i,rl ,r-L.irt5 , .,-ldJl5 s.-trU ...1 ,rJf.q*,

;l llY\ e \lPA lrla JL r,r l, i1'*-l6 i-"qf

,bV JG )r.J9* ijl .n_F.;,;- ol3lJl.:

oll,,.t ilo ,o j.+.|5 cs* \r. .gl.,5o orgo .g9:ull.:

a!)s ,rr9. ,J["A+E orlS*.,1i!*. ^S ,r-l G;-;a

rl;sfcid o>lr). ,ly.l ,Sl*,rs:ly r";ls; ,Ll-l

"r| r'*f i'. .i .u-t1,r" 99f-1 .gton:.-.." .Sl* I

,r,r. Ji;! 6lop.:,..*,61121 9 6;Lorl* t .fl_rt )r .r:s ot+.*, .sl.,b

..t-ltf

.*S,K Jru lYAt JL.,o pll.t-1 ir1-..>

to f .L-F .;,.u olji.ul.r;l l, c9 ,.ir.r r#.\j'{f

,-t.l c*utr u^i)l ,,-Ui)|5 5r.r.-" lfAl Jl-

c.iL-ro 15,-r.l 1r yLogl .;JU-l otS3l.r 111, c9-

Ol* q o.:'+-tr IYPA .,JI \tPl Jt-;l .o9;

,5.r. ro JL-*-,r .91-o 6-,.,- r-i)l o"Ui)K

jl .,.* r rt Jlj,!. )[f a1 61.r,-l ol;l; ol;g:u

"1,t"-.rr O:fJl9 .y'U-l ol,ll,llo ro j:e+-|S 9 .;, 6"qf .5115.: or9.: ar ,1

frylS,r-.uQ. ro lr5o a;ro i;l q gsf \fY. Jt-.,o 9 .r,-of ,:rl9 K,-r.l