124
RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, PULSE CHARACTERIZATION AND SCALABILITY A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY LIANG ZHAO JUNE, 2015

RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

Page 1: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, PULSE CHARACTERIZATION AND SCALABILITY

A DISSERTATION

SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING

AND THE COMMITTEE ON GRADUATE STUDIES

OF STANFORD UNIVERSITY

IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF

DOCTOR OF PHILOSOPHY

LIANG ZHAO

JUNE, 2015

Page 2: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

http://creativecommons.org/licenses/by-nc/3.0/us/

This dissertation is online at: http://purl.stanford.edu/xj620nn2388

© 2015 by Liang Zhao. All Rights Reserved.

Re-distributed by Stanford University under license with the author.

This work is licensed under a Creative Commons Attribution-Noncommercial 3.0 United States License.

ii

Page 3: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

Yoshio Nishi, Primary Adviser

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

H.S.Philip Wong

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

S Wong

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

Zhiping Yu

Approved for the Stanford University Committee on Graduate Studies.

Patricia J. Gumport, Vice Provost for Graduate Education

This signature page was generated electronically upon submission of this dissertation in electronic format. An original signed hard copy of the signature page is on file inUniversity Archives.

iii

Page 4: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

iv

Abstract

Recently, the rapid development of big data and internet-of-things has fueled a

surge of demand for semiconductor memories. As the scaling of NAND flash is hitting

its physical limits, several emerging non-volatile memory technologies are being

studied intensively to enable higher memory density and better performance. Among

them, resistive random access memory (RRAM) has attracted tremendous interests due

to its ability to overcome the inherent limitations of flash memory, while also delivering

cost-effectiveness, robust performance and small footprint.

Despite its promising features, several challenges remain to be addressed for the

future development and commercialization of RRAM technology. First of all, the

physical mechanisms behind resistance-change phenomena have not been fully

understood, making it difficult to optimize the device performance. Secondly, the

reliability of RRAM should be improved in several aspects, such as the variability of

switching parameters, the retention/endurance failures caused by the random nature of

filament formation, as well as the requirement for a high-voltage forming process.

Moreover, it is also highly desired to stack RRAM devices in a 3D architecture and/or

develop multi-level storage capability to reduce the cost-per-bit and compete with

NAND flash. This thesis presents an in-depth analysis of some state-of-the-art

techniques to tackle these challenges from three aspects: the materials, the device

structure, as well as the characterization methods.

Page 5: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

v

From the material’s perspective, doping technology of RRAM is investigated as an

approach to improve RRAM performance. Ab initio modeling and simulations are

applied to study the effects of dopant types, dopant concentrations, oxide phases, and

oxide stoichiometry on the electronic and thermodynamic properties of oxygen

vacancies in HfO2. The physical insights derived from the calculations provide

guidelines to achieve desirable RRAM characteristics through doping.

In the aspect of electrical characterization, the pulse-train characterization

techniques are developed for the multi-level control and in-depth physical

understanding of conductive filament evolution. By adopting pulse-train operation for

an RRAM device with 3-bit potential, the relative standard deviations of resistance

levels are improved up to 80% compared to the single-pulse scheme. The observed

exponential relation between the saturated resistance and the pulse amplitude provides

supporting evidence for the gap-formation model during the RESET process of RRAM.

From the device-structure point of view, the feasibility of ultra-thin HfO2 RRAM

is investigated, which helps to achieve the forming-free property and low-power

operation. The theoretical scaling limit of HfOx thickness is first estimated using density

functional theory within the non-equilibrium Green’s function formalism. The

feasibility of 2-nm HfOx RRAM is predicted for large-area devices, and verified by

fabricating both planar and 3D vertical RRAM devices. The 3D ultra-thin devices

demonstrate promising characteristics including ON/OFF ratio (~100), switching speed

(~20 ns), endurance (108 cycles) and data retention (> 10 years at room temperature).

Page 6: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

vi

In contributing to these areas, this thesis aims at advancing both the fundamental

understanding and practical implementation of RRAM technology, towards the vision

of high-density mass-storage applications.

Page 7: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

vii

Acknowledgements

This work would not have been possible without the help, support and

encouragement of several individuals, to whom I am greatly indebted.

First of all, I wish to thank Prof. Yoshio Nishi for being the principal advisor of my

PhD studies. Prof. Nishi is the most kind and knowledgeable PhD advisor that I can ever

dreamed of. Over the years, he has provided generous support and great freedom for me

to pursue whatever ideas and opportunities that I am interested in. With his profound

experience and constant encouragement, he guided me through the ups and downs of

PhD life to successfully complete three vastly different research projects. And his

wisdom about life has always inspired me to strive and become a better person.

I’m deeply indebted to my co-advisor Prof. H.-S. Philip Wong, who has given me

very thoughtful and constructive advice and criticism on the experimental projects. I

have learned a lot from his wide industry experience and strong technical expertise. I

also enjoyed the collaboration and interactions with multiple students from Prof.

Wong’s group. In particular, I wish to express my gratitude to Dr. Hong-Yu (Henry)

Chen for his extensive help and guidance during the training of my experimental skills.

It is my great pleasure to have him as a mentor and close collaborator.

I am especially thankful to Prof. Zhiping Yu who served as my undergraduate

advisor at Tsinghua University, and continued to be my mentor and my dissertation

committee member at Stanford. I would like to thank Prof. Simon Wong for being my

Page 8: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

viii

dissertation committee member, who has given me valuable input and continuous help

over the course of PhD studies. I would like to thank Prof. Debby Senesky for being my

dissertation committee chair and giving me very helpful suggestions and comments.

I feel very fortunate to have worked with many talented people in and out of

Stanford. Specifically, I wish to acknowledge Dr. Blanka Magyari-Köpe and Dr. Seong-

Geon Park for their great help and mentorship in the area of ab initio simulations. I wish

to thank Prof. Shimeng Yu of Arizona State University for all the insightful and fruitful

discussions on RRAM technology. I would like to express my sincere gratitude to my

collaborators all over the world: Dr. Seung-Wook Ryu, Dan Duncan, Aryan Hazeghi

from Nishi group, Zizhen Jiang, Joon Sohn and Kye Okabe from Prof. Philip Wong’s

group, Max Shulaker, Tony Wu from Prof. Subhasish Mitra’s group, Ashish Pal from

Prof. Saraswat’s group, Dr. Shih-Chieh Wu and Prof. Tuo-Hung Hou from National

Chiao Tung University, Dr. Sergiu Clima, Dr. Andrea Fantini and Dr. Malgorzata

Jurczak from imec, Dr. Katsumasa Kamiya and Dr. Moon Young Yang from University

of Tsukuba, Prof. Kenji Shiraishi from Nagoya University, Dr. Onofrio Pirrotta and Prof.

Luca Larcher from University of Modena and Reggio Emilia, and Dr. Bin Gao and Prof.

Jinfeng Kang from Peking University. I would like to thank all staff members from

Stanford Nanofabrication Facility who offered me a lot of help in the experiments. I am

grateful to all members of Nishi group who provided me valuable feedback on the group

meetings. I would also like to thank our group secretaries: Sandy Eisensee, Jacky

Burleigh and Marie Hamel who helped me with all kinds of administrative issues.

Page 9: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

ix

I am very grateful to all my friends who makes the PhD life much more colorful

and enjoyable. In particular, I wish to thank Xiang Fu and Chen Liang for providing me

a shelter over the last year; Xingze Wang and Hong-Yu (Henry) Chen for lots of fun we

shared together; Ze Yuan and Ximeng Guan for the generous sharing of thoughts and

experience on academics and life.

Finally, I’d like to express my deepest thanks to my girlfriend Lily Xu and my

parents for their continuous love, support and encouragement. This thesis is dedicated

to them.

Page 10: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

x

Table of Contents

List of Figures .............................................................................................................. xi

List of Tables .............................................................................................................. xvi

Chapter 1 : Introduction .............................................................................................. 1

1.1 Emerging Non-volatile Memory Technologies .................................................... 1

1.2 Resistive Random Access Memory (RRAM) Technology ................................... 6

1.3 Thesis Motivation and Contributions ................................................................. 10

1.4 Thesis Organization ............................................................................................ 14

References ................................................................................................................. 16

Chapter 2 : Doping Effects on the Resistive Switching of HfO2 and Its Implications to RRAM Device Design ...................................................................... 19

2.1 Motivations of Studying Doping Effects on the Resistive Switching Process ... 20

2.2 Ab Initio Modeling of the Resistive Switching Mechanisms of HfO2 ............... 22

2.3 Selection of Dopant Types for HfO2-based RRAM ........................................... 29

2.4 Effects of Oxide Phases, Stoichiometry and Dopant Concentrations on RRAM Characteristics ........................................................................................................... 36

References ................................................................................................................. 45

Chapter 3 : Pulse-Train Characterization Techniques of RRAM ......................... 50

3.1 Introduction to Pulse-Train Characterization of RRAM .................................... 51

3.2 Improved Multi-level Control of RRAM Achieved by Pulse-Train Operation .. 57

3.3 Pulse-Train Characterization of the Conductive Filament Evolution during Resistive Switching ................................................................................................... 65

References ................................................................................................................. 72

Chapter 4 : Ultra-Thin RRAM: Scaling Limit, Stack Engineering and 3D Integration ................................................................................................................... 76

4.1 Motivations for Studying Ultra-Thin RRAM ..................................................... 77

4.2 Thickness Scaling Limit Analysis of HfO2-based RRAM ................................. 80

4.3 3D Integration of Ultra-Thin HfO2 Switching Layer ......................................... 91

References ................................................................................................................. 96

Chapter 5 : Contributions and Outlook ................................................................. 104

5.1 Summary of Contributions ................................................................................ 104

5.2 Future Plan ........................................................................................................ 107

Page 11: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

xi

List of Figures

Figure 1.1: Scaling trend of 2D Planar flash and 3D-VNAND technologies [4]. ......... 2

Figure 1.2: Programming energies vs. cell areas of emerging non-volatile memory

technologies reported in recent studies [12]..................................................... 4

Figure 1.3: The growth of memory capacities of the emerging NVM technologies, as

well as NAND flash [13].................................................................................. 5

Figure 1.4: (a) Schematic of MIM structure for metal–oxide RRAM, and schematics of

metal-oxide memory’s I-V curves [9], showing two modes of operation: (b)

unipolar and (c) bipolar. ................................................................................... 6

Figure 1.5: Summary of the materials used for binary metal–oxide RRAM. Metals of

the corresponding binary oxides used for the switching layer are colored in

yellow, while metals used for the electrodes are colored in blue [9]. .............. 7

Figure 1.6: (a) Schematic of the filamentary switching process of metal-oxide RRAM;

(b) TEM images showing the conductive filament in TiO2-based RRAM [18];

(c) TEM image showing the conductive filaments in Ag-based CBRAM [19].

........................................................................................................................ 11

Figure 2.1: (a) 3×3×2 supercell of monoclinic HfO2. The positions of 3-fold and 4-fold

oxygen vacancies (VO) are outlined. (b) Electron density of states (DOS) of the

supercell in (a), without VO; (c) Electron density of states (DOS) of the

supercell in (a), with one 3-fold VO; (d) Electron density of states (DOS) of the

supercell in (a), with one 4-fold VO. .............................................................. 25

Figure 2.2: (a) Schematic of the conductive filament, modeled as a chain of oxygen

vacancies in monoclinic HfO2; (b) Site-projected (top) and total electron DOS

(bottom) at the presence of the conductive filament. The site projected DOS is

calculated for a Hf atom right next to the filament; (c) Partial charge densities

of the conductive filament, calculated by taking the integration of electron

DOS in the bandgap. The iso-surface corresponds to the electron density of

0.1e/Å3. ........................................................................................................... 26

Page 12: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

xii

Figure 2.3: (a) Schematic of the 3×3×2 supercell of monoclinic HfO2 with the presence

of oxygen vacancy and/or dopant; (b) The oxygen-vacancy formation energies

of the supercell depicted in (a), calculated with 2 types of oxygen vacancies

and 12 different types of dopant. ................................................................... 30

Figure 2.4: (a) Schematic of the 3×3×2 supercell of monoclinic HfO2 with the

conductive filament and one dopant next to it; (b) The oxygen-vacancy

formation energies of the supercell depicted in (a), calculated with for 10

different types of dopant. ............................................................................... 32

Figure 2.5: Schematics of the site-projected electron DOS on the dopant (top), as well

as the total DOS of the filament with one dopant next to it (bottom), for 6 types

of dopants (Al, Si, Zr, Ta, W and Ni). ........................................................... 33

Figure 2.6: (a) Atomic models for HfO2 in monoclinic, cubic, orthorhombic and

amorphous phases. (b) Formation energies of oxygen vacancy in pristine and

doped HfO2, in three different crystalline phases. (c) Distributions of the

formation energies of oxygen vacancies in amorphous HfO2, either pristine or

doped with three types of dopants (Al, Ti and Si). ........................................ 39

Figure 2.7: (a) Atomic models of amorphous Al-doped HfOx under three different

stoichiometric conditions. (b) Electron density of states (DOS) of the three

atomic models shown in (a), calculated by DFT. Electron DOS of pristine HfO2

is plotted as a reference. (c) Distributions of oxygen-vacancy formation

energies in the three atomic models shown in (a). ......................................... 42

Figure 2.8: (a) Atomic models of amorphous HfOx, undoped or doped with three

different dopant concentrations. The Hf, O and dopant atoms are labeled in the

same way as Figure 2.7(a). (b-d) Distributions of the oxygen-vacancy

formation energies in (b) Al-doped HfOx, (c) Ti-doped HfO2 and (d) Si-doped

HfO2, calculated at three different dopant concentrations (3%, 18% and 45%).

........................................................................................................................ 44

Figure 3.1: Two typical configurations of pulsed I-V measurements using Keithley

4200-SCS parameter analyzer: (a) Keithley 4200-SCS controls a switch matrix

to allow both the SMU and external pulse generator (Agilent 81150A) to

Page 13: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

xiii

connect to DUT; (b) Both SMU and a built-in pulse generator are connected to

a remote switch (Keithley 4225-RPM) to select between DC and pulse

operations. ...................................................................................................... 52

Figure 3.2: (a) Schematics of both gradual and abrupt RESET processes, obtained from

DC sweep. (b) Resistance-change characteristics of an RRAM device upon the

application of 100 identical pulses at various amplitudes. Gradual increase of

resistance is observed with increasing number of pulses; (c) Resistance-change

characteristics of another RRAM device upon the application of 100 identical

pulses at various amplitudes. An abrupt switching event occurs and the

intermediate states are not accessible. The switching probability depends on

the pulse amplitude. ....................................................................................... 56

Figure 3.3: (a) Cross-sectional TEM image of the fabricated TiN/HfOx/Pt RRAM

device. (b) Elemental compositions throughout the device, obtained by energy-

dispersive X-ray spectroscopy (EDX). .......................................................... 57

Figure 3.4: DC sweep characteristics of the fabricated RRAM device. 8 resistance

levels are achieved with the maximum ON/OFF ratio of ~ 106. .................... 58

Figure 3.5: (a) Resistance distributions obtained from 100 consecutive DC sweep

cycles, at different RESET voltages. (b) Retention characteristics of 8 different

resistance levels, measured up to 104 seconds at 125˚C. Retention can be

extrapolated to 10 years. ................................................................................ 59

Figure 3.6: (a) Distributions of HRS resistances in 30 switching cycles, obtained by the

single-pulse scheme. (b) Distributions of HRS resistances in 100 DC sweep

cycles. 7 different HRS states are studied to evaluate MLC capability. ........ 61

Figure 3.7: Double log plot of the resistance changes upon the applications of 100

identical pulses with different amplitudes. Both measurement data and

compact-model simulation results are shown. ............................................... 63

Figure 3.8: Distributions of HRS resistances in 30 switching cycles, obtained by the

pulse-train scheme with 7 different pulse amplitudes. ................................... 64

Figure 3.9: Relationship between the pulse amplitudes and the mean values of HRS

resistance by the pulse-train scheme. ............................................................. 65

Page 14: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

xiv

Figure 3.10: (a) Ion drift velocity in HfO2 RRAM as a function of gap thickness, at

fixed temperature and various applied voltages. (b) Measured transient current

of HfO2 RRAM device during a RESET pulse. (c) Ion drift velocity in HfO2

RRAM as a function of the gap thickness, at fixed applied voltage and various

different temperatures. ................................................................................... 67

Figure 3.11: (a) DC RESET I-V characteristics with different filament strengths of the

ON state, achieved by varying the SET pulse amplitude. (b) Resistance

changes during the pulse-train reset scheme, again with different filament

strengths of the ON state. (Inset: (a) DC RESET current vs. SET pulse

amplitude. (b) Length of subthreshold regime vs. SET pulse amplitude.) .... 70

Figure 3.12: Schematic of the pulse-train characteristics of RRAM, divided into three

regions. The corresponding physical processes and applications are outlined

and discussed in the framework of filamentary resistive switching. ............. 71

Figure 4.1: (a) Schematic of the filamentary switching process of HfOx-based RRAM.

(b) Atomic configurations of the TiN/HfOx/TiN RRAM device in both ON and

OFF states. (c) The band-decomposed charge density of the RRAM devices,

obtained by taking the integration of electron densities in the bandgap of HfO2.

The iso-surface corresponds to the electron density of 0.1e/Å3. The ON-state

device demonstrates a delocalized conductive path connecting the two

electrodes. ...................................................................................................... 81

Figure 4.2: Detailed procedures of the two-step approach to simulating I-V

characteristics from the initial atomic coordinates of the device. .................. 83

Figure 4.3: (a) I-V characteristics of the HfOx RRAM devices in ON and OFF states,

calculated by NEGF-DFT. (b) Schematic of device-area scaling and its effects

on the cell resistance. (c) Estimation of the ON/OFF ratios for different device

areas, assuming the CF diameter to be 10nm. (d) Thickness scaling limit of

HfOx RRAM as a function of device area. .................................................... 84

Figure 4.4: Schematics of the two scenarios to achieve 2-nm HfOx switching layer:

remove the excess oxide completely, or replace it with a layer of alternative

materials. ........................................................................................................ 86

Page 15: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

xv

Figure 4.5: (a) Cross-sectional TEM image of the planar RRAM device with 2-nm HfOx

and 1 x 1 μm2 device area. (b) Forming voltages of 1 x 1 μm2 HfOx RRAM

devices, plotted as a function of oxide thickness. .......................................... 87

Figure 4.6: (a) DC sweep characteristics of the 2-nm and 5-nm HfOx RRAM devices

in 10 DC cycles. (b) Resistance distributions of the 2-nm and 5-nm HfOx

devices, obtained from (a). (c) Comparison of DC sweep characteristics of “2-

nm AlOx + 2-nm HfOx” and “2-nm TiOx + 2-nm HfOx” bilayer devices with

the 5-nm HfOx. 20 DC cycles are plotted for each device. (d) Comparison of

the resistance distributions of the bilayer devices wtih that of the single-layer

(5nm-HfOx) device. ........................................................................................ 88

Page 16: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

xvi

List of Tables

Table 1.1: Summary of Best Performances Achieved by Metal-oxide RRAM [12] ..... 8

Table 2.1: Lattice Constants and Bandgap of HfO2 by DFT Calculations and Experiments ................................................................................................... 24

Page 17: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

1

Chapter 1 : Introduction

1.1 Emerging Non-volatile Memory Technologies

Semiconductor memory has always been an indispensable component of the

modern-day electronic systems. With rapid developments of big data infrastructures and

the Internet of Things (IoT) in recent years, the demand for bigger, faster, cheaper, and

more reliable non-volatile memories (NVM) is ever-increasing. Looking back at the

history of flash memory’s success, the exponential growth in its demand driven by cell

phones and other consumer electronics was met thanks to the relentless scaling of

NAND flash, which even exceeds the pace of Moore’s law for transistors [1].

However, following the aggressive scaling of NAND flash in the past 30 years, the

feature size of flash memory device is also hitting its physical limits. A major obstacle

for further scaling is the difficulty to shrink tunneling-oxide and interpoly dielectric

(IPD) thicknesses due to reliability issues [2]. The flash memory cell is more vulnerable

to charge leakage and dielectric breakdown when the tunneling oxide or IPD thicknesses

become too thin. The degradation of bit-error rate and endurance caused by scaling can

be compensated by improved error-correction mechanisms, but only to a limited extent.

Page 18: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

2

Further increase of flash memory density will be driven by pursuing 3-D stackable

architectures, or further improving the multi-level cell (MLC) capability.

In 2013, Samsung announced the mass-production of the first 3D vertical NAND

flash memory, or V-NAND [3]. Other manufacturers are on the similar path to release

3D NAND flash products. However, the introduction of 3D vertical NAND and MLC

operation is also accompanied by increased design/manufacturing cost and degradation

of performances.

Figure 1.1: Scaling trend of 2D Planar flash and 3D-VNAND technologies [4].

Moreover, the ever-changing world of electronic products keeps pushing for even

better NVM characteristics. An ultimate goal is to achieve the switching speed of SRAM,

the cost/endurance of DRAM, while still maintaining the same storage density as

NAND flash [5]. This so-called “universal memory” goes beyond the physical limits of

Page 19: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

3

flash, and drives for the development of a new generation of NVM technologies. Among

the emerging NVM technologies, three are considered as the most promising candidates:

(1) Phase-change Memory (PCM): PCM utilizes the large resistivity contrast

between crystalline (low resistivity) and amorphous (high resistivity) phases of

the some chalcogenide materials to store information [6]. The operation of PCM

relies on the current-induced Joule heating which either brings the phase-change

material above the melting temperature to become amorphous, or between the

crystallization and melting temperatures to get crystallized.

(2) Spin-transfer-torque RAM (STTRAM): STTRAM stores information based

on the magnetization orientation of two ferromagnetic layers in a magnetic

tunnel junction (MTJ). The MTJ exhibits lower resistance when the two layers

have parallel magnetization, while anti-parallel magnetization leads to higher

resistance. The magnetization of one ferromagnetic layer (called the ‘free layer’)

can be switched by electron current which is spin-polarized by the other layer

(called the ‘fixed layer’) [7]. The switching direction is determined by the flow

direction of the spin-polarized current.

(3) Resistive RAM (RRAM) / Conductive-bridge RAM (CBRAM): Both RRAM

and CBRAM share the relatively simple device structure of metal-insulator-

metal (MIM). The resistance of the insulating layer can be switched by applying

electric field to move certain conductive species, such as oxygen vacancies in

oxide-based RRAM or metal ions in the case of CBRAM. The migration of these

conductive species either causes formation/rupture of conductive filaments or

modifies the interface barrier heights, resulting in the resistance change [8-11].

Page 20: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

4

These three NVM technologies are based on the different physical mechanisms and

all have the potential to deliver faster speed, lower power consumption, better endurance

and larger storage density compared to NAND flash. The programming energy vs. cell

area of these emerging NVMs reported in recent studies are summarized in Figure 1.2

(compiled by Wong et al. [12]). Compared to PCM, RRAM and STTRAM generally

have lower programming energy and smaller programming currents. On the other hand,

RRAM has simple processing steps and better scalability compared to STTRAM, less

vulnerable to the interface effects. Thus, RRAM technology has its unique advantages

in terms of memory density and power consumption.

Figure 1.2: Programming energies vs. cell areas of emerging non-volatile memory

technologies reported in recent studies [12].

100

101

102

103

104

105

106

107

10-2

10-1

100

101

102

103

104

105

106

107

RRAM CBRAM PCM STT RAM

Pro

gra

mm

ing E

nerg

y (

pJ)

Cell Area (nm2)

1 4 11 36 113 357 1128 3568

Equivalent Contact Diameter (nm)

Page 21: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

5

Figure 1.3 summarizes the growth of storage capacity in recent years for the

emerging NVM technologies as well as NAND flash. Compared to other technologies,

the rapid increase of RRAM’s capacity is a proof that it is a suitable candidate for mass-

storage applications.

Figure 1.3: The growth of memory capacities of the emerging NVM technologies, as

well as NAND flash [13].

Page 22: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

6

1.2 Resistive Random Access Memory (RRAM) Technology

In this thesis, we will focus on the RRAM technology. Figure 1.4(a) shows the

basic structure of this type of devices, while Figure 1.4(b) and Figure 1.4(c) depict the

I-V characteristics of two different operation modes [9]. The transition from a high-

resistance state (HRS) to a low-resistance state (LRS) is called SET, while the opposite

transition (from LRS to HRS) is called RESET. The switching mode is called unipolar

when the SET and RESET voltages have the same polarity. Similarly, the switching

mode is bipolar when they have opposite polarities. The RESET of unipolar switching

is dominated by the Joule heating effects, while that of bipolar switching is a combined

effort of both Joule heating and electric field. The former usually requires higher

currents and causes larger cycle-to-cycle variations. Thus, the bipolar switching mode

is more popular due to the lower power consumption and better endurance.

Figure 1.4: (a) Schematic of MIM structure for metal–oxide RRAM, and schematics of

metal-oxide memory’s I-V curves [9], showing two modes of operation: (b) unipolar

and (c) bipolar.

Page 23: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

7

As shown in Figure 1.5, a wide variety of metal oxides exhibit resistive switching

behaviors. Among various resistive-switching materials, certain metal oxides such as

HfO2 and TaOx (x≤2.5) demonstrate very attractive device performances, including fast

switching speed (< 10 ns), low operation current (< 50 μA), long endurance (up to 1012

cycles) and data retention (10 years @ 85°C) [12]. In this thesis, we focus on HfO2-

based RRAM due to its promising switching characteristics and CMOS compatibility.

Figure 1.5: Summary of the materials used for binary metal–oxide RRAM. Metals of

the corresponding binary oxides used for the switching layer are colored in yellow,

while metals used for the electrodes are colored in blue [9].

Currently, the research and development activities of RRAM technologies are very

active. Table 1.1 shows a selection of recently-published results which demonstrate the

highest performances achieved so far in one or more aspects of device characteristics.

Page 24: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

8

These parameters reflect the current boundaries of RRAM technology, and if combined

together, would make a unique and promising candidate for the universal memory [4].

Table 1.1: Summary of Best Performances Achieved by Metal-oxide RRAM [12]

Finally, we would like to point out some potential applications of RRAM

technology. As mentioned above, RRAM has been considered as a replacement of

NAND flash for non-volatile mass-storage. This application is currently pursued by

several companies such as Crossbar Inc. [14], Micron Technology [15] and SanDisk

[16]. A major challenge for the mass-storage application of RRAM is the sneak-path

current issue, which limits the maximum array size and integration density. In order to

Page 25: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

9

achieve large arrays, selector devices are required to suppress the leakage currents and

read/write disturbance to unselected devices [14]. Another important application of

RRAM is for embedded memory in micro-controllers, caches and internet-of-things

(IoT) devices. These embedded applications emphasize the power-consumption, access

speed and reliability as important performance indicators. Compared to conventional

technologies, RRAM has big advantages in these aspects. Another potential application

of RRAM is fueled by the recent revival of interests in the field of neuromorphic

computing [17]. In neuromorphic computing, hardware systems are built to mimic the

structure and behaviors of human brain, which consists of neurons and synapses. The

neurons process information and the synapses connect different neurons with different

strength to achieve the memory functionality. The compact dimension and low-power

operation of RRAM makes it an ideal candidate of artificial synaptic devices.

Page 26: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

10

1.3 Thesis Motivation and Contributions

One of the most interesting and controversial aspects of RRAM is the physical

mechanisms behind the resistive-switching phenomena. Several different models have

been proposed for various switching materials, such as the valency-change mechanism,

the thermo-chemical mechanism, the electronic mechanism, etc [10]. A lot of efforts

have been dedicated to the classification and experimental verification of these

mechanisms. Today, it is widely accepted that the resistive switching of HfO2 is realized

by the formation/rupture of a conductive filament consisting of oxygen vacancies. The

switching process is demonstrated in Figure 1.6(a). Initially, the pristine HfO2 layer

contains few vacancies and exhibits high resistance. After applying a forming voltage,

enough oxygen vacancies are created to connect the top and bottom electrodes (TE and

BE) to form a conductive filament. Now the device is said to be in LRS, or ON state.

During the subsequent RESET operation, the RESET voltage is applied to rupture the

filament partially or completely, so that the device returns to HRS, or OFF state. The

SET operation is similar to forming as it switches the device from HRS to LRS again,

but it typically requires smaller voltage since part of the filament still remains in the

switching layer.

Page 27: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

11

Figure 1.6: (a) Schematic of the filamentary switching process of metal-oxide RRAM;

(b) TEM images showing the conductive filament in TiO2-based RRAM [18]; (c) TEM

image showing the conductive filaments in Ag-based CBRAM [19].

Since the conductive filament plays a central role in the resistive switching process,

it is highly desired that their shapes and evolution can be observed directly. However,

this is extremely difficult because of their small dimension (<10 nm in diameter [18]),

fast switching transition (< 1 ns [12]) and the complicated nature of the filament. So far,

researchers have successfully observe the conductive filaments in TiO2-based RRAM

[18] and Ag-based CBRAM [19]. In TiO2, the oxygen vacancies will arrange

periodically to induce a transition into the sub-stoichiometric Magnéli phases [18],

Page 28: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

12

which is clearly seen in X-ray diffraction patterns. In Ag-based CBRAM, the Ag ions

have good contrast under TEM with the background dielectric. In the case of HfO2,

neither of these conditions is satisfied so the observation of conductive filaments

remains an unresolved challenge.

Apart from the fundamental physical understanding, the commercial application of

RRAM technology also faces a series of challenges including the variability of

switching parameters, the retention/endurance failures caused by the random nature of

filament formation, as well as the requirement for a high-voltage forming process and

high-current RESET process. In order to compete with NAND flash technology, it is

also highly desired to stack RRAM devices in a 3D architecture and develop MLC

capability to reduce the cost-per-bit. This thesis presents in-depth analysis of state-of-

the-art methods to tackle these challenges from three aspects: the materials, the device

structure, as well as the characterization techniques.

From the material’s perspective, doping technology of RRAM is investigated as an

approach to improve the performance of RRAM. Ab initio modeling and simulations

are applied to study the effects of dopant types, dopant concentrations, oxide phases,

and oxide stoichiometry on the electronic and thermodynamic properties of HfO2. The

physical insights derived from the calculations provide guidelines to achieve desirable

RRAM characteristics through doping.

In the aspect of characterization techniques, we present a systematic investigation

on the pulse-train characterization techniques for the multi-level control and in-depth

physical understanding of conductive filament evolution. By applying the pulse-train

Page 29: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

13

RESET scheme to a HfO2 RRAM with 3-bit potential, the relative standard deviations

of resistance levels are improved up to 80% compared to the single-pulse scheme. The

observed exponential relation between the saturated resistance and the pulse amplitude

provides evidence for the gap-formation model of the filament-rupture process.

From the device-structure point of view, we investigate the feasibility of ultra-thin

HfO2 RRAM, which helps to achieve the desirable forming-free property and low-

power operations. The theoretical scaling limit of HfOx thickness is first estimated using

density functional theory within the non-equilibrium Green’s function formalism. The

feasibility of 2-nm HfOx RRAM is predicted for large-area devices, and verified by

fabricating both planar and 3D vertical RRAM devices. The 3D ultra-thin devices

demonstrate promising characteristics including ON/OFF ratio (~100), switching speed

(~20 ns), endurance (108 cycles) and data retention (> 10 years at room temperature).

In contributing to these areas, this thesis aims at advancing both the fundamental

understanding and practical implementation of RRAM technology, towards the vision

of high-density mass-storage applications.

Page 30: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

14

1.4 Thesis Organization

This thesis addresses the key challenges of RRAM technology from the

perspectives of materials, device structure, characterization and architecture. It is

organized as follows:

Chapter 1 gives an overview of the background of this thesis, including a brief

introduction of the emerging non-volatile memory technologies, the basics of RRAM

and its physical mechanisms, the current status and challenges of RRAM technology,

as well as the broad motivations of the work presented in this thesis.

Chapter 2 discusses the doping technology is an important technique to improve

the performance of RRAM. Ab initio modeling is applied to thoroughly investigate the

effects of dopant types, dopant concentrations, oxide phases and oxide stoichiometry on

the electronic and thermodynamic properties of HfOx (x≈2). The physical insights

derived from the calculations provide guidelines to achieve desirable RRAM

characteristics through doping. Especially, the inconsistent observations regarding the

doping effects on forming voltages are explained by the variation of oxygen

stoichiometry.

Chapter 3 explores the pulse-train characterization techniques for RRAM, which is

capable of achieving more accurate control of conductive-filament evolution. By

applying the pulse-train technique to a potential 3-bit RRAM, the relative standard

deviations of resistance levels are significantly improved compared to the single-pulse

Page 31: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

15

scheme. The observed exponential relationship between the saturated resistance and the

pulse amplitude provides a more comprehensive understanding of the switching process.

Chapter 4 demonstrates the combination of ultra-thin HfOx (~2 nm) switching layer

and 3D vertical RRAM architecture as a promising strategy to achieve ultrahigh-density

RRAM array. The theoretical scaling limit of HfOx thickness is estimated for the first

time using density functional theory within the non-equilibrium Green’s function

formalism (NEGF-DFT). The feasibility of 2-nm HfOx RRAM predicted by the

calculations is then verified by fabricating both planar and 3D vertical RRAM devices

with 2-nm switching layers. The 3D ultra-thin RRAM demonstrates promising device

characteristics including sufficient ON/OFF ratio, fast switching speed, good endurance

and data retention.

Chapter 5 summarizes the major contributions of this thesis. The potential research

topics in the future are also discussed in this chapter.

Page 32: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

16

References

[1] ITRS, International Technology Working Groups, International Technology

Roadmap for Semiconductors, ITRS (2013).

[2] Y. Koh, “NAND Flash scaling beyond 20nm,” in IEEE International Memory

Workshop, 2009.

[3] J. Jang, H.-S. Kim, W. Cho, H. Cho, J. Kim, S. I. Shim, Y. Jang et al., “Vertical

cell array using TCAT ,Terabit Cell Array Transistor) technology for ultra high

density NAND flash memory”, in Symposium on VLSI Technology, pp. 192-193,

2009.

[4] J. Elliott, E. S. Jung, “Ushering in the 3D memory era with V-NAND,” Flash

Memory Summit, August 2013.

[5] J. Åkerman, “Toward a universal memory,” Science, vol. 308, pp. 508-510, 2005.

[6] H.-S. P. Wong, S. Raoux, S.-B. Kim, J. Liang, J. P. Reifenberg, B. Rajendran, M.

Asheghi, K. E. Goodson, “Phase change memory,” Proceedings of the IEEE, vol.

98, pp. 2201-2227, 2010.

[7] Y. Huai, “Spin-transfer torque MRAM ,STT-MRAM, Challenges and prospects,”

AAPPS Bulletin, vol. 18, pp. 33-40, 2008.

[8] H.-S. P. Wong, H.-Y. Lee, S. Yu, Y.-S. Chen, Y. Wu, P.-S. Chen, B. Lee, F. T.

Chen, M.-J. Tsai, “Metal-oxide RRAM,” Proceedings of the IEEE, vol. 100, pp.

1951-1970, 2012.

[9] R. Waser, R. Dittmann, G. Staikov, K. Szot, “Redox‐based resistive switching

memories-nanoionic mechanisms, prospects, and challenges,” Advanced

Materials, vol. 21, 2632-2663, 2009.

Page 33: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

17

[10] R. Waser, M. Aono, “Nanoionics-based resistive switching memories,” Nature

Materials, vol. 6, pp. 833-840, 2007.

[11] H. Akinaga, H. Shima, “Resistive random access memory (ReRAM) based on

metal oxides,” Proceedings of the IEEE, vol. 98, pp. 2237-2251,2010.

[12] H.-S. P. Wong, C. Ahn, J. Cao, H.-Y. Chen, S. W. Fong, Z. Jiang, C. Neumann, S.

Qin, J. Sohn, Y. Wu, S. Yu,and X. Zheng, “Stanford Memory Trends,”

https://nano.stanford.edu/stanford-memory-trends, accessed June 3, 2015.

[13] K. Smith, A. Wang, L. C. Fujino, “Through the looking glass? part 2 of 2: Trend

tracking for isscc 2013,” IEEE Solid-State Circuits Magazine, vol. 5, pp. 33-43,

2013.

[14] S.-H. Jo, T. Kumar, S. Narayanan, W. D. Lu, H. Nazarian, “3D-stackable crossbar

resistive memory based on field assisted superlinear threshold (FAST) selector,”

in IEEE International Electron Devices Meeting (IEDM), 2014.

[15] R. Fackenthal, M. Kitagawa, W. Otsuka, K. Prall, D. Mills, K. Tsutsui, J.

Javanifard et al., “19.7 A 16Gb ReRAM with 200MB/s write and 1GB/s read in

27nm technology,” in IEEE International Solid-State Circuits Conference (ISSCC)

Digest of Technical Papers, pp. 338-339, 2014.

[16] T.-Y. Liu, T. H. Yan, R. Scheuerlein, Y. Chen, J. K. Lee, G. Balakrishnan, G. Yee

et al., “A 130.7-2-Layer 32-Gb ReRAM memory device in24-nm

technology,” IEEE Journal of Solid-State Circuits, vol. 49, pp. 140-153, 2014.

[17] S. Yu, Y. Wu, R. Jeyasingh, D. Kuzum, H.-S. P. Wong, “An electronic synapse

device based on metal oxide resistive switching memory for neuromorphic

Page 34: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

18

computation,” IEEE Transactions on Electron Devices, vol. 58, pp. 2729-2737,

2011.

[18] D.-H. Kwon, K. M. Kim, J. H. Jang, J. M. Jeon, M. H. Lee, G. H. Kim, X.-S. Li et

al., “Atomic structure of conducting nanofilaments in TiO2 resistive switching

memory,” Nature Nanotechnology, vol. 5, pp. 148-153, 2010.

[19] Y. Yang, P. Gao, S. Gaba, T. Chang, X. Pan, W. Lu, “Observation of conducting

filament growth in nanoscale resistive memories,” Nature Communications, vol.

3, pp. 732, 2012.

Page 35: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

19

Chapter 2 : Doping Effects on the Resistive Switching of HfO2 and Its Implications to RRAM Device Design

Doping technology is an important class of techniques to improve the performance

of RRAM. The physical mechanisms behind the doping effects in hafnium oxide are yet

to be fully understood. In this chapter, we apply ab initio modeling to study the effects

of dopant types, dopant concentrations, oxide phases and oxide stoichiometry on the

electronic and thermodynamic properties of HfOx (x ≈ 2). The physical insights derived

from the calculations provide guidelines to achieve desirable RRAM characteristics

through doping. Especially, the inconsistent observations regarding the doping effects

on forming voltages are explained by the variation of oxygen stoichiometry. In the case

of Al dopants, only non-stoichiometric oxide will activate the doping effects and result

in significant reduction of forming voltages.

Page 36: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

20

2.1 Motivations of Studying Doping Effects on the Resistive Switching Process

Depending on the application, today’s RRAM technology is facing a series of

challenges including the requirements for high endurance, good retention, sufficient

memory window and low power consumption [1]. Moreover, it is highly desirable to

have flexible control of device characteristics to provide large design space and

advanced functionality such as gradual switching and multi-level switching capability.

These requirements are often contradictive in nature, and highly dependent on the

intrinsic properties of the switching material.

Although a large variety of materials exhibited resistive switching phenomena [1],

the performance gap between their switching characteristics are often significant. Over

time, research and development efforts have gradually converged to a few materials that

show promising performances without significant drawbacks, such as HfO2 and TaOx

(x ≤ 2) [2]. It is often impractical to keep trying new material systems for better

performance (except for multi-layer structures in which one might consider additional

layers for better oxygen-gettering and/or improved quality of the oxide-electrode

interfaces).

On the other hand, the potential to improve intrinsic switching properties is limited,

subject to trade-offs and process restrictions. For example, trade-offs have been

observed between the retention and the endurance of oxide-based RRAM [3], as well as

between the retention and power consumption [4]. With popular deposition methods

such as ALD and reactive sputtering, it is often difficult to modulate the stoichiometry

Page 37: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

21

of the deposited film, requiring a lot of calibration and fine-tuning to improve the

switching characteristics.

Under these premises, doping technology emerged as an attractive approach to

further improve RRAM performances. As described in Chapter 1, the resistive

switching phenomena of HfO2 can be attributed to the growth and rupture of nanoscale

filaments which consist of oxygen vacancies. Since dopants strongly affect the

thermodynamic stabilities of these defects, they have the potential to significantly

modulate the switching characteristics. Many experiments have shown that doping the

metal-oxide switching layer can improve RRAM characteristics [5-9]. For example,

Gao et al. [5] and Zhang et al. [6] found that doping Al or Gd into HfO2 can improve

the uniformity of switching parameters. Chen et al. [7] found that the switching voltages,

endurance and retention characteristics of HfO2 RRAM can all be tailored by adding

dopants such as Al, Ti and Si. A very desirable property of RRAM devices is the

elimination of the high-voltage forming process which poses challenge to device testing

and circuit design. As shown by Fang et al. [8] and Wang et al. [9], this can also be

achieved by doping.

In contrast to the adoption of a new material, adding dopants to the switching layer

allows finer control and greater flexibility through the selection of dopant type and

concentration. When the dopant concentration approaches zero, the device

characteristics return to the original state of the pristine materials. This kind of fine-

tuning capability is highly desired, because it preserves the favorable properties of the

host material while searching for even better performances.

Page 38: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

22

2.2 Ab Initio Modeling of the Resistive Switching Mechanisms of HfO2

Depending on the fabrication processes, a wide variety of elements can be

incorporated into HfO2 as dopants [5-9]. The doping effects on RRAM characteristics

are even more complicated, affected by many parameters including the dopant

concentration as well as the phase and stoichiometry of HfO2. It is impractical to

experiment with each conditions to search for the best scenario. Alternatively, ab initio

modeling is used as a computational tool to provide physical insights and speed up the

optimization of doping conditions.

The major advantages of ab initio modeling include:

(1) Direct reflection of the above-mentioned parameters (dopant type and

concentration, phase and stoichiometry of HfO2) in the atomistic model;

(2) Accurate prediction of the electronic structures and thermodynamic stability of

the systems with defects (i.e. oxygen vacancies).

Nowadays, the rapidly-increasing computing power allows efficient ab initio

simulations of reasonably large systems, enabling us to do ‘virtual experiments’ on

many doping conditions without actually fabricating those materials. In particular,

density functional theory (DFT) calculations are applied which is a computationally-

manageable approach to solving the Schrödinger equation of many-body systems.

In this chapter, all DFT calculations presented are based on the local-density

approximation (LDA) and the projector-augmented wave (PAW) methods,

Page 39: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

23

implemented by the Vienna Ab initio Simulation Package (VASP) [10-13]. Due to the

limitations of LDA [14], on-site Coulomb corrections are applied to both the d-orbital

of Hf (Ud) and p-orbital of O (Up). The Ud and Up parameters were derived using a

similar approach as in the previous study of TiO2 [15]. As summarized in Table 2.1,

this set of parameters accurately reproduced the lattice constants and electronic

bandgaps of HfO2 in three different phases.

During the simulations, 2×2×2 Monkhorst-Pack grid were selected for each

supercell. PAW-based pseudopotentials were selected to explicitly calculate O 2s22p4

and Hf 5p66s25d2 states as valence electrons, with an energy cutoff of 353eV. The

electronic self-consistency was achieved with an energy convergence tolerance of 10-6

eV. And the ground states were obtained by minimizing the net forces on each atom to

be smaller than 0.005 eV/Å.

For the studies of resistive switching phenomena, we are particularly interested in

the electronic and thermodynamic properties of oxygen vacancies which act as the

building blocks of conductive filaments [16]. The electronic densities of states (DOS)

reflect the conduction behaviors of the system. As shown in Figure 2.1, the electron

DOS of the pristine HfO2 in the monoclinic phase and HfO2 with a single oxygen

vacancy is calculated and compared. When the oxygen vacancy is present, defect states

are formed both at the conduction band edge and in the midgap. These defects are

localized in nature but can contribute excess electrons to the conduction band.

Page 40: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

24

Table 2.1: Lattice Constants and Bandgap of HfO2 by DFT Calculations and

Experiments

Page 41: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

25

Figure 2.1: (a) 3×3×2 supercell of monoclinic HfO2. The positions of 3-fold and 4-fold

oxygen vacancies (VO) are outlined. (b) Electron density of states (DOS) of the supercell

in (a), without VO; (c) Electron density of states (DOS) of the supercell in (a), with one

3-fold VO; (d) Electron density of states (DOS) of the supercell in (a), with one 4-fold

VO.

Page 42: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

26

On the other hand, when a chain of oxygen vacancies are introduced into

monoclinic HfO2 (Figure 2.2(a)), the defect states are delocalized to form a conduction

band in the bandgap (Figure 2.2(b)). Spatially, the electronic wavefunction of the defect

states forms a delocalized path along the vacancy chain, which corresponds to the

conductive filament (Figure 2.2(c)).

Figure 2.2: (a) Schematic of the conductive filament, modeled as a chain of oxygen

vacancies in monoclinic HfO2; (b) Site-projected (top) and total electron DOS (bottom)

at the presence of the conductive filament. The site projected DOS is calculated for a

Hf atom right next to the filament; (c) Partial charge densities of the conductive filament,

calculated by taking the integration of electron DOS in the bandgap. The iso-surface

corresponds to the electron density of 0.1e/Å3.

Page 43: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

27

The thermodynamic stabilities of oxygen vacancies are closely related to RRAM

characteristics such as forming voltage and retention time. For example, we can

associate the macroscopic forming voltage with the microscopic formation energy (Eform)

of the oxygen vacancy (VO) [17]:

Eform = Etot,Vo - Etot,0 + µO (2-1)

Here Etot,0 and Etot,Vo represent the total energies of the relaxed supercell before and

after the introduction of an oxygen vacancy. µO is the oxygen chemical potential, which

has been calculated to be -5.16 eV at atmospheric pressure and room temperature [18].

At thermal equilibrium, the concentration of defects has an exponential dependence on

the formation energy:

exp( )form

site

Ec N

kT (2-2)

Here Nsite is number of possible sites per unit volume that an oxygen vacancy can

form. In order to create a stable conductive path that has certain vacancy concentration,

the electric field must reduce the formation energy so that the equilibrium vacancy

concentration is increased:

CF exp( )f

site

E qFdc N

kT

(2-3)

Here F is the electric field and d is the average distance of oxygen migration during

the forming process. Take 5-nm HfO2 as an example, we can assume d = 2.5 nm. If the

forming voltage is 5 V, then the formation energy reduction is about 2.5 eV. Although

Page 44: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

28

this equation only holds at quasi-equilibrium condition, it provides an order-of-

magnitude estimation for the relationship between the forming voltage and formation

energy.

Based on these physical insights, we may conclude that the electron DOS and

formation energies bridge the conduction/switching characteristics of RRAM devices

with the atomistic-level details of the switching materials. In the next sections, their

combinations are consistently applied to evaluate different doping conditions.

Page 45: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

29

2.3 Selection of Dopant Types for HfO2-based RRAM

So far, a wide variety of dopants have been investigated experimentally for HfO2-

based RRAM, including Al, Ti, Si, Zr, Gd, Mg, etc [5-9,19]. Most studies have focused

on a single type of dopant, making it hard to compare with other studies due to the

unique device configuration and fabrication processes. There is a lot of ambiguity

regarding the choice of dopant types for better RRAM characteristics.

In this part, we use DFT calculations to study and compare the effects of 12

different dopants from various columns of the periodic table. All the simulations are

carried out in a 3×3×2 supercell of monoclinic HfO2 with 216 atoms, in which dopants

and oxygen vacancies can be introduced. Simulated under the same conditions, their

impact on the electronic and thermodynamic properties of HfO2 can be compared on a

fair basis.

First of all, the effects of all dopants on a single oxygen vacancy were studied by

placing one dopant atom next to the oxygen vacancy, substituting one of the nearest-

neighbor Hf atom (Figure 2.3(a)). Figure 2.3(b) shows the resulting formation energies

by each dopant. Depending on their valence electron numbers, the dopants can be

classified into Hf-like (Zr, Si, Ti), P-type (Sr, Al, Y, La, Gd) and N-type (Ta, W, Ni, Cu)

dopants.

Page 46: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

30

Figure 2.3: (a) Schematic of the 3×3×2 supercell of monoclinic HfO2 with the presence

of oxygen vacancy and/or dopant; (b) The oxygen-vacancy formation energies of the

supercell depicted in (a), calculated with 2 types of oxygen vacancies and 12 different

types of dopant.

Page 47: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

31

Hf-like dopants has the same valence electron numbers as Hf (6s25d2, or valence

electrons). Similar to the doping of MOSEFTs, P-type dopants have less valence

electrons than Hf and N-type dopants have more valence electrons Hf.

The calculation results show that Hf-like dopants slightly decrease the vacancy

formation energy due to lattice distortion. Interestingly, both P- and N-type dopants

decrease the formation energy considerably as compared to Hf-like dopants. To the first

order, the trend of formation-energy change can be summarized by a valence-electron-

based rule: larger difference between the valence electron numbers of the dopant and

Hf atoms leads to larger reduction in VO formation energy. This rule is a reflection of

the Coulomb effects of the excess carriers contributed by the dopants. An exception of

the valence-electron-based rule is the Ti dopant, which lowers the vacancy formation

energy even more than Ta and W. We attribute this phenomenon to the fact that Ti4+

can be easily reduced to Ti3+ in an oxide environment, making Ti dopants similar to a

P-type dopant. On the other hand, TaO2 and WO2 exist very commonly, suggesting

stable Ta4+/W4+ which is similar to a Hf-like dopant.

Secondly, the effects of dopants on the thermodynamic stability of conductive

filaments are studied. The conductive filament is modeled as chain of oxygen vacancies,

in the same way as described in section 2.2. One or two dopants are introduced near the

filament, again as substitution of nearest-neighbor Hf atoms. Figure 2.4 shows the

formation energy of oxygen vacancies in a filament with one dopant, with the undoped

case as a reference. The same trend as the single-vacancy model is observed, which can

also be accounted for by the valence-electron-based rule.

Page 48: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

32

Figure 2.4: (a) Schematic of the 3×3×2 supercell of monoclinic HfO2 with the

conductive filament and one dopant next to it; (b) The oxygen-vacancy formation

energies of the supercell depicted in (a), calculated with for 10 different types of dopant.

Page 49: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

33

Figure 2.5: Schematics of the site-projected electron DOS on the dopant (top), as well

as the total DOS of the filament with one dopant next to it (bottom), for 6 types of

dopants (Al, Si, Zr, Ta, W and Ni).

Next, the effects of dopants on the electronic structures of the conductive filaments

are further investigated. Figure 2.5 shows the total and dopant-projected electron DOS,

as well as partial charge densities of the filament with 5 selected dopants. The projected

DOS of Zr, Ta, W and Ni show a consistent trend: the induced defect states are shifted

to lower energy when valence electron number is increased. Zr, Ta and W do not disrupt

the filament conduction, but Si and Ni do, which is the consequence of defect state

localization at the dopant site. P-type dopants (Al) also disrupt the filament by charge

transfer, but the excess electrons are now delocalized into lower bands and with energies

Page 50: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

34

not in the bandgap. Therefore, we may conclude that dopants either shift excess

electrons to lower levels in the bandgap, or transfer them into lower electronic bands.

In summary, we have identified the valence electron number and the ionic radius as

important factors governing the doping effects on RRAM. Based on these observations,

some guidelines can be provided to achieve effective doping:

(1) Hf-like dopants (such as Zr, Si, Ta, W, etc.) cause moderate reduction of

formation energies without significantly changing the film’s electronic

properties. Thus, these dopants are ideal candidates for fine-tuning the switching

characteristics while avoiding the degradation of already-optimized pristine

HfO2. Applications of these dopants may include reducing the forming voltage

and increasing the amorphization temperature of HfO2 [20]. The latter is

desirable because it will keep the switching layer in the amorphous state for

better uniformity and reliability. Moreover, these dopants can be incorporated

into HfO2 up to a high concentration, since their corresponding oxides also

exhibit switching behaviors.

(2) Weak P- and N-type dopants (such as Al, La, Gd, Y, etc.) induce larger reduction

of formation energy compared to Hf-like dopants, which helps to achieve

forming-free devices. These dopants are very likely to preserve the switching

capability of HfO2, as shown in the cases of Al- and La-doped HfO2 [5]. The

dopants act as ‘anchors’ of the oxygen vacancies which lead to more stable

filaments and better retention [5-7]. Also, the growth/rupture of the filament is

more likely to occur near the dopants. This kind of spatial confinement makes

Page 51: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

35

the switching process more reproducible and the switching endurance may be

improved. The drawback is that the ON/OFF ratio may be compromised due to

higher carrier concentration and larger leakage current in the OFF state.

(3) Strong P- and N-type dopants (such as Sr, Ni, Cu, etc) are more likely to induce

substantial change of switching parameters and even the collapse of memory

functionality. Because these dopants generate large amounts of charge carriers

as well as oxygen vacancies. At high doping concentrations, the switching layers

are likely to become too conductive to switch. Even at lower concentrations, the

random distributions of these dopants may result in large device-to-device

variations due to their significant impact on the vacancies. Thus, these dopants

are not recommended for the commercial application of RRAM technology.

Page 52: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

36

2.4 Effects of Oxide Phases, Stoichiometry and Dopant Concentrations on RRAM Characteristics

The previous section addressed the effects of dopant types on RRAM

characteristics. However, according to various experiments on doped-HfOx RRAM,

contradicting results regarding the doping effects have been observed, even for the same

type of dopants [5,7,21-24]. For example, when Al were doped into HfOx through

various fabrication processes (such as co-oxidation [5], co-sputtering [21] and ion

implantation [22]), significant reduction of forming voltages were observed. However,

in the case of ALD-based processes, the forming voltage was unchanged/increased,

even at similar doping concentration of Al [7,24]. Since forming plays a crucial role in

RRAM characteristics, it is highly desired that such discrepancies can be understood in

order to achieve better performances through doping.

Previous analyses have not arrived at a clear explanation, partly due to the fact that

the direct observation of conductive filaments in HfOx is extremely difficult. On the

other hand, theoretical studies of the doping effects have mostly focused on a single

crystalline phase with a particular type of dopant [21,24-25], which cannot explain the

contradicting results either. In this section, we propose three factors that potentially

cause the observed discrepancy in terms of forming voltages, and apply DFT

calculations to examine these factors one by one:

(1) The phase of HfO2: A variety of HfO2 phases can be stabilized under different

process conditions, such as monoclinic, orthorhombic, cubic as well as

amorphous [20,26-28].

Page 53: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

37

(2) The stoichiometry of HfO2: Different fabrication methods often lead to different

stoichiometry of HfO2. Non-stoichiometry causes significant change of material

properties such as bandgap and resistivity [29].

(3) Dopant concentration: In most cases, the doping process has the ability to

continuously modify the dopant concentration. This is a very useful feature for

controlling of doping effects. Moreover, varying doping concentration also

facilitates changes in the phase and stoichiometry of HfO2 [20,26-29].

In the previous section, dopants with similar valence-electron numbers as Hf were

predicted to have more controllable doping effects. Following this criteria, three types

of dopants (Al, Ti and Si) were selected for the study of above-mentioned factors and

their effects on forming.

2.4.1 Effects of Oxide Phases

Both crystalline (monoclinic, orthorhombic and cubic) and amorphous phases of

HfO2 are investigated for a comprehensive understanding (Figure 2.6(a)). The initial

lattice parameters of the three crystalline phases were obtained from X-ray diffraction

data [30] and relaxed by DFT calculations. As for the amorphous model, the atomic

positions are obtained by a melt-and-quench technique that employed classical

molecular dynamics, MD, annealing followed by DFT relaxation of the classical model

[31]. Each model of pristine HfO2 has a supercell containing 96 atoms (32 Hf atoms and

64 O atoms). After relaxing the pristine models, dopants were then added by replacing

Page 54: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

38

Hf atoms, followed by the removal of oxygen atoms to create vacancies. Finally, the

formation energies of oxygen vacancies are calculated which shed light on the

corresponding forming characteristics. For monoclinic and orthorhombic HfO2, oxygen

vacancies at two non-equivalent sites are created to calculate their formation energies.

For cubic HfO2, all oxygen atoms are equivalent so we only need to study one of them.

Figure 2.6(b) compares the formation energies in the three crystalline phases. In

terms of formation-energy reduction, the dopants’ effects are quantitatively similar in

monoclinic and orthorhombic HfO2, which can be sorted as: Al > Ti > Si. On the other

hand, the formation-energy reduction by Al and Si in cubic HfO2 is notably larger than

its counterparts in other phases. This observation can be explained by the denser atomic

arrangement in the cubic phase compared to monoclinic and orthorhombic phases,

which amplifies the doping effects through larger lattice distortion.

Amorphous HfO2 is a quite different story compared to crystalline phases. The

oxygen atoms are no longer equivalent to each other. Thus, the vacancy formation

energies have a continuous distribution instead of showing discrete values. As shown in

Figure 2.6(c), the Si dopant slightly decreases the vacancy formation energies

compared to the pristine HfO2. The Ti dopant has a stronger impact on the formation

energy, with 40% of all oxygen sites showing a formation-energy reduction of more

than 1 eV. The Al dopant reduces the vacancy formation energies in amorphous HfO2

even further. This trend of formation-energy reduction (Al > Ti > Si) is consistent with

the calculation results of the crystalline models.

Page 55: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

39

Figure 2.6: (a) Atomic models for HfO2 in monoclinic, cubic, orthorhombic and

amorphous phases. (b) Formation energies of oxygen vacancy in pristine and doped

HfO2, in three different crystalline phases. (c) Distributions of the formation energies of

oxygen vacancies in amorphous HfO2, either pristine or doped with three types of

dopants (Al, Ti and Si).

From these results, we can confirm that phase transitions of HfO2 strongly affect

the lowering of the vacancy-formation energy by dopants. However, it still cannot

explain the contradicting observations regarding forming voltages.

Page 56: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

40

2.4.2 Effects of Oxide Stoichiometry

Next, we investigate the effects of oxygen stoichiometry on vacancy-formation,

using the amorphous HfO2 model and the Al dopant as an example. We focus on the

amorphous model because doping can facilitate the amorphization of HfO2. And unlike

TiO2 which can transform into sub-stoichiometric Magnéli phases [32], HfO2 typically

stays amorphous at significant non-stoichiometry [29]. In addition, Al is chosen for two

reasons:

(1) It has been reported to induce inconsistent effects on forming voltages;

(2) Among the 3 types of dopants studied in the previous section, Al is the only one

that has a different valence electron number from Hf. This will change the oxide

stoichiometry since Al can only be oxidized to 3+ instead of 4+ in the case of

Hf. Replacing one Hf atom by one Al atom will add 0.5 excess oxygen to the

system as compared to the pristine state.

Figure 2.7(a) depicts three supercells created from the amorphous HfO2 model,

each representing a different stoichiometry: (1) Oxygen-excess: 1 Al, 0 VO; (2)

Stoichiometric: 2 Al, 1 VO; (3) Oxygen-deficient: 1 Al, 1 VO. Here the numbers before

Al and VO correspond to the difference of each species from the amorphous HfO2 model

(undoped). The electron density of states (DOS) of the three atomic models is presented

in Figure 2.7(b), along with the DOS of pristine HfO2. When non-stoichiometry is

present in the system, defect states can be observed in the bandgap, regardless of

whether it’s oxygen-excess or oxygen-deficient. On the other hand, defect state is not

Page 57: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

41

observed in the bandgap of the stoichiometric model. These results agree well with the

observed bandgap reduction of HfO2 induced by non-stoichiometry [29].

The formation-energy distributions of oxygen vacancies under different

stoichiometric conditions are calculated by DFT and shown in Figure 2.7(c). Compared

to pristine HfO2, the oxygen vacancy formation energies in both the oxygen-excess and

oxygen-deficient models are significantly reduced by Al dopants. This observation

helps to explain the considerable decrease of forming voltages in Al-doped HfOx

fabricated by co-oxidization, co-sputtering or ion implantation, since non-stoichiometry

is very likely to be introduced in these processes. In contrast, the formation energies of

the stoichiometric model have a distribution that almost overlaps with the pristine HfO2,

which indicates the formation energies are not reduced. In other words, non-

stoichiometry activates the dopants to reduce the forming voltages of HfO2 RRAM. This

is an important observation because it provides a reasonable explanation to the increase

of forming voltages observed in Al-doped HfO2 grown by ALD. Since HfO2 and Al2O3

are grown separately in this kind of layer-by-layer doping processes, the stoichiometry

in the oxide is usually well preserved. Since Al dopants in stoichiometric HfO2 do not

reduce the vacancy formation energies, it is expected that the forming voltage is not

visibly reduced.

In summary, the effects of oxide stoichiometry on the doping effects provide a

possible answer to the inconsistent observations regarding the forming voltages of Al-

doped HfOx RRAM. Stoichiometry is identified as a critical factor to be monitored

during the doping processes of RRAM.

Page 58: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

42

Figure 2.7: (a) Atomic models of amorphous Al-doped HfOx under three different

stoichiometric conditions. (b) Electron density of states (DOS) of the three atomic

models shown in (a), calculated by DFT. Electron DOS of pristine HfO2 is plotted as a

reference. (c) Distributions of oxygen-vacancy formation energies in the three atomic

models shown in (a).

2.4.3 Effects of Dopant Concentration

Finally, we study the effects of dopant concentrations by varying the number of

dopant atoms in the supercell. Supercells with 1, 6 and 14 dopants are constructed

(Figure 2.8(a)), which corresponds to the dopant concentrations of 3%, 18% and 45%,

Page 59: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

43

respectively. In the case of Al dopants, excess oxygen is removed from the system to

preserve the stoichiometry, followed by volume/lattice relaxations. Figures 2.8(b-d)

show the distributions of oxygen-vacancy formation energies in Al-, Ti- and Si-doped

HfO2, respectively. For Al dopants up to 40% concentration, no significant change of

formation energies can be observed. In the case of both Ti-doped and Si-doped HfO2, a

larger reduction of formation energy is obtained at higher doping concentration. In

addition to formation-energy, both the phase and stoichiometry of HfO2 can be adjusted

by changing the dopant concentrations [20,26-29]. The control of dopant concentration

is thus identified as a useful vehicle for tuning RRAM characteristics, in addition to the

selection of the type of dopant.

Page 60: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

44

Figure 2.8: (a) Atomic models of amorphous HfOx, undoped or doped with three

different dopant concentrations. The Hf, O and dopant atoms are labeled in the same

way as Figure 2.7(a). (b-d) Distributions of the oxygen-vacancy formation energies in

(b) Al-doped HfOx, (c) Ti-doped HfO2 and (d) Si-doped HfO2, calculated at three

different dopant concentrations (3%, 18% and 45%).

Page 61: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

45

References

[1] H.-S. P. Wong, H.-Y. Lee, S. Yu, Y.-S. Chen, Y. Wu, P.-S. Chen, B. Lee, F. T.

Chen, M.-J. Tsai, “Metal–oxide RRAM,” Proceedings of the IEEE, vol. 100, pp.

1951-1970, 2012.

[2] H.-S. P. Wong, C. Ahn, J. Cao, H.-Y. Chen, S. W. Fong, Z. Jiang, C. Neumann, S.

Qin, J. Sohn, Y. Wu, S. Yu,and X. Zheng, “Stanford Memory Trends,”

https://nano.stanford.edu/stanford-memory-trends, accessed June 3, 2015.

[3] Y. Y. Chen, L. Goux, S. Clima, B. Govoreanu, R. Degraeve, G. S. Kar, A. Fantini,

G. Groeseneken, D. J. Wouters, M. Jurczak, “Endurance/retention trade-off on cap

1T1R bipolar RRAM,” IEEE Transactions on Electron Devices, vol. 60, pp. 1114-

1121, 2013.

[4] D. Ielmini, F. Nardi, C. Cagli, A. L. Lacaita, “Trade-off between data retention

and reset in NiO RRAMs,” in IEEE International Reliability Physics Symposium

(IRPS), pp. 620-626, 2010.

[5] B. Gao, H. W. Zhang, S. Yu, B. Sun, L. F. Liu, X. Y. Liu, Y. Wang, R. Q. Han, J.

F. Kang, B. Yu, Y. Y. Wang, “Oxide-based RRAM: Uniformity improvement

using a new material-oriented methodology,” in Symposium on VLSI Technology,

pp. 30–31, 2009.

[6] H. Zhang, L. Liu, B. Gao, Y. Qiu, X. Liu, J. Lu, R. Han, J. Kang, B. Yu, “Gd-

doping effect on performance of HfO2 based resistive switching memory devices

using implantation approach,” Applied Physics Letters, vol. 98, pp. 042105, 2011.

[7] Y. Y. Chen, R. Roelofs, A. Redolfi, R. Degraeve, D. Crotti, A. Fantini, S. Clima,

B. Govoreanu, et al., “Tailoring switching and endurance/retention reliability

Page 62: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

46

characteristics of HfO 2/Hf RRAM with Ti, Al, Si dopants,” in Symposium on

VLSI Technology, 2014.

[8] Z. Fang, H. Y. Yu, X. Li, N. Singh, G. Q. Lo, D. L. Kwong, “Multilayer-based

forming-free RRAM devices with excellent uniformity,” IEEE Electron Device

Letters, vol. 32, pp. 566-568, 2011.

[9] Z. Wang, W. G. Zhu, A. Y. Du, L. Wu, Z. Fang, X. A. Tran, W. J. Liu, K. L. Zhang,

H-Y. Yu, “Highly uniform, self-compliance, and forming-free ALD-based RRAM

with Ge doping,” IEEE Transactions on Electron Devices, vol. 59, pp. 1203-1208,

2012.

[10] G. Kresse, J. Hafner, “Ab initio molecular dynamics for liquid metals,” Physical

Review B, vol. 47, pp. 558, 1993.

[11] G. Kresse, J. Hafner, “Ab initio molecular-dynamics simulation of the liquid-

metal-amorphous-semiconductor transition in germanium,” Physical Review B,

vol. 49, pp.14251, 1994.

[12] G. Kresse, J. Furthmüller, “Efficiency of ab-initio total energy calculations for

metals and semiconductors using a plane-wave basis set,” Computational

Materials Science, vol. 6, pp. 15, 1996.

[13] G. Kresse, J. Furthmüller, “Efficient iterative schemes for ab initio total-energy

calculations using a plane-wave basis set,” Physical Review B, vol. 54, pp. 11169,

1996.

[14] V. I. Anisimov, F. Aryasetiawan, A. I. Lichtenstein, “First-principles calculations

of the electronic structure and spectra of strongly correlated systems: the LDA+U

method,” Journal of Physics: Condensed Matter, vol. 9, pp. 767, 1997.

Page 63: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

47

[15] S.-G. Park, B. Magyari-Köpe, Yoshio Nishi, “Electronic correlation effects in

reduced rutile TiO2 within the LDA+ U method,” Physical Review B, vol. 82, pp.

115109, 2010.

[16] S.-G. Park, B. Magyari-Köpe, Y. Nishi, “Impact of oxygen vacancy ordering on

the formation of a conductive filament in for resistive switching memory,” IEEE

Electron Device Letters, vol. 32, pp. 197-199, 2011.

[17] C. G. Van de Walle, J. Neugebauer, ”First-principles calculations for defects and

impurities: Applications to III-nitrides,” Journal of Applied Physics, vol. 95, pp.

3851-3879, 2004.

[18] A.Samanta, E. Weinan, S. B. Zhang, “Method for defect stability diagram from ab

initio calculations: A case study of SrTiO3,” Physical Review B, vol. 86, pp.

195107, 2012.

[19] B. M. Long, S. Mandal, J. Livecchi, R. Jha, “Effects of Mg-doping on HfO2-based

ReRAM device switching characteristics,” IEEE Electron Device Letters, vol. 34,

pp. 1247-1249, 2013.

[20] Y. W. Yoo, W. Jeon, W. Lee, C. H. An, S. K. Kim, C. S. Hwang, “Structure and

electrical properties of Al-doped HfO2 and ZrO2 films grown via atomic layer

deposition on Mo electrodes,” ACS Applied Materials & Interfaces, vol. 6, pp.

22474-22482, 2014.

[21] L. Zhao, S. W. Ryu, A. Hazeghi, D. Duncan, B. Magyari-Köpe, Y. Nishi, “Dopant

selection rules for extrinsic tunability of HfOx RRAM characteristics: A

systematic study,” in Symposium on VLSI Technology, pp. 106-107, 2013.

Page 64: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

48

[22] H. Xie, M. Wang, P. Kurunczi, Y. Erokhin, Q. Liu, H. Lv, Y. Li, S. Long, S. Liu,

M. Liu, “Resistive switching properties of HfO2-based ReRAM with implanted

Si/Al ions,” in AIP Conference Proceedings - American Institute of Physics, vol.

1496, pp. 26. 2012.

[23] Y. S. Chen, B. Chen, B. Gao, L. F. Liu, X. Y. Liu, J. F. Kang, “Well controlled

multiple resistive switching states in the Al local doped HfO2 resistive random

access memory device,” Journal of Applied Physics, vol. 113, pp. 164507, 2013.

[24] B. Traore, P. Blaise, E. Vianello, H. Grampeix, A. Bonnevialle, E. Jalaguier, G.

Molas, S. Jeannot, L. Perniola, B. DeSalvo, Y. Nishi, “Microscopic understanding

of the low resistance state retention in HfO2 and HfAlO based RRAM,” in IEEE

International Electron Devices Meeting (IEDM), pp. 21-5, 2014.

[25] H. Zhang, B. Gao, S. Yu, L. Lai, L. Zeng, B. Sun, L. Liu, X. Liu, J. Lu, R. Han, J.

Kang, “Effects of ionic doping on the behaviors of oxygen vacancies in HfO2 and

ZrO2: A first principles study,” in IEEE International Conference on Simulation

of Semiconductor Processes and Devices (SISPAD), 2009.

[26] S. Mueller, J. Mueller, A. Singh, S. Riedel, J. Sundqvist, U. Schroeder, T.

Mikolajick, “Incipient ferroelectricity in Al‐doped HfO2 thin films,” Advanced

Functional Materials, vol. 22, pp. 2412-2417, 2012.

[27] L. Zhao, M. Nelson, H. Aldridge, T. Iamsasri, C. M. Fancher, J. S. Forrester, T.

Nishida, S. Moghaddam, J. L. Jones, “Crystal structure of Si-doped HfO2,” Journal

of Applied Physics, vol. 115, pp. 034104, 2014.

[28] Q. Fang, J.-Y. Zhang, Z.M. Wang, J. X. Wu, B. J. O'Sullivan, P. K. Hurley, T. L.

Leedham, H. Davies, M.A. Audier, C. Jimenez, J.-P. Senateur, I. W. Boyd,

Page 65: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

49

“Investigation of TiO2-doped HfO2 thin films deposited by photo-CVD,” Thin

Solid Films, vol. 428, pp. 263-268, 2003.

[29] E. Hildebrandt, J. Kurian, L. Alff, “Physical properties and band structure of

reactive molecular beam epitaxy grown oxygen engineered HfO2+/-x,” Journal of

Applied Physics, vol. 112, pp. 114112, 2012.

[30] G. Bergerhoff, I. D. Brown, “Inorganic crystal structure database,” Chap. 2.2 in

Crystallographic Databases, International Union of Crystallography,

Bonn/Cambridge/Chester, pp. 77-95, 1987.

[31] S. Clima, Y. Chen, M. Mees, K. Sankaran, B. Govoreanu, M. Jurczak, S. DeGendt,

G. Pourtois, “First-principles simulation of oxygen diffusion in HfOx: Role in the

resistive switching mechanism,” Applied Physics Letters, vol. 100, pp. 133102,

2012.

[32] D.-H. Kwon, K. M. Kim, J. H. Jang, J. M. Jeon, M. H. Lee, G. H. Kim, X.-S. Li et

al., “Atomic structure of conducting nanofilaments in TiO2 resistive switching

memory,” Nature Nanotechnology, vol. 5, pp. 148-153, 2010.

Page 66: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

50

Chapter 3 : Pulse-Train Characterization Techniques of RRAM

Precise electrical manipulation of nanoscale defects such as vacancy nano-filament

is highly desired for the fine control of RRAM. In this chapter, we present a systematic

investigation on the pulse-train characterization techniques for the multi-level control

of conductive filament evolution. By applying the pulse-train RESET scheme to a

potential 3-bit HfO2 RRAM, the relative standard deviations of resistance levels are

improved up to 80% compared to the single-pulse scheme. The observed exponential

relation between the saturated resistance and the pulse amplitude provides evidence for

the gap-formation model of filament-rupture process.

Page 67: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

51

3.1 Introduction to Pulse-Train Characterization of RRAM

3.1.1 Introduction to Electrical Pulse Characterization

Electrical characterization is a crucial component in the research and development

of RRAM technology. Traditionally, electrical characterization of semiconductor

devices is performed using DC instruments, such as source measure unit (SMU).

Nowadays, standard instrumentation has improved to possess the capability of applying

sub-microsecond electrical pulses, while measuring the current and voltage at the same

time.

Two typical configurations of pulsed I-V measurements are shown in Figure 3.1,

using Keithley 4200-SCS parameter analyzer as the measurement equipment. As shown

in Figure 3.1(a), the parameter analyzer controls a switching matrix to allow both the

SMU and external pulse generator to connect to the device-under-test (DUT). During

the operation, the pulse generator is first routed to DUT to apply electrical pulses. The

result of pulsing is then measured by connecting SMU with DUT to perform DC sweep.

In Figure 3.1(b), both SMU and a built-in pulse generator are connected to a remote

switch to select between DC and pulse operations. Since the remote switch can be

moved closer to DUT, the parasitics can be minimized. The first configuration is used

for endurance test in Chapter 4, while the second configuration is used throughout this

chapter. The ability of fast pulsing and dynamic I-V measurements provide more

physical insights to the electrical characteristics and switching mechanisms of RRAM

devices.

Page 68: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

52

Figure 3.1: Two typical configurations of pulsed I-V measurements using Keithley

4200-SCS parameter analyzer: (a) Keithley 4200-SCS controls a switch matrix to allow

both the SMU and external pulse generator (Agilent 81150A) to connect to DUT; (b)

Both SMU and a built-in pulse generator are connected to a remote switch (Keithley

4225-RPM) to select between DC and pulse operations.

Page 69: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

53

Apart from physical understanding, an equally-important advantage of pulse

characterization is the minimization of the electrical stress imposed on the RRAM

device. This helps to achieve stable and reliable operation, which leads to better cycle-

to-cycle variability and endurance. Due to these advantages, pulse characterization is

investigated in this chapter to improve both the physical understanding and the device

performance of RRAM.

3.1.2 Motivations to Study Pulse-Train Characterization

For HfO2 RRAM, the widely-accepted filamentary-switching mechanism attributes

the resistance change to the formation/rupture of nanoscale conductive filaments [1].

Since these filaments originate from the change of oxygen stoichiometry, they are

extremely difficult to observe experimentally [2-4]. Thus, the ability to precisely

monitor and control the shape/size of these nanoscale defects remains a critical

challenge. Particularly, RRAM with a large memory window allows the storage of

multiple bits in a single device, which leads to multi-level cells (MLC) [5-6]. However,

the stochastic nature of filament evolution can cause substantial cycle-to-cycle

variability that limits the total number of bits. Various program-and-verify schemes

have been proposed to control the filament-rupture process and to reduce variations [6-

8]. However, accurate resistance measurement over a large dynamic range may

significantly increase the operation time and complexity of circuit design. In contrast,

applying a train of pre-specified pulses without doing read-and-verify may greatly

enhance the measurement speed.

Page 70: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

54

In addition to the speed-up of MLC operations, there is another interesting

application of pulse-train characterization, which is to induce gradual switching

behaviors. Compared to DC and single-pulse switching, pulse-train measurements can

access a continuum of resistance states. This kind of analog memory behaviors make

RRAM an ideal candidate of the synaptic devices in neuromorphic computing

applications [9-12]. These synaptic devices use the resistance to represent the

connectivity between neurons in a neural network. The connectivity (resistance) can be

gradually adjusted by external stimuli (electrical pulses in this case).

Finally, pulse-train characterization also provides additional physical insights to the

dynamic process of resistive switching. The superiority of pulse-train characterization

compared to DC sweep can be demonstrated in the studies of switching abruptness, a

new type of RRAM characteristics which reflects the switching speed and the

accessibility of intermediate states.

As shown in Figure 3.2(a), the RESET process by DC sweep can be either gradual

or abrupt even for the same batch of devices. In previous studies, the gradual/abrupt

behaviors are often associated with bipolar/unipolar switching. However, this rough

classification does not account for the fact that both behaviors exist in bipolar devices.

Yet there is no systematic understanding on how to control the abruptness via materials

engineering and/or different switching schemes. Here we consider the pulse-train

technique as an intuitive way to characterize switching abruptness. As shown in Figure

3.2(b-c), when a train of identical RESET pulses were applied to HfOx RRAM devices

Page 71: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

55

with different process conditions, two types of resistance change behaviors were

observed:

• Type A (Figure 3.2(b)): the resistance increases gradually with the number of

pulses until it saturates at certain high resistance value. The slope of the

resistance curve increases with increasing pulse amplitudes.

• Type B (Figure 3.2(c)): the resistance oscillates around a certain value until an

abrupt switching event occurs. Only two stable resistance states are observed.

The pulse amplitude determines the probability of switching.

For a similar memory window, type A switches more slowly than type B devices,

but their intermediate resistance states are much more accessible. Thus, the former is

desirable for MLC/neuromorphic applications, while the latter is favored for high-speed

single-bit memories. Such insights are not easily captured in DC sweep or single-pulse

switching schemes.

In summary, pulse-train characterization goes one-step further than the electrical-

pulse characterization. In this chapter, it is demonstrated to be useful for both the

fundamental study and practical application of RRAM.

Page 72: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

56

Figure 3.2: (a) Schematics of both gradual and abrupt RESET processes, obtained from

DC sweep. (b) Resistance-change characteristics of an RRAM device upon the

application of 100 identical pulses at various amplitudes. Gradual increase of resistance

is observed with increasing number of pulses; (c) Resistance-change characteristics of

another RRAM device upon the application of 100 identical pulses at various amplitudes.

An abrupt switching event occurs and the intermediate states are not accessible. The

switching probability depends on the pulse amplitude.

Page 73: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

57

3.2 Improved Multi-level Control of RRAM Achieved by Pulse-Train Operation

In this study, TiN/HfO2/Pt devices are fabricated to investigate the multi-level

switching capability of RRAM. Bottom electrode of Pt (~90 nm) is first deposited by e-

beam evaporation on Si wafer with 2-nm Ti adhesion layer. Then, HfOx and TiN (~200

nm) were deposited by reactive sputtering at room temperature, followed by a lift-off

process to form RRAM cells of 100x100 µm2 area. The cross-sectional TEM image

(Figure 3.3(a)) confirms the HfO2 thickness to be around 25nm. According to electron

dispersive X-ray (EDX) analysis (Figure 3.3(b)), an interfacial TiOxNy layer is formed

to getter oxygen and create substoichiometric HfOx which serves as the switching layer

[13].

Figure 3.3: (a) Cross-sectional TEM image of the fabricated TiN/HfOx/Pt RRAM

device. (b) Elemental compositions throughout the device, obtained by energy-

dispersive X-ray spectroscopy (EDX).

Page 74: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

58

The device characteristics are measured using Keithley 4200-SCS semiconductor

analyzer with DC and pulsed I-V module. The lengths of all applied pulses are 200 ns,

with rise/fall time of 20 ns. As shown in Figure 3.4, the DC sweep characteristics of

the device exhibit a very large ON/OFF ratio of 106. By varying the RESET stop voltage

from -2.0V to -4.3V, a gradual increment of resistivity is observed. 8 discrete resistance

levels are achieved with an average separation by a factor of 7.2, implying the potential

capability to store 3 bits in each RRAM cell.

Figure 3.4: DC sweep characteristics of the fabricated RRAM device. 8 resistance

levels are achieved with the maximum ON/OFF ratio of ~ 106.

Figure 3.5(a) shows the resistance distributions obtained in 100 consecutive DC

sweep cycles with different RESET voltages. At smaller voltages, the uniformity of the

high resistance state (HRS) is well-controlled, with resistance levels clearly are

Page 75: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

59

separated from each other. However, when higher RESET voltages are applied to reach

deep HRS states, the variations are noticeably increased. Figure 3.5(b) shows the

retention data collected from 8 resistance states measured at 125˚C, which can be

extrapolated to 10 years. These results confirm the potential 3-bit capability of the

fabricated devices.

Figure 3.5: (a) Resistance distributions obtained from 100 consecutive DC sweep

cycles, at different RESET voltages. (b) Retention characteristics of 8 different

resistance levels, measured up to 104 seconds at 125˚C. Retention can be extrapolated

to 10 years.

Compared to DC sweep, pulse programming is a more desirable approach for

practical applications due to high operation speed and energy efficiency. Multiple

resistance levels can be achieved by varying either the widths or amplitudes of RESET

pulses [5]. However, these single-pulse schemes often result in cycle-to-cycle variations

Page 76: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

60

that are worse than DC sweep, which reduces the margins between resistance levels and

lowers the storage capacity. In the case of our 3 bit/cell RRAM, controlling multiple

levels by single-pulse scheme becomes extremely challenging. Figure 3.6(a) shows the

relative standard deviations of 7 HRS states achieved by applying single pulses with

various amplitudes. Compared to DC sweep (Figure 3.6(b)), most of the resistance

states exhibits larger variations which cause severe overlapping between the adjacent

levels. The only exception is the lowest HRS state, which has very small variation due

to reset failure. Varying pulse widths is not studied in this work because the required

pulse width increases exponentially (which is six orders of magnitude), and the

uniformity is unlikely to be improved compared to varying pulse amplitude [5]. The

large variations of single-pulse scheme can be partially attributed to the presence of only

one rising edge, which causes the random atomic drift/diffusion to be 'quenched' in the

device after the pulse. According to the recent studies on pulse rise-time of RRAM [14],

the switching voltages can be substantially affected by the rising edge of the pulses.

Thus, applying a pulse-train should not be viewed as an equivalent single pulse with the

longer width of the pulse-train’s cumulative time. Overall, the single-pulse operation

scheme is not a favorable approach to controlling the filament evolution for this

potential 3-bit RRAM due to the uncontrollable variation among the adjacent levels.

Page 77: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

61

Figure 3.6: (a) Distributions of HRS resistances in 30 switching cycles, obtained by the

single-pulse scheme. (b) Distributions of HRS resistances in 100 DC sweep cycles. 7

different HRS states are studied to evaluate MLC capability.

On the other hand, pulse-train operation has been suggested to minimize the reset

failures of RRAM [15,16]. It also emerges as a natural method to control analog synaptic

devices for neuromorphic applications [9-12]. In this study, the possibility of using a

train of identical pulses to achieve multi-level switching with better uniformity is

Page 78: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

62

investigated. In this way, we hope to improve the control of the filament evolution by

suppressing the stochasticity arising from sharp rising edges. Figure 3.7 shows the

change of the resistances during the sequential applications of 100 identical pulses with

various amplitudes. Three distinct regimes are observed in these characteristic curves:

initially, the resistance changes slowly, then begins to rapidly increase at a certain point,

and finally becomes saturated with some fluctuation around a certain value. Following

the conventions of MOSFET, the three regimes can be denoted as the subthreshold

region, linear region and saturation region. The subthreshold region could be

responsible for RESET failures at low voltages [17], and it is generally eliminated at

higher voltages. The linear region is where the majority of atomic migrations occurs,

which in this case is controlled in a continuous manner. After entering the saturation

region, the range of resistance fluctuation is comparable or even smaller than the cycle-

to-cycle variations during DC sweep. These pulse-trained characteristics, except for the

initial random fluctuations, can be well reproduced by RRAM compact modelling [18].

The observations suggest that if the device reaches its saturation regime, the resistances

should become more uniform and insusceptible to further identical stimuli.

Page 79: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

63

Figure 3.7: Double log plot of the resistance changes upon the applications of 100

identical pulses with different amplitudes. Both measurement data and compact-model

simulation results are shown.

According to this observation, the pulse-train scheme using 100 identical pulses of

200-ns width is applied to program the fabricated HfO2 device to 7 different HRS states.

Each of the resistance state is programmed for 30 switching cycles. As shown in Figure

3.8, the new scheme significantly reduce the variations compared to the single-pulse

scheme. The relative standard deviation in the worst case is improved to 51%.

Compared to 131% from the single-pulse scheme, the pulse-train scheme enables much

better separation of the resistance levels.

Page 80: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

64

Figure 3.8: Distributions of HRS resistances in 30 switching cycles, obtained by the

pulse-train scheme with 7 different pulse amplitudes.

Page 81: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

65

3.3 Pulse-Train Characterization of the Conductive Filament Evolution during Resistive Switching

In addition to the improved multi-level control, pulse-train characterization also

provides additional physical insights to the resistive switching mechanisms. For

example, Figure 3.9 plots the mean saturated resistances measured during the pulse-

train operation, as a function of the pulse amplitude. An exponential relationship

between the mean saturated resistance and the pulse amplitude is discovered.

Figure 3.9: Relationship between the pulse amplitudes and the mean values of HRS

resistance by the pulse-train scheme.

During RESET process, it is widely accepted that conductive filament(s) is (are)

ruptured to form a tunneling gap between the filament(s) and the electrode. According

to the Wentzel-Kramers-Brillouin (WKB) approximation, the tunneling current across

Page 82: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

66

the gap is exponentially dependent of the gap thickness (tgap) [19]. The abovementioned

results thus suggest the existence of a maximum gap thickness (tgap,max), which is linearly

dependent on the RESET pulse amplitude. Figure 3.10(a) further explains the formation

of such maximized gap by plotting oxygen drift velocity as a function of the gap

thickness. The vacancy migration probability is exponentially dependent of the applied

electric field, which is reflected in the drift velocity of oxygen ions [20,21]:

exp( / )sinh( / 2 )m

v f E kT qFa kTa (3-1)

Where f is the frequency of atomic vibration (~1×1013Hz), a is the hopping distance

between adjacent potential wells (~2.5Å). Em is the migration barrier of oxygen ion in

HfO2, which is assumed to be 1.0 eV. F is the electric field, which equals to the applied

voltage divided by the gap thickness here. In order for a migration event to occur, the

velocity should be large enough to move the ion by one inter-atomic distance. As a

reference, this threshold velocity is ~0.001 m/s for 200-ns pulses. As shown in Figure

3.10(a), the drift velocity exhibits a significant drop across the threshold as the gap

thickness increases. Beyond this threshold, the migration probability becomes so small

that the movement of oxygen vacancies can barely be observed within the pulse duration.

Moreover, the corresponding gap thickness at the threshold is linearly dependent on the

applied voltage, consistent with experimental results. To sum up, the saturation regime

is a manifestation of maximized gap thickness, which is a consequence of the

exponential dependence of ion-drift velocity on the applied electric field.

Page 83: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

67

Figure 3.10: (a) Ion drift velocity in HfO2 RRAM as a function of gap thickness, at

fixed temperature and various applied voltages. (b) Measured transient current of HfO2

RRAM device during a RESET pulse. (c) Ion drift velocity in HfO2 RRAM as a function

of the gap thickness, at fixed applied voltage and various different temperatures.

Next, the difference between a pulse train and a single pulse with the same

equivalent duration is further discussed. Figure 3.10(b) shows the transient current of

a HfO2 RRAM device during the RESET pulse. Beginning at the rising edge, the RESET

Page 84: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

68

process is not initiated until the current reaches the highest level. Then, the current drops

quickly for about 100 ns before reaching the steady state with fluctuations. Before and

after initiation, the progress of RESET is totally different even if the current levels are

the same. This suggests that the initiation of RESET is a thermal-assisted event which

can be connected with gap formation, and the first RESET pulse can be divided into two

parts. Before initiation, the filament is connected and the voltage drop is rather uniform

across the entire film thickness. A significant amount of heat is needed to rupture the

filament by ion diffusion at its weakest spot. After initiation, the tunneling gap is formed

and the voltage drop is concentrated to the gap. Now the reset process is field-driven

and dominated by ionic drift, without the need for high temperature or high current level.

The characteristics of pulse-train operation can be well explained within this picture.

The subthreshold region corresponds to the condition before initiation of RESET. In the

linear region, the gap thickness is gradually expanded until the maximum/saturation is

reached. Now according to previous simulations [22], only the pulses before gap

formation can create high temperatures in the filament, accompanied by larger thermal

fluctuations. And according to eq. (1), the temperature fluctuations result in large

variations of ion migration speed (Figure 3.10(c)). This explains why the single-pulse

scheme leads to larger device variations: the stochasticity caused by the temperature is

quenched in the device after the first pulse. On the other hand, the pulse-train scheme

allows correction of the stochasticity with subsequent pulses which result in lower

temperatures. Since the process is now field-driven, the resistance is monotonically

increasing and strictly limited by the maximum gap thickness, thereby providing better

uniformity.

Page 85: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

69

Physical insights were also developed for the subthreshold regime. As shown in

Figure 3.11(a-b), a controlled experiment is carried out to compare the DC and pulse-

train RESET, starting with different filament strengths. The filament strength is

controlled by applying a SET pulse with different amplitudes, and reflected in the

current level required in DC sweep to initiate current drop. This change of filament

strength is manifested in the pulse-train characteristics as a change of the saturation

regime’s length (Figure 3.11(b)). The saturation regime of the same device increases

from 0 to over 100 pulses as the filament becomes stronger and stronger. This

observation suggests that the subthreshold regime is essentially a gradual reduction of

the filament strength until a gap can be formed. Afterwards, the device will enter the

linear regime in which the gap size is expanded.

Figure 3.12 summarizes the proposed filament evolution during the course of

pulse-train programming. The different natures of the pulse-trained characteristics

happen to satisfy the requirements of different applications: the linear region can be

utilized in neuromorphic computing, while the saturation region is desired for precise

MLC control. In this study, 10 identical pulses are sufficient to bring the device into

saturation for most resistance levels, according to Figure 3.7.

Page 86: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

70

Figure 3.11: (a) DC RESET I-V characteristics with different filament strengths of the

ON state, achieved by varying the SET pulse amplitude. (b) Resistance changes during

the pulse-train reset scheme, again with different filament strengths of the ON state.

(Inset: (a) DC RESET current vs. SET pulse amplitude. (b) Length of subthreshold

regime vs. SET pulse amplitude.)

Page 87: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

71

Figure 3.12: Schematic of the pulse-train characteristics of RRAM, divided into three

regions. The corresponding physical processes and applications are outlined and

discussed in the framework of filamentary resistive switching.

In summary, we systematically investigated the pulse-train operation scheme for

reliable and uniform multi-level control of conductive-filament evolution in RRAM. By

applying electrical pulse-train to a potential 3-bit HfO2 device, the relative standard

deviation of resistance levels is improved up to 80% compared to the single-pulse

scheme. Furthermore, the observed exponential relationship between saturated

resistance and pulse amplitude are consistent with the gap-formation model for the

filament-rupture process.

Page 88: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

72

References

[1] H.-S. P. Wong, H.-Y. Lee, S. Yu, Y.-S. Chen, Y. Wu, P.-S. Chen, B. Lee, F. T.

Chen, M.-J. Tsai, “Metal–oxide RRAM,” Proceedings of the IEEE, vol. 100, pp.

1951-1970, 2012.

[2] D.-H. Kwon, K. M. Kim, J. H. Jang, J. M. Jeon, M. H. Lee, G. H. Kim, X.-S. Li et

al., “Atomic structure of conducting nanofilaments in TiO2 resistive switching

memory,” Nature Nanotechnology, vol. 5, pp. 148-153, 2010.

[3] Y. Yang, P. Gao, S. Gaba, T. Chang, X. Pan, W. Lu, “Observation of conducting

filament growth in nanoscale resistive memories,” Nature Communications, vol.

3, pp. 732, 2012.

[4] U. Celano, Y. Y. Chen, D. J. Wouters, G. Groeseneken, M. Jurczak, W.

Vandervorst, “Filament observation in metal-oxide resistive switching devices,”

Applied Physics Letters, vol. 102, pp. 121602, 2013.

[5] S. Yu, Y. Wu, H.-S. P. Wong, “Investigating the switching dynamics and

multilevel capability of bipolar metal oxide resistive switching memory,” Applied

Physics Letters, vol. 98, pp. 103514, 2011.

[6] S. R. Lee, Y.-B. Kim, M. Chang, K. M. Kim, C. Bum Lee, J. H. Hur, G.-S. Park

et al., “Multi-level switching of triple-layered TaOx RRAM with excellent

reliability for storage class memory,” in Symposium on VLSI Technology, pp. 71-

72, 2012.

[7] F. Alibart, L. Gao, B. D. Hoskins, D. B. Strukov, “High precision tuning of state

for memristive devices by adaptable variation-tolerant algorithm,”

Nanotechnology, vol. 23, pp. 075201, 2012.

Page 89: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

73

[8] C. Xu, D. Niu, N. Muralimanohar, N. P. Jouppi, Y. Xie, “Understanding the trade-

offs in multi-level cell ReRAM memory design,” in 50th ACM/EDAC/IEEE

Design Automation Conference (DAC), 2013.

[9] D. Kuzum, S. Yu, H.-S. P. Wong, “Synaptic electronics: materials, devices and

applications,” Nanotechnology, vol. 24, pp. 382001, 2013.

[10] S. Yu, B. Gao, Z. Fang, H. Y. Yu, J. F. Kang, H.-S. P. Wong, “A low energy oxide-

based electronic synaptic device for neuromorphic visual systems with tolerance

to device variation,” Advanced Materials, vol. 25, pp. 1774-1779, 2013.

[11] S. H. Jo, T. Chang, I. Ebong, B. Bhavitavya, P. Mazumder, W. Lu, “Nanoscale

memristor device as synapse in neuromorphic systems,” Nano Letters, vol. 10, pp.

1297-1301, 2010.

[12] S. Park, J. Noh, M.-I. Choo, A. M. Sheri, M. Chang, Y.-B. Kim, C. J. Kim, M.

Jeon, B.-G. Lee, B. H. Lee, H. Hwang, “Nanoscale RRAM-based synaptic

electronics: toward a neuromorphic computing device,” Nanotechnology, vol. 24,

pp. 384009, 2013.

[13] H. Y. Lee, P. S. Chen, T. Y. Wu, Y. S. Chen, C. C. Wang, P. J. Tzeng, C. H. Lin,

F. Chen, C. H. Lien, M.-J. Tsai, “Low-power and nanosecond switching in robust

hafnium oxide resistive memory with a thin Ti cap,” IEEE Electron Device Letters,

vol. 31, pp. 44-46, 2010.

[14] R. Liu, H.-Y. Chen, H. Li, P. Huang, L. Zhao, Z. Chen, F. Zhang, B. Chen, L. Liu,

X. Liu, B. Gao, S. Yu, Y. Nishi, H.-S. P. Wong, J. Kang, “Impact of pulse rise

time on programming of cross-point RRAM arrays,” in International Symposium

on VLSI Technology, Systems and Application (VLSI-TSA), 2014.

Page 90: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

74

[15] K. Higuchi, T. O. Iwasaki, K. Takeuchi, “Investigation of verify-programming

methods to achieve 10 million cycles for 50 nm HfO2 ReRAM,” in Proceedings

of the 4th IEEE International Memory Workshop, pp. 20-23. 2012.

[16] K. Yoo, B. S. Kang, Y. D. Park, M. J. Lee, Y. Park, “Interpretation of nanoscale

conducting paths and their control in nickel oxide (NiO) thin films,” Applied

Physics Letters, vol. 92, pp. 202112, 2008.

[17] Y. Y. Chen, R. Degraeve, S. Clima, B. Govoreanu, L. Goux, A. Fantini, G. S. Kar,

G. Pourtois, G. Groeseneken, D. J. Wouters, M. Jurczak, “Understanding of the

endurance failure in scaled HfO 2-based 1T1R RRAM through vacancy mobility

degradation,” in IEEE International Electron Devices Meeting (IEDM), pp. 20-3,

2012.

[18] X. Guan, S. Yu, H.-S. P. Wong, “A SPICE compact model of metal oxide resistive

switching memory with variations,” IEEE Electron Device Letters, vol. 33, pp.

1405-1407, 2012.

[19] S. Yu, X. Guan, H.-S. P. Wong, “Conduction mechanism of TiN/HfOx/Pt resistive

switching memory: a trap-assisted-tunneling model,” Applied Physics Letters, vol.

99, pp. 063507, 2011.

[20] X. Guan, S. Yu, H.-S. P. Wong, “On the switching parameter variation of metal-

oxide RRAM—Part I: Physical modeling and simulation methodology,” IEEE

Transactions on Electron Devices, vol. 59, pp. 1172-1182, 2012.

[21] D. Ielmini, “Modeling the universal set/reset characteristics of bipolar RRAM by

field-and temperature-driven filament growth,” IEEE Transactions on Electron

Devices, vol. 58, pp. 4309-4317, 2011.

Page 91: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

75

[22] U. Russo, D. Ielmini, C. Cagli, A. L. Lacaita. “Filament conduction and reset

mechanism in NiO-based resistive-switching memory (RRAM) devices,” IEEE

Transactions on Electron Devices, vol. 56, pp. 186-192, 2009.

Page 92: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

76

Chapter 4 : Ultra-Thin RRAM: Scaling Limit, Stack Engineering and 3D Integration

In this chapter, we demonstrate the combination of ultra-thin HfOx (~2 nm)

switching layer and 3D vertical RRAM architecture as a promising strategy to achieve

ultrahigh-density RRAM array. The theoretical scaling limit of HfOx thickness is

estimated for the first time using density functional theory within the non-equilibrium

Green’s function formalism (NEGF-DFT). Based on the filamentary-switching

mechanisms, the scaling limit is calculated as a function of the device area, and the

feasibility of 2-nm HfOx RRAM is predicted for large-area devices. We then verify the

calculated scaling limit by fabricating both planar and 3D vertical RRAM devices with

2-nm HfOx switching layers. Compared to the reference devices with thicker HfOx, the

2-nm HfOx devices exhibit similar DC characteristics, indicating the effective switching

thickness is less than 2 nm. Moreover, the 3D ultra-thin devices demonstrate promising

characteristics including ON/OFF ratio (~100), switching speed (~20 ns), endurance

(108 cycles) and data retention (> 10 years at room temperature).

Page 93: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

77

4.1 Motivations for Studying Ultra-Thin RRAM

The commercial application of RRAM still faces several challenges such as the

variability of switching parameters and the requirement for a forming process [1-6].

Moreover, despite the potential scalability to below 10 nm [7-8], the cost-per-bit of

planar RRAM is not competitive to the 3D vertical NAND flash [9-12]. In order to

further reduce the cost-per-bit, 3D-stackable RRAM architectures are highly desired. In

this chapter, the study of ultra-thin switching layer for RRAM is driven by two primary

motivations:

(1) Reduce the forming voltage of RRAM to achieve forming-free and low-power

operations;

(2) Improve the scalability of vertical RRAM devices for 3D integration.

The first motivation is straight-forward, since the forming process is essentially a

soft breakdown of the fabricated dielectric layer (HfO2 in this case). The initiation of

dielectric breakdown is usually dictated by a critical electric field, also called the

dielectric strength. Thus, when the switching layer gets thinner, the voltage required to

form the RRAM device should become smaller accordingly. The forming-free behavior

improves the variability and simplifies the circuit design of RRAM [13].

The second motivation requires more detailed explanation. It is widely accepted that

one major advantage of RRAM technology is the superior scalability compared to flash

memory. The device area of RRAM has been scaled down to 1 nm by 3 nm [8] or as

Page 94: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

78

small as a sharp tip [7]. However, since 3D NAND flash is commercially available, the

scalability of RRAM should also be considered in a 3D context.

So far, several 3D vertical RRAM (VRRAM) architectures have been proposed and

experimentally demonstrated [14-17]. The scalability of 3D RRAM in the vertical

direction has been investigated [18-19]. But compared to horizontal scaling which

affects the array density quadratically, the vertical scaling which linearly increases the

array density is less efficient. On the other hand, the scalability in the horizontal

direction, especially that of the oxide and pillar-electrode thicknesses, has not been fully

explored. Although 3-nm HfOx RRAM devices have been demonstrated with small

ON/OFF ratio (<10) [20], pulse-train characterizations in the last chapter indicated the

effective switching thickness to be around 1 nm. It is still not clear what is the limit of

thickness scaling that still preserves the memory functionality.

In this chapter, the combination of ultra-thin HfOx (~2 nm) switching layer with 3D

VRRAM architecture is demonstrated. The theoretical scaling limit of HfOx thickness

was first estimated using density functional theory within the non-equilibrium Green’s

function formalism (NEGF-DFT) [21]. Based on the filamentary switching mechanisms,

the scaling limit was calculated as a function of device area, and the feasibility of 2-nm

HfOx RRAM was predicted for 1(µm)2 devices. In order to verify the theoretical

estimations, planar RRAM devices with 2-nm HfOx directly sandwiched between

electrodes (TiN and Pt) were fabricated. Compared to the reference devices with thicker

oxide, the 2-nm HfOx devices exhibit similar switching characteristics. Moreover, the

2-nm HfOx layer was stacked with either TiO2 or Al2O3 thin layers to retain the same

Page 95: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

79

memory window. Finally, the 2-nm HfOx RRAM was integrated into 3D vertical

geometry for the first time. The 3D ultra-thin devices demonstrated promising

characteristics such as ON/OFF ratio (~100), switching speed (~20ns), endurance (108

cycles) and data retention (> 10 years), paving the way towards ultrahigh-density 3D

RRAM array.

Page 96: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

80

4.2 Thickness Scaling Limit Analysis of HfO2-based RRAM

In order to theoretically evaluate the thickness scaling limit, it is important to

identify the factors that cause RRAM to lose its functionality. It is now widely accepted

that the resistive switching of HfOx is achieved by the formation/rupture of conductive

filaments (CF) [22-24]. Multiple experimental studies suggested that oxygen vacancy

is the defect species that contributes to this localized conductivity (Figure 4.1(a)) [25-

27]. In the ON state, the resistance is determined by the conductive filament. While in

the OFF state, the resistance is dominated by the gap between the ruptured filaments, or

between one ruptured filament and the electrode. Thus, as the switching layer becomes

thinner and thinner, the ON-state resistance should not change much due to the presence

of the conductive filament. The OFF-state resistance, on the other hand, shall decrease

significantly below a certain oxide thickness, due to the rapidly-increasing leakage

current. Ultimately, the ratio between the ON-state and OFF-state resistances will shrink

to the point that the RRAM device no longer has a sufficient memory window. An

analogy of the situation is the scaling of MOSFET gate dielectric. Aggressive scaling

of gate-dielectric thickness results in high direct-tunneling currents across the gate,

which causes serious problem for its functionality [28-29].

In this work, we followed a two-step simulation approach to study the effects of

HfOx thickness on the ON/OFF ratio of RRAM. In the first step, atomistic models of

the RRAM devices were built and optimized using density functional theory with on-

site Coulomb corrections (DFT+U) [30-39]. In the second step, DFT calculations within

the non-equilibrium Green's functions (NEGF) framework were carried out based on

Page 97: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

81

the optimized atomistic model. This method is often abbreviated as “NEGF-DFT” and

has emerged as the de-facto standard approach for modeling non-equilibrium quantum

transport in nanostructures [40-43].

Figure 4.1: (a) Schematic of the filamentary switching process of HfOx-based RRAM.

(b) Atomic configurations of the TiN/HfOx/TiN RRAM device in both ON and OFF

states. (c) The band-decomposed charge density of the RRAM devices, obtained by

taking the integration of electron densities in the bandgap of HfO2. The iso-surface

corresponds to the electron density of 0.1e/Å3. The ON-state device demonstrates a

delocalized conductive path connecting the two electrodes.

Page 98: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

82

The detailed procedure is depicted in Figure 4.2. Starting from the initial atomic

model, DFT calculations were first carried out to relax the atomic positions, until the

net force on each atom becomes lower than 0.005eV/Å. The DFT calculations were

achieved by local density approximation with on-site Coulomb corrections (LDA+U),

implemented in the Vienna Ab-initio Simulation Package (VASP) [34-37]. Next, based

on the relaxed atomic model, NEGF-DFT calculations were carried out at different bias

voltages to obtain the transmission spectrum, from which the electron currents can be

calculated by taking integration. The NEGF-DFT calculations of electron transport were

carried out with QuantumWise ATK package [41].

The atomistic models for TiN/HfOx/TiN devices are constructed with

approximately 10% lattice mismatch between HfOx (cubic) and TiN (cubic). The TiN

lattice is stretched to minimize the stress of the HfOx layer. The thickness of HfOx (tOX)

is varied between 1 and 3 nm to search for the scaling limit. The conductive filament is

modeled as a chain of oxygen vacancies (Fig 4.1(b)), which was confirmed as a valid

assumption for order-of-magnitude estimation of ON/OFF-state resistances [43]. From

DFT calculations, the oxygen-vacancy chain creates a delocalized path of electron

wavefunction (Fig 4.1(c)), which acts as the conductive filament in the ON state.

Page 99: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

83

Figure 4.2: Detailed procedures of the two-step approach to simulating I-V

characteristics from the initial atomic coordinates of the device.

Figure 4.3(a) shows the calculated I-V characteristics of the RRAM devices in both

ON and OFF states. In the ON state, the I-V characteristics are linear, which implies

Ohmic conduction behaviors. In the OFF state, the devices exhibit non-linear I-V

characteristics, which is typically seen in tunneling/hopping conduction. As the oxide

Page 100: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

84

thickness varies, the ON-state resistance is linearly dependent on tOX, which is

consistent with Ohm’s law. The OFF-state resistance, on the other hand, is exponentially

dependent on tOX. This exponential behavior can be explained by considering the WKB

approximation for hopping/tunneling conduction, which states that the transmission

coefficient across an energy barrier has an exponential dependence on the barrier

thickness.

Figure 4.3: (a) I-V characteristics of the HfOx RRAM devices in ON and OFF states,

calculated by NEGF-DFT. (b) Schematic of device-area scaling and its effects on the

cell resistance. (c) Estimation of the ON/OFF ratios for different device areas, assuming

the CF diameter to be 10nm. (d) Thickness scaling limit of HfOx RRAM as a function

of device area.

Page 101: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

85

When both ON- and OFF-state resistances are obtained, the ON/OFF ratio of these

devices can be calculated. However, the effects of device area should also be considered

to determine the ON/OFF ratio for real devices. This is because the atomistic model

presented above assumed that the entire HfOx is switching. In reality, no matter how

large the device area is, only a limited region (the conductive filament) switches. The

typical size of the conductive filament has been estimated by high-resolution TEM to

be around 10 nm in diameter [25]. Thus, if the device area is equal to or smaller than 10

nm × 10 nm, it is reasonable to assume that the entire area is switching and the ON/OFF

ratio is the same as the above calculations. On the other hand, when the device area is

larger than 10 nm × 10 nm, only a fraction of the area is switching and the rest part stays

in the high resistance state. Now if the device area varies, the ON-state resistance does

not change due to the fixed size of the conductive filament. The OFF state resistance, in

the worst case, is proportional to the device area since the leakage current is distributed

over the entire area (Figure 4.3(b)). Therefore, the ON/OFF ratio in the worst case is

inversely proportional to the cell area. Figure 4.3(c) plots the ON/OFF ratios as a

function of the oxide thickness, with three different device areas. If 100x ON/OFF ratio

is required for RRAM functionality, then the minimum oxide thicknesses for (10nm)2,

(100nm)2 and (1μm)2 devices are predicted to be 0.7 nm, 1.4 nm and 2 nm, respectively.

The thickness scaling limit of HfOx as a function of the device area is summarized in

Figure 4.3(d). The feasibility of 2-nm HfOx for large-area RRAM devices is confirmed

and will be explored experimentally in the next section. In summary, the theoretical

results predicted that the oxide thickness has significant scalability beyond the current

practices in the experimental studies.

Page 102: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

86

4.3 Experimental Demonstration of Ultra-Thin HfO2 Switching Layer

If the effective switching thickness is less than 2 nm, then the excess oxide

thickness can either be removed completely, or be replaced by a layer of alternative

materials, without losing the memory functionality. Thus, the feasibility of 2-nm HfOx

switching layer is explored following these two scenarios (Figure 4.4).

Figure 4.4: Schematics of the two scenarios to achieve 2-nm HfOx switching layer:

remove the excess oxide completely, or replace it with a layer of alternative materials.

Firstly, TiN/HfOx/Pt stacks with 2-nm HfOx were fabricated to form 1 μm x 1 μm

cross-point RRAM devices [44]. 50-nm Pt was deposited as bottom electrode by e-beam

Page 103: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

87

evaporation on SiO2/Si substrate. Then, HfOx was deposited by atomic layer deposition

(ALD) using TEMA-Hf as precursor at 200 °C. The thickness of HfOx is precisely

controlled by the number of ALD cycles, confirmed by cross-sectional TEM analysis

(Figure 4.5(a)). Finally, 200-nm TiN was deposited by reactive sputtering and then was

lifted-off.

Figure 4.5: (a) Cross-sectional TEM image of the planar RRAM device with 2-nm HfOx

and 1 x 1 μm2 device area. (b) Forming voltages of 1 x 1 μm2 HfOx RRAM devices,

plotted as a function of oxide thickness.

We first investigated the scaling of forming voltages with the oxide thickness (tOX).

As shown in Figure 4.5(b), the forming voltages of HfOx with 2-10 nm thicknesses

indicate a linear dependence on tOX. There is a finite intercept (~ 2.0 V) of the linear

extrapolation at zero oxide thickness, which can be attributed to the Schottky barrier

height (ΦB) between Pt and HfO2. This also explains why the TiN/HfOx/Pt devices

usually exhibit larger forming voltages than TiN/HfOx/TiN devices with similar oxide

Page 104: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

88

thickness [45,46]. This linear scaling behavior suggests that thickness scaling is one

useful approach to achieve forming-free devices.

Figure 4.6: (a) DC sweep characteristics of the 2-nm and 5-nm HfOx RRAM devices

in 10 DC cycles. (b) Resistance distributions of the 2-nm and 5-nm HfOx devices,

obtained from (a). (c) Comparison of DC sweep characteristics of “2-nm AlOx + 2-nm

HfOx” and “2-nm TiOx + 2-nm HfOx” bilayer devices with the 5-nm HfOx. 20 DC cycles

are plotted for each device. (d) Comparison of the resistance distributions of the bilayer

devices wtih that of the single-layer (5nm-HfOx) device.

Page 105: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

89

Figure 4.6(a) compares the DC sweep characteristics of a 2-nm and a 5-nm HfOx

devices. Figure 4.6(b) summarizes their resistance distributions in 10 DC cycles. It is

shown that the ON- and OFF-state resistance distributions are almost the same in the

two cases. This observation suggests that the effective switching thicknesses are

comparable in both cases, which is less than 2 nm. This is consistent with the estimation

derived from pulse-train measurements of HfOx RRAM that the maximum gap

thickness is less than 1 nm under similar operation conditions.

Next, the feasibility of ultra-thin switching layer is further verified by stacking 2-

nm HfOx with a 2-nm layer of alternative oxide to make bi-layer devices. Here TiO2

and Al2O3 were chosen to stack with HfO2 due to the maturity of ALD growth processes.

AlOx was deposited by thermal ALD using Trimethylaluminum at 200 °C, and TiOx

was deposited by ALD using TDMA-Ti at 200 °C. Figure 4.6(c) compares the DC

characteristics and resistance distributions of bilayer devices with the single-layer (5-

nm HfOx) device. The DC sweep curves of TiN/HfOx/Al2O3/Pt stack are very similar to

that of 5-nm HfOx. The TiN/HfOx/TiO2/Pt stack has higher ON- and OFF-state

resistances, but retains a similar memory window. The differences between Al2O3 and

TiO2 may be attributed to the fact that TiO2 thin layers are generally more conductive.

Thus, during the forming process no conductive filament is formed in TiO2 and the

device exhibits higher resistance values due to the serial resistance of un-formed TiO2.

On the other hand, the as-grown Al2O3 has higher initial resistance and requires break-

down during the forming process. After forming, the Al2O3 layer remains conductive

and does not affect ON-/OFF-state resistances.

Page 106: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

90

These results confirm 2-nm HfOx is sufficient for memory functionality when the

device area is smaller than 1 (μm)2. In addition, TiO2 layer can be considered as a

potential selector to suppress the sneak-path currents in high-density RRAM arrays.

Page 107: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

91

4.3 3D Integration of Ultra-Thin HfO2 Switching Layer

The feasibility of ultra-thin-oxide RRAM suggests a new way to improve the cost-

efficiency and performance of 3D memory array. Consider the 3D VRRAM structure

shown in Figure 4.7(a) [16], it consists of the horizontal metal-plane electrodes and

vertical pillar electrodes. At their intersections, RRAM devices are formed by a metal-

oxide layer sandwiched between the metals. The trench size is one of the critical aspects

of the horizontal scaling of 3D VRRAM, similar to the case of 3D NAND flash [47].

The mathematical description for trench-size scaling is simply:

F = d + 2 tOX (4-1)

Where F is the trench size, d is the diameter of the vertical pillar electrode and tOX

is the thickness of oxide switching layer. In previous studies, the diameter of metal

pillars has been identified as a limiting factor for the scaling of 3D VRRAM [18-19].

This is because the small cross-sectional area of the metal pillar leads to large parasitic

resistance and voltage drop along the interconnect lines, which degrades the memory

window and causes access failures in a large 3D array. To cope with this limitation, a

core-shell structure was purposed to achieve better horizontal scalability [19]. From

Equation (4-1), an alternative approach to extend VRRAM’s scaling limit can be

derived, which is to use ultra-thin oxide for the switching layer. By doing so, the excess

oxide thickness is traded for the benefits of larger electrode and smaller parasitic

resistance. This approach is twice as effective as reducing the pillar diameter.

Page 108: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

92

Figure 4.7: (a) Schematic of the device structure and the dimensional parameters of 3D

vertical VRRAM. The trench size F is affected by both pillar diameter and tOX. (b)

Comparison of the maximum array sizes achieved by using 5nm, 3nm or 2nm HfOx as

the switching layer, obtained by the SPICE simulations.

For a more quantitative view, the trench size of 15 nm is considered. In this case, a

reduction of HfOx thickness from 5 nm to 2 nm leads to an increase of the pillar diameter

from 5 nm to 11 nm, which reduces the parasitic resistance by more than 4.8 times. To

demonstrate the advantages of this change, SPICE circuits were built for 32-layer 3D

VRRAM arrays to simulate the write access voltage (VDD = 3 V) [48]. The array size at

which the access voltage drops below 1.8 V is defined as the maximum array size.

Figure 4.7(b) summarizes the simulation results, which shows that 2-nm HfOx

significantly improves the array capacity at 15-nm trench size, making it comparable to

the capacity of 5nm-HfOx at 20-nm trench size. In other words, 2-nm HfOx enabled the

trench size of 3D VRRAM to scale down by one more technology node (from 20 nm to

15 nm).

Page 109: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

93

To realize this capability, 3D vertical RRAM with 2-nm HfOx switching layer is

demonstrated. Figure 4.8(a) shows the cross-sectional TEM image of the as-fabricated

VRRAM device. The switching layer is formed on the sidewall of the trench, which has

a thickness of about 2 nm. Figure 4.8(b) shows the I-V characteristics of 100 DC cycles

of the as-fabricated device. Figure 4.8(c) demonstrates 1000 cycles of pulse switching,

using an incremental-step pulse program (ISPP) algorithm [49,50] to achieve the 100x

ON/OFF ratio predicted by simulations. Figure 4.8(d) shows the pulse-cycling

endurance of five 2-nm HfOx VRRAM devices which all reach 108 cycles, with an

average ON/OFF ratio of 20. Figure 4.8(e) shows the results of temperature-accelerated

retention test of the device. The retention time is defined as the time at which the

ON/OFF ratio falls below 10. The retention times measured at elevated temperature can

be extrapolated to over 10 years at room temperature (Figure 4.8(f)).

The overall performances of 2-nm HfOx devices integrated into the 3D architecture

are superior compared to planar devices. The improvement might be attributed to the

smaller device area (13.9 nm × 1 μm vs. 1 μm × 1 μm) and reduced interface roughness

due to the vertical configuration (since the roughness in the vertical direction can now

be neglected). The promising device performances, together with the much-improved

array capacity, suggest a promising solution towards high-density 3D vertical RRAM.

Page 110: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

94

Figure 4.8. (a) Cross-sectional TEM image of the fabricated 3D VRRAM device with

2-nm HfOx as the switching layer. (b) DC sweep characteristics of the 2-nm HfOx

VRRAM device. The compliance current of SET operation is 100 µA. The black solid

line represents the average values of 100 DC cycles. (c) Pulse switching of the 2-nm

HfOx VRRAM device for 1000 cycles, achieved by an advanced pulse-verify scheme

which expands the ON/OFF ratio to 100. (d) Pulse-cycling endurance of five 2-nm

HfOx VRRAM devices, measured up to 108 switching cycles. (e) Accelerated retention

characteristics at elevated temperatures. (f) Retention times at elevated temperatures,

which are defined as the time at which ON/OFF < 10 and obtained from (e). The

retention times are extrapolated back to over 10 years at room temperature.

In summary, the combination of ultra-thin HfOx (~2 nm) switching layer and 3D

vertical RRAM architecture is demonstrated as a promising strategy to achieve

ultrahigh-density RRAM array. The theoretical scaling limit of HfOx thickness was

Page 111: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

95

estimated for the first time using density functional theory within the non-equilibrium

Green’s function formalism. Based on the filamentary-switching mechanisms, the

scaling limit is calculated as a function of the device area, and the feasibility of 2-nm

HfOx RRAM is predicted for large-area devices. This kind of scalability, according to

array simulations, enabled the trench size of 3D vertical RRAM to scale down by one

more technology node (from 20 nm to 15 nm). In order to verify the feasibility of the

calculated scaling limit, planar devices with 2-nm HfOx directly sandwiched between

electrodes were fabricated. Compared to the reference devices with thicker HfOx, the 2-

nm HfOx devices exhibit similar DC characteristics, indicating the effective switching

thickness is less than 2 nm. Moreover, the 2-nm HfOx layer was stacked with either

TiO2 or Al2O3 thin layers and still retained the memory functionality. Finally, the 2-nm

HfOx RRAM was integrated into 3D vertical geometry for the first time. The 3D ultra-

thin devices demonstrated promising characteristics including ON/OFF ratio (~100),

switching speed (~20 ns), endurance (108 cycles) and data retention (> 10 years), paving

a way towards ultra-high-density 3D vertical RRAM array.

Page 112: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

96

References

[1] H.-S. P. Wong, H.-Y. Lee, S. Yu, Y.-S. Chen, Y. Wu, P.-S. Chen, B. Lee, F. T.

Chen, M.-J. Tsai, “Metal-oxide RRAM,” Proceedings of the IEEE, vol. 100, pp.

1951-1970, 2012.

[2] A. Chen, M.-R. Lin, “Variability of resistive switching memories and its impact

on crossbar array performance,” in IEEE International Reliability Physics

Symposium (IRPS), pp. MY-7, 2011.

[3] A. Kalantarian, G. Bersuker, D. C. Gilmer, D. Veksler, B. Butcher, A. Padovani,

O. Pirrotta, L. Larcher, R. Geer, Y. Nishi, P. Kirsch, “Controlling uniformity of

RRAM characteristics through the forming process,” in IEEE International

Reliability Physics Symposium (IRPS), pp. 6C-4, 2012.

[4] S. Yu, X. Guan, H.-S. P. Wong, “On the switching parameter variation of metal-

oxide RRAM—Part I: Physical modeling and simulation methodology,” IEEE

Transactions on Electron Devices, vol. 59, pp. 1172-1182, 2012; S. Yu, X. Guan,

H.-S. P. Wong, “On the switching parameter variation of metal oxide RRAM—

part II: model corroboration and device design strategy,” IEEE Transactions on

Electron Devices, vol. 59, pp. 1183-1188, 2012.

[5] A. Fantini, L. Goux, R. Degraeve, D. J. Wouters, N. Raghavan, G. Kar, A.

Belmonte, Y.-Y. Chen, B. Govoreanu, M. Jurczak, “Intrinsic switching variability

in HfO2 RRAM,” in 5th IEEE International Memory Workshop (IMW), pp. 30-

33, 2013.

Page 113: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

97

[6] S. Balatti, S. Ambrogio, D. C. Gilmer, D. Ielmini, “Set variability and failure

induced by complementary switching in bipolar RRAM,” IEEE Electron Device

Letters, vol. 34, pp. 861-863, 2013.

[7] Z. Zhang, Y. Wu, H.-S. P. Wong, S. S. Wong, “Nanometer-Scale RRAM,” IEEE

Electron Device Letters, vol. 34, pp. 1005-1007, 2013.

[8] K.-S. Li, C. H. Ho, M.-T. Lee, M.-C. Chen, C.-L. Hsu, J. M. Lu, C. H. Lin, C. C.

Chen, B. W. Wu, Y. F. Hou, C. Yi. Lin, Y. J. Chen, T. Y. Lai, M. Y. Li, I. Yang,

C. S. Wu, F.-L. Yang, “Utilizing Sub-5 nm sidewall electrode technology for

atomic-scale resistive memory fabrication,” in Symposium on VLSI Technology,

2014.

[9] H. Tanaka, M. Kido, K. Yahashi, M. Oomura, R. Katsumata, M. Kito, Y.

Fukuzumi et al., “Bit cost scalable technology with punch and plug process for

ultra high density flash memory,” in IEEE Symposium on VLSI Technology, pp.

14-15, 2007.

[10] W. Kim, S. Choi, J. Sung, T. Lee, C. Park, H. Ko, J. Jung, I. Yoo, Y. Park, “Multi-

layered Vertical Gate NAND Flash overcoming stacking limit for terabit density

storage,” in 2009 Symposium on VLSI Technology, pp. 188-189, 2009.

[11] J. Jang, H.-S. Kim, W. Cho, H. Cho, J. Kim, S. I. Shim, Y. Jang, “Vertical cell

array using TCAT (Terabit Cell Array Transistor) technology for ultra high density

NAND flash memory,” in Symposium on VLSI Technology, pp. 192-193, 2009.

[12] R. Katsumata, M. Kito, Y. Fukuzumi, M. Kido, H. Tanaka, Y. Komori, M. Ishiduki

et al., “Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-

Page 114: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

98

cell operation for ultrahigh density storage devices,” in IEEE Symposium on VLSI

Technology, pp. 136-137, 2009.

[13] Z. Fang, H. Y. Yu, X. Li, N. Singh, G. Q. Lo, D. L. Kwong, “Multilayer-based

forming-free RRAM devices with excellent uniformity,” IEEE Electron Device

Letters, vol. 32, pp. 566-568, 2011.

[14] I. G. Baek, C. J. Park, H. Ju, D. J. Seong, H. S. Ahn, J. H. Kim, M. K. Yang et al.,

“Realization of vertical resistive memory (VRRAM) using cost effective 3D

process,” in IEEE International Electron Devices Meeting (IEDM), pp. 31-8, 2011.

[15] W. C. Chien, F. M. Lee, Y. Y. Lin, M. H. Lee, S. H. Chen, C. C. Hsieh, E. K. Lai

et al., “Multi-layer sidewall WOx resistive memory suitable for 3D ReRAM,” in

Symposium on VLSI Technology (VLSIT), pp. 153-154, 2012.

[16] S. Yu, H.-Y. Chen, B. Gao, J. Kang, H.-S. P. Wong, “HfOx-based vertical resistive

switching random access memory suitable for bit-cost-effective three-dimensional

cross-point architecture,” ACS nano 7, pp. 2320-2325, 2013.

[17] C. W. Hsu, C. C. Wan, I. T. Wang, M. C. Chen, C. L. Lo, Y. J. Lee, W. Y. Jang et

al., “3D vertical TaOx/TiO2 RRAM with over 103 self-rectifying ratio and sub-

μA operating current,” in IEEE International Electron Devices Meeting (IEDM),

2013.

[18] S. Yu, H.-Y. Chen, Y. Deng, B. Gao, Z. Jiang, J. Kang, H.-S. P. Wong, “3D vertical

RRAM-scaling limit analysis and demonstration of 3D array operation,” in IEEE

Symposium on VLSI Technology (VLSIT), pp. T158-T159, 2013.

Page 115: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

99

[19] Y. Deng, H.-Y. Chen, B. Gao, S. Yu, S.-C. Wu, L. Zhao, B. Chen et al., “Design

and optimization methodology for 3D RRAM arrays,” in IEEE International

Electron Devices Meeting (IEDM), pp. 25-7, 2013.

[20] B. Govoreanu, A. Ajaykumar, H. Lipowicz, Y.-Y. Chen, J.-C. Liu, R. Degraeve,

L. Zhang et al., “Performance and reliability of Ultra-Thin HfO 2-based RRAM

(UTO-RRAM),” in 5th IEEE International Memory Workshop (IMW), pp. 48-51,

2013.

[21] M. Brandbyge, J.-L. Mozos, P. Ordejón, J. Taylor, K. Stokbro, “Density-functional

method for nonequilibrium electron transport,” Physical Review B, vol. 65, pp.

165401, 2002.

[22] U. Russo, D. Ielmini, C. Cagli, A. L. Lacaita, “Filament conduction and reset

mechanism in NiO-based resistive-switching memory (RRAM) devices,” IEEE

Transactions on Electron Devices, vol. 56, pp. 186-192, 2009.

[23] S. Yu, H.-S. P. Wong, “A phenomenological model for the reset mechanism of

metal oxide RRAM,” IEEE Electron Device Letters, vol. 31, pp. 1455-1457, 2010.

[24] G. Bersuker, D. C. Gilmer, D. Veksler, J. Yum, H. Park, S. Lian, L. Vandelli et al.,

“Metal oxide RRAM switching mechanism based on conductive filament

microscopic properties,” in IEEE International Electron Devices Meeting (IEDM),

2010.

[25] D.-H. Kwon, K. M. Kim, J. H. Jang, J. M. Jeon, M. H. Lee, G. H. Kim, X.-S. Li et

al., “Atomic structure of conducting nanofilaments in TiO2 resistive switching

memory,” Nature Nanotechnology, vol. 5, pp. 148-153, 2010.

Page 116: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

100

[26] J. J. Yang, M. D. Pickett, X. Li, D. A. A. Ohlberg, D. R. Stewart, R. Stanley

Williams, “Memristive switching mechanism for metal/oxide/metal nano-devices,”

Nature Nanotechnology, vol. 3, pp. 429-433, 2008.

[27] L. Goux, P. Czarnecki, Y. Y. Chen, L. Pantisano, X. P. Wang, R. Degraeve, B.

Govoreanu et al., “Evidences of oxygen-mediated resistive-switching mechanism

in TiN\HfO2\Pt cells,” Applied Physics Letters, vol. 97, pp. 243509, 2010.

[28] N. Yang, W. K. Henson, J. R. Hauser, J. J. Wortman, “Modeling study of ultrathin

gate oxides using direct tunneling current and capacitance-voltage measurements

in MOS devices,” IEEE Transactions on Electron Devices, vol. 46, pp. 1464-1471,

1999.

[29] Y. C. Yeo, Q. Lu, W. C. Lee, T.-J. King, C. Hu, X. Wang, X. Guo, T. P. Ma,

“Direct tunneling gate leakage current in transistors with ultrathin silicon nitride

gate dielectric,” IEEE Electron Device Letters, vol. 21, pp. 540-542, 2000.

[30] A. E. Mattsson, P. A. Schultz, M. P. Desjarlais, T. R. Mattsson, K. Leung,

“Designing meaningful density functional theory calculations in materials

science—a primer,” Modelling and Simulation in Materials Science and

Engineering, vol. 13, pp. R1, 2005.

[31] J. P. Perdew, A. Zunger, “Self-interaction correction to density-functional

approximations for many-electron systems,” Physical Review B, vol. 23, pp. 5048,

1981.

[32] A. I. Liechtenstein, V. I. Anisimov, J. Zaanen, “Density-functional theory and

strong interactions: Orbital ordering in Mott-Hubbard insulators,” Physical

Review B, vol. 52, pp. R5467, 1995.

Page 117: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

101

[33] L. Zhao, S.-W. Ryu, A. Hazeghi, D. Duncan, B. Magyari-Köpe, Y. Nishi, “Dopant

selection rules for extrinsic tunability of HfO x RRAM characteristics: A

systematic study,” in IEEE Symposium on VLSI Technology (VLSIT), pp. T106-

T107, 2013.

[34] G. Kresse, J. Hafner, “Ab initio molecular dynamics for liquid metals,” Physical

Review B, vol. 47, pp. 558, 1993.

[35] G. Kresse, J. Hafner, “Ab initio molecular-dynamics simulation of the liquid-

metal–amorphous-semiconductor transition in germanium,” Physical Review B,

vol. 49, pp. 14251, 1994.

[36] G. Kresse, J. Furthmüller. “Efficiency of ab-initio total energy calculations for

metals and semiconductors using a plane-wave basis set,” Computational

Materials Science, vol. 6, pp. 15-50, 1996.

[37] G. Kresse, J. Furthmüller, “Efficient iterative schemes for ab initio total-energy

calculations using a plane-wave basis set,” Physical Review B, vol. 54, pp. 11169,

1996.

[38] P. E. Blochl, “Projector augmented-wave method,” Physical Review B, vol. 50,

pp. 17953, 1994.

[39] G. Kresse, D. Joubert, “From ultrasoft pseudopotentials to the projector

augmented-wave method,” Physical Review B 59, pp. 1758, 1999.

[40] J. Maassen, M. Harb, V. Michaud-Rioux, Y. Zhu, H. Guo, “Quantum transport

modeling from first principles,” Proceedings of the IEEE, vol. 101, pp. 518-530,

2013.

[41] Atomistix ToolKit version 13.8, QuantumWise A/S, www.quantumwise.com.

Page 118: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

102

[42] J. M. Soler, E. Artacho, J. D. Gale, A. García, J. Junquera, P. Ordejón, D. Sánchez-

Portal, “The SIESTA method for ab initio order-N materials simulation,” Journal

of Physics: Condensed Matter, vol. 14, pp. 2745, 2002.

[43] O. Pirrotta, L. Zhao, A. Padovani, L. Larcher, B. Magyari-Köpe, Y. Nishi, “Multi-

scale modeling of oxygen vacancies assisted charge transport in sub-stoichiometric

TiOx for RRAM application,” in International Conference on Simulation of

Semiconductor Processes and Devices (SISPAD), pp. 37-40, 2014.

[44] S. Yu, Y. Wu, Y. Chai, J. Provine, H.-S. P. Wong, “Characterization of switching

parameters and multilevel capability in HfOx/AlOx bi-layer RRAM devices,” in

IEEE International Symposium on VLSI Technology, Systems and Applications

(VLSI-TSA), 2011.

[45] Y.-S. Chen, H.-Y. Lee, P.-S. Chen, T.-Y. Wu, C.-C. Wang, P.-J. Tzeng, F. Chen,

M.-J. Tsai, C. Lien, “An Ultrathin Forming-Free Resistance Memory With

Excellent Electrical Performance,” IEEE Electron Device Letters, vol. 31, pp.

1473-1475, 2010.

[46] B. Govoreanu, G. S. Kar, Y. Y. Chen, V. Paraschiv, S. Kubicek, A. Fantini, I. P.

Radu et al., “10× 10nm 2 Hf/HfO x crossbar resistive RAM with excellent

performance, reliability and low-energy operation,” in IEEE International Electron

Devices Meeting (IEDM), pp. 31-6, 2011.

[47] Y.-H. Hsiao, H.-T. Lue, T.-H. Hsu, K.-Y. Hsieh, C.-Y. Lu, “A critical examination

of 3D stackable NAND flash memory architectures by simulation study of the

scaling capability,” in IEEE International Memory Workshop (IMW), 2010.

Page 119: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

103

[48] X. Guan, S. Yu, H.-S. P. Wong, “A SPICE compact model of metal oxide resistive

switching memory with variations,” IEEE Electron Device Letters, vol. 33, pp.

1405-1407, 2012.

[49] K. Higuchi, T. O. Iwasaki, K. Takeuchi, “Investigation of verify-programming

methods to achieve 10 million cycles for 50 nm HfO2 ReRAM,” in Proceedings

of the 4th IEEE International Memory Workshop, pp. 20-23. 2012.

[50] K.-D. Suh, B.-H. Suh, Y.-H. Lim, J.-K. Kim, Y.-J. Choi, Y.-N. Koh, S.-S. Lee et

al., “A 3.3V 32Mb NAND flash memory with incremental step pulse programming

scheme,” IEEE Journal of Solid-State Circuits, vol. 30, pp. 1149-1156, 1995.

Page 120: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

104

Chapter 5 : Contributions and Outlook

5.1 Summary of Contributions

The key contributions of this thesis include three aspects:

(1) It advanced the theoretical understanding of the doping effects in the resistive

switching process of metal oxides, especially HfO2;

(2) It developed the pulse-train characterization technique to monitor the dynamic

switching process and to gain better control over filament evolution;

(3) It provided a first principles framework to estimate the scaling limit of

switching-layer thickness, and verified it by experimental demonstration of 2-

nm HfO2 devices.

Firstly, doping the resistive-switching layer is proposed as an important materials-

engineering techniques to improve the variability, retention and power consumption of

RRAM. The effects of dopant types, dopant concentrations, oxide phases, and oxide

stoichiometry on the electronic and thermodynamic properties of HfO2 are thoroughly

investigated using ab initio modeling and simulations. The physical insights derived

from the calculations provide guidelines to achieve desirable RRAM characteristics

through doping. In particular, a long-standing dilemma regarding the doping effects on

Page 121: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

105

the forming voltages of RRAM have been resolved by the identification of the

stoichiometry of HfO2 as a critical factor.

Secondly, this thesis presents a systematic investigation on the pulse-train

characterization techniques for the multi-level control and in-depth physical

understanding of conductive filament evolution. The development of pulse-train

technique is inspired by the spike-timing dependent plasticity in RRAM synaptic

devices. By applying a pulse-train RESET scheme to a potential 3-bit HfO2 RRAM, the

relative standard deviations of resistance levels are improved up to 80% compared to

the single-pulse scheme. This improvement significantly boosts the storage capacity of

an RRAM device. Moreover, the pulse-train characterization technique is used as a

monitor of filament evolution. The observed exponential relation between the saturated

resistance and the pulse amplitude provides evidence for the gap-formation model of

the filament-rupture process.

Thirdly, this thesis demonstrates the feasibility of ultra-thin HfO2 RRAM, which

can achieve the desirable properties of forming-free and low-power operations. An

estimation method based on density functional theory and non-equilibrium Green’s

function formalism is proposed for the thickness scaling limit of RRAM switching layer.

Using this method, the feasibility of 2-nm HfOx RRAM is predicted for large-area

devices, and verified by fabricating both planar and 3D vertical RRAM devices. The 3D

ultra-thin devices demonstrate promising characteristics including ON/OFF ratio (~100),

switching speed (~20 ns), endurance (108 cycles) and data retention (> 10 years at room

temperature).

Page 122: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

106

Overall, this thesis addresses the key challenges of RRAM technology from

materials, device, characterization and architecture perspectives. It develops deeper

physical understanding towards the doping effects, filament evolution and thickness

scaling of the resistive switching process. It provides some useful techniques, such as

pulse-train characterization and scaling-limit estimation methods, for the future

development of RRAM technology. It also demonstrates RRAM’s ability to satisfiy

some critical performance parameters, highlighting its potential towards high-density

mass-storage applications.

Page 123: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

107

5.2 Future Plan

The techniques and physical understanding developed in this thesis act as the

foundation for exciting future work from several aspects.

With respect to the doping technology for RRAM, further understanding of the

dopants’ effects on SET and RESET operations is highly desired in addition to the

forming process. On the modeling side, such explorations can be achieved within the

framework proposed in this thesis, with further requirements for energy-barrier

calculations for ion migration. By doing so, we can evaluate the trend of switching

voltages and data retention with the addition of dopants. On the experimental side, it is

suggested that fabrication processes should be developed to continuously control the

stoichiometry of HfOx thin film. This way, the electronic bandgap and forming voltages

of each stoichiometry can be characterized using optical and electrical measurements,

which will provide the most solid evidence to support the theoretical results.

With respect to the pulse-train characterization techniques, its applications in

neuromorphic computing systems can be further explored. For RRAM synaptic devices,

a desirable property is the spike-timing-dependent plasticity (STDP). An STDP process

adjusts the connection strength of synapse based on the relative timing of a pre- and

post-synaptic neuron's spike. To mimic this process using an RRAM synapse, the

relative timing can be converted to the number of identical pulses, and the resistance

change induced by the pulse train corresponds to change of connection strengths. Thus,

adjusting the pulse-train characteristics will result in varying STDP functions, which

provide space for design optimization. There are many approaches to adjust the pulse-

Page 124: RESISTIVE RANDOM ACCESS MEMORY: DOPING TECHNOLOGY, …

108

train characteristics of RRAM. For example, doping techniques can be utilized which

will change the migration barrier of oxygen vacancies, and consequently changes the

pulse-train characteristics.

With respect to RRAM with ultra-thin switching layers, it is highly desired to have

selector devices integrated with each cell in a large RRAM array. Otherwise, the sneak-

path currents during array operations will cause severe power consumption and

degradation of the memory window, limiting the maximum array size. The selector

devices should have non-linear I-V characteristics to eliminate the sneak-path currents.

Although it has been shown in this thesis that stacking HfO2 with TiO2 can increase the

non-linearity, it is still not enough for array applications of RRAM. The development

of a promising selector technology is thus a very important research topic in the future.