34
Applied Materials / External Use Overview: Science of Process Exhaust Management Joe Van Gompel SESHA Hill Country Chapter Quarterly Meeting March 03, 2016

Overview: Science of Process Exhaust Management - …seshaonline.org/regions/ssatexashillc/Science of Exhaust Management... · Applied Materials / External Use Overview: Science of

Embed Size (px)

Citation preview

Applied Materials / External Use

Overview: Science of Process Exhaust Management

Joe Van Gompel

SESHA Hill Country Chapter Quarterly MeetingMarch 03, 2016

Applied Materials External DistributionApplied Materials / External Use

Introduction The Science of Process Exhaust Management describes:

– Chemistry of common process gases as they relate to POU abatement– Common POU abatement technologies; burn-wet, thermal wet, wet scrub,

dry scrub, plasma, etc.– Comparison of technologies for a range of processes– Overview of F-GHGs and their abatement

• These comprise an 8-hour symposium

SESHA Hill Country meeting (today) will cover Inorganic Halides and F-GHGs as an example – Touch upon gases and properties– Briefly discuss wet scrub, burn-wet, and plasma (pre- and post-pump)– Compare these technologies for abatement of these processes

2

Applied Materials External DistributionApplied Materials / External Use

Exhaust Gas Management

Silane is pyrophoric and dangerous when not given the respect it demands. Conversion to SiO2 dramatically reduces the hazard.

F2 is converted to HF. HF is also very hazardous, but it is less corrosive, and can be scrubbed and treated in the acid drain.

AlCl3 or NH4Cl are condensable solids that block pipework. Heated pipework prevents condensation and enables POU abatement to eliminate the effluent.

It is the stated goal of exhaust gas management to prevent downtime. This is done by conversion of effluent into a less hazardous form OR into a

more easily handled form.

Applied Materials External DistributionApplied Materials / External Use

4

Why a Chemistry Class?

Many different processes semiconductor manufacturing– Each process is different, uses different chemistry, and does

something different on the wafer Process exhaust will reflect the process being used

(etch, dep)– Poly etch, metal etch, oxide etch and tungsten etch are all

etch processes with different effluents This presentation describes some of the chemicals

used for these processes– Properties of each chemical are described as they relate to

exhaust gas management

Applied Materials External DistributionApplied Materials / External Use

Inorganic Halides

Inorganic halides all react with water to form an oxide and HX (HF, HCl)

5

Gas BP, oC OSHA TWA HazardsWF6 17 2.5 mg/m3 Corrosive, generates HF on contact with waterBCl3 12.5 2 ppm (as HCl) Corrosive, liberates HCl, B is neurotoxinDCS 8 2 ppm (as HCl) Corrosive, flammableTCS 32 2 ppm (as HCl) Corrosive, flammableSiCl4 57 2 ppm (as HCl) CorrosiveTiCl4 136 0.5 ppm CorrosiveAlCl3 183 subl. 2 ppm (as HCl) Corrosive, blocks pipework as solidCOCl2 7.5 0.1 ppm Highly toxic, corrosiveCOF2 -83 2 ppm Highly toxic

• Halogenated compounds without carbon

Applied Materials External DistributionApplied Materials / External Use

Inorganic Halides (cont.)

Phosgene* (COCl2) and fluorophosgene (COF2) are also considered inorganic halides; these are very toxic

• May result from incomplete combustion of halogenated compounds

Most inorganic halides are low pressure gases or liquids at room temperature (except AlCl3)

All react with water or ammonia to form HX + solids– Will form HF or HCl + corresponding oxide (WO3, SiO2, …)– Ideal for water scrubbing, blockages may be an issue– Will react with ammonia (DCS, TiCl4) to form nitride and HCl

6

*Phosgene and fluorophosgene are included with inorganic halides even though they have carbon atoms. They react quickly with water as do inorganic halides.

04 0

Applied Materials External DistributionApplied Materials / External Use

Inorganic Halides (cont.)

Health hazards generally related to HCl or HF liberated on contact with moisture– May form “fumes” or “smoke” - finely divided particulate, which is readily

visible for large leaks– Oxides (solids) can cause blockages or piles of solids at point of leak– WF6 leaks will coat metal surfaces blue-black– May also see evidence of corrosion, especially at point of leak, from

liberated HF or HCl

7

Applied Materials External DistributionApplied Materials / External Use

Inorganic Halides with Water

WF6 + 3H2O → WO3(s) + 6 HF 2BCl3 + 3H2O → B2O3(s) + 6HCl SiCl4 + 2H2O → SiO2(s) + 4HCl TiCl4 + 2H2O → TiO2(s) + 4HCl 2AlCl3 + 3H2O → Al2O3(s) + 6HCl COCl2* + H2O → CO2 + 2HCl COF2 + H2O → CO2 + 2HF

*HCl formation in the lungs is not the primary toxic path of phosgene. Phosgene destroys the alveoli in the lungs, disrupting the blood-air barrier, causing suffocation.

8

Applied Materials External DistributionApplied Materials / External Use

F-Gases in the Semiconductor Industry

The semiconductor industry phased in F-Gases to replace chlorinated ODSs in the 1980s– F-Gases are asphyxiants for the most part, not ODS’s

• NF3 and C5F8 have toxicity issues

F-Gases heavily used in chamber cleans since early 1990s– Primarily C2F6 and CF4,mostly phased out in favor of NF3

• NF3 is a global warmer with high utilization, so effect is minimized– Also does a good (cost-effective) job of cleaning the chamber

– Significant F-gas consumption in etch, especially CF4 and CHF3• Etch is the major F-gas contributor in most modern fabs

– Difficult to optimize – need proper C, H, O, and F ratio for anisotropic etch

9

Applied Materials External DistributionApplied Materials / External Use

10

Greenhouse Effect

*

The Earth absorbs incoming solar radiation and then tries to cool by emitting long wavelength radiation. This radiation is absorbed by greenhouse gases in the atmosphere (which act like the glass in a conventional horticultural greenhouse) and hence cannot escape, resulting in a net increase in temperature.

* Long wavelength because the earth is a colder emitter than the sun!

Applied Materials External DistributionApplied Materials / External Use

Black Body Emissions

Hot things glow dull red.– Hotter things glow bright red, yellow, or white

This is described as “Black Body Radiation”– Black body radiation is independent of the compound – the color

(and intensity) of the emission is due strictly to the temperature

Color of emission is calculated by Planck’s Law CFLs (compact fluorescent lights) have color values listed

as 2700K, 3500K, 6500K – These are based on black body emission at those temperatures

Earth’s upper atmosphere glows at a temperature corresponding to 290oK

11

Applied Materials External DistributionApplied Materials / External Use

12

1000200030004000Wavenumbers

0.0

0.5

1.0

1.5

2.0

2.5

Abs

orba

nce

10_02_02_1007_07_303.abs:0.5cm-1,8sc,120.952C,1.0000atm,4.000M,Purge

CF4SF6

CF4, SF6 in Process Exhaust

Applied Materials External DistributionApplied Materials / External Use 13

Black CF4Green C3F8Light Blue C2F6Orange NF3Salmon SF6Blue CHF3Olive C4F8Purple CH3FRed SO2F2

Fluorinated Gases Intercept Heat Radiating into Space

4000 3500 3000 2500 2000 1500 1000 500Wavenumbers

Applied Materials External DistributionApplied Materials / External Use

Fluorinated Gases plus Carbon Dioxide

14

4000 3500 3000 2500 2000 1500 1000 500Wavenumbers

Applied Materials External DistributionApplied Materials / External Use

F-Gases are Very Stable Compounds

Carbon-fluorine bond is (almost) the strongest single bond in all of chemistry– Multiple C-F bonds on the same carbon enhance stability

• C-C or C-H bonds are weaker and decrease stability– S-F bond is weaker, but SF6 is sterically hindered (bulky)

Stability is reflected in atmospheric lifetimes Stability is closely related to ease of abatement

– VERY DIFFICULT CF4– DIFFICULT C2F6, SF6, C3F8– RELATIVELY EASY CHF3, c-C4F8, C5F8, NF3– FLAMMABLE CH2F2, CH3F, C4F6

15

Applied Materials External DistributionApplied Materials / External Use

F-Gas Abatement Efficiency

EPA Mandatory GHG Reporting Rule states the following regarding burn-wet abatement:

All POU abatement must be operated within manufacturer’s recommended specifications for efficient F-Gas destruction, including:– Total F-Gas flow

• Specific F-Gas flow (e.g. CF4 limitations)– Total exhaust gas flow from tool

• N2 purge flow– Fuel flow to combustor

• Fuel flow to nozzle– O2 flow

• O2 quality (99%, 60%, 20% (air))– Proper interface to tool (F-gas on, delay for shutoff, etc.)

CF4 abatement is the most sensitive to conditions– Some manufacturers achieve 95-99% under ideal conditions

16

Applied Materials External DistributionApplied Materials / External Use

POU Technologies

Water Scrubbers– Packed tower, diffused aerators, and spray towers– Transfer of contaminants from air into water– Dosing is common to remove specific chemicals

Cold Adsorber / Chemisorber– Activated carbon, zeolites, and other synthetic adsorbers– Transfer of contaminants from air into solid material

Reactive Chemical Bed (hot or cold)– Chemically reacts gases into safe materials

Burn Boxes– No external fuel– Silane and other pyrophoric gases

Check if by-products are also abated !!!

Applied Materials External DistributionApplied Materials / External Use

POU Technologies

Combustion– Types of fuels, types of combustor designs

Plasma Reactors– Foreline devices for F-GHG destruction

• Capacitively-coupled plasma, ICP, microwave plasma

– DC plasma torches

Pipeline Heaters Traps / Filters / Cyclones / Precipitators

– Physical devices only, no gas treatment

Applied Materials External DistributionApplied Materials / External Use

Packed Tower Counter Flow Water Scrubber

Counter Current Flow: Air and water are mixed in a tower filled with packing material (air enters bottom and water enters the top)

100+ year old technology: Has been used in chemical plants for a long time - most prevalent in industry in general

Common in semiconductor industry: Handles acid gases and particulates well

Good N2 inject design can prevent water back streaming and subsequent blockages, corrosion

Proper holding tank design prevents solids build-up and blockages

Exhaustout

Water outlet

Processin

Waterinlet

Applied Materials External DistributionApplied Materials / External Use

Dry Adsorbers

Granular solid medium in container; gases trapped– Room temperature – Can be reactive (chemisorption) or passive (physical adsorption)

Different solids required based on process– e.g. Caustic for HCl, reducers for Cl2, etc

Endpoint detection required– Tells when medium is full– May be susceptible to out gassing (passive)

Hot-bed absorbers also available and very effective

Applied Materials External DistributionApplied Materials / External Use 21

POU Combustors

Combustors burn fuel to destroy exhaust gases– Methane or H2 are common fuels

• H2 is more common in fabs, but natural gas is a better fuel and far less expensive– Most dep gases (SiH4, DCS, N2O, PH3, TEOS, etc.) burn easily– Clean gases (PFCs, ClF3) require more effort

Combustors use air– CDA or room air, up to 2000 cfm (!) for some models

Combustors may have integrated bypass– Enables pump down of chamber without blowing out flame– Allows maintenance without shutting off dry pump

Applied Materials External DistributionApplied Materials / External Use 22

Combustor / Scrubbers

Combustors may be followed by wet scrubbers Removal of particulate Removal of acid gases

(HF, HCl) Removal of heat

Combustors may have integrated bypass Enables pump down of

chamber without blowing out flame

Allows maintenance without shutting off dry pump

Applied Materials External DistributionApplied Materials / External Use

What is a Plasma?

Four states of matter; – Solid; liquid; gas; plasma

A plasma is a mixture of electrons, ions, and neutrals in the ground state, excited species, and photons with negative and positive charges balance each other – Plasma can conduct

electricity and it is affected by magnetic fields.

Solid Liquid Gas Plasma

Example

ICEH2O(s)

Example

WaterH2O(l)

ExampleSteamH2O(g)

Example

Ionized Gas

Cold T <0°C

Warm 0<T<100°C

Hot T>100°C

e-

e-

e- e-

e-

e-

Very Hot T>100,000°C

T> 1eV

Molecules fixed in lattice

Molecules free to move

Some restriction

Molecules free to move large spacing

Ions and electrons

move independently large spacing

Applied Materials External DistributionApplied Materials / External Use

Applied Materials’ Aeris-G (formerly ZFP2)

Plasma device operates in foreline

– Uses reagent gas to complete the reaction to HF, CO2

• H2O, O2 as required

95 - 99% DREs for all F-GHGs– Conversion to HF, CO, COF2, CO2

• Central scrubbing required

See Sematech report 99123865A-ENG

Water Distribution

System

Applied Materials External DistributionApplied Materials / External Use

Cost of Ownership

Sunk Cost includes:– Capital expenditure (CapEx) - e.g. $65,000 per scrubber

• Can also include vacuum pumps in a package deal– Installation / facilitization

• Installation may be included, but is sometimes from separate budget– Footprint

Operating Cost includes:– Facilities - electricity, fuel, N2, water, CDA, O2, etc.

• Sematech Technology Transfer 02034260A-TR is specific to utilities costs for the semiconductor industry, though it is quite dated

– Maintenance• Parts (both consumables and expendables)• Labor

– Amortization of abatement device (5-year depreciation)

Applied Materials External DistributionApplied Materials / External Use

Cost of Ownership

Downtime (this gets attention of Production) includes:– Loss of wafer or wafers (if scrubber failure crashes process)– Loss of processing time– Amortization of process tool while down

• Tool downtime is measured in $1000s / hour

ESH cost is less tangible– HAPs emissions are limited by EPA or local government

• Semiconductor fabs are often WAY below EPA limits - very clean – Climate Change policy often set by upper management

• Environmental Stewardship• Many companies have this in Environmental Mission Statement

Applied Materials External DistributionApplied Materials / External Use 27

Cost per Wafer (Excluding CapEx)

Number of Wafers

$ / y

ear

Dry bed – Implant

Dry bed – CVD

Dry bed - Etch

Combustor/scrubber

Wet scrubber

Cost / wafer depends on:– Gases used in process– Facilities used / consumed by scrubber– Note CoO with Dry Bed technology can be very high

Applied Materials External DistributionApplied Materials / External Use

28

Process Exhaust

Must treat for products, not just reactant gases.– Plasma reactors are the worst for side reactions

Different processes require different solutions– There is no one-size-fits-all solution for exhaust management

Matter can neither be created nor destroyed– Exhaust products won’t just “go away”

• Will get solids, liquids, or gases out of abatement device.

Some scrubbers will cause more harm than good– F2 generates very hazardous byproducts in a water scrubber

Must look at process and pump and abatement AS A SYSTEM, not as independent components

Applied Materials External DistributionApplied Materials / External Use

29

Oxide Etch

Oxide Etch of silicon oxide or low k interlayer dielectric to provide spaces for vias and interconnect materials. – High aspect ratios often needed to meet ITRS and Moore’s Law

F-GHGs provide a source of fluorine radicals and CF2•radicals that etch interlayer dielectric

CF4 + SiO2 → SiF4 + CO2

Name Formula Use Properties

Carbon Tetrafluoride CF4

Source of F• and CF2• radicals.

Greenhouse Gas

Octafluorobutene C4F8 Greenhouse Gas

Trifluoromethane CHF3 Greenhouse Gas

Difluoromethane CH2F2 Greenhouse Gas

Hexafluorobutadiene C4F6 Greenhouse Gas

Oxygen O2 Source of O2 to remove carbon Oxidant

Applied Materials External DistributionApplied Materials / External Use

30

Dielectric Etch

Safety: Low levels of HF Environmental: by-products are acid gases, plus most of

the gases used have high global warming potential– Over half the GHG emissions from a 300mm fab come from etch

Downtime:SiF4 can form (small) quantities of SiO2 if high moisture present– Dielectric etch of low k ILD may form deposits in pipework which is

easily resolved with heat trace after the vacuum pump

Input Gases:CF4, CHF3, C2F6, NF3, C4F8, etc.

Input GasesByproducts:HF, SiF4, COF2

+Tool

Applied Materials External DistributionApplied Materials / External Use

31

Dielectric Etch: Abatement

Pumping: Run pump hot to keep acid gases in gaseous form, minimize effects of water backstreaming

Water Scrubber– Will remove acid gases– Will not remove F-GHGs (SF6, CF4, CHF3 …)

Combust / scrub– All the gases and by-products will be combusted and scrubbed from

exhaust Cold Absorbers / Hot bed Reactor

– Ensure not only the input gases but the by-products are also abated– Often F-GHG gases not abated

Plasma F-GHG abatement– Most focused technology for F-GHG abatement; thousands installed

• No footprint, no waste water, no fuel, lower NOx and VOC– High efficiency plasmas required– Ensure the abatement device addresses hazardous byproducts (HF,

COF2)

And caustic gases: NH3

Applied Materials External DistributionApplied Materials / External Use 32

Summary Process chemistry varies widely depending on

process– Dep, etch, anneal, furnace all have different chemistries

F-GHGs have range of abatement solutions– Burn-Wet and foreline plasma both get excellent DRE

• Foreline plasma is most targeted solution for F-GHG abatement; specific, no footprint, no fuel, no waste water

There is no one-size-fits-all POU abatement solution– Abatement must fit process chemistry, fab requirements

Applied Materials External DistributionApplied Materials / External Use

Questions?Dr. Joe Van Gompel

Senior Applications SpecialistApplied Materials

Austin [email protected]

512-272-1040 (Desk)669-225-7524 (Mobile)

33

34