45
1 UNIT-3 MNT-204 Top down approach to nanolithography Tools for nanolithography Immersion lithography Extreme and ultraviolet (EUV) photolithography Phase shifting masks X ray lithography including plasma xray sources Ebeam lithography Focused ion beams Nanosphere lithography Molecular self-assembly Soft lithography Stereo-lithography Nanoscale 3D shapes-NEMS design Molecular manipulation by STM and AFM LB flim resists Nanoscratching [email protected]

Nanoscale Devices MNT-204 UNIT-3

Embed Size (px)

Citation preview

Page 1: Nanoscale Devices MNT-204 UNIT-3

1

UNIT-3

MNT-204

Top down approach to nanolithographyTools for nanolithographyImmersion lithographyExtreme and ultraviolet (EUV) photolithographyPhase shifting masksX ray lithography including plasma xray sourcesEbeam lithographyFocused ion beamsNanosphere lithographyMolecular self-assemblySoft lithographyStereo-lithographyNanoscale 3D shapes-NEMS designMolecular manipulation by STM and AFMLB flim [email protected]

Page 2: Nanoscale Devices MNT-204 UNIT-3

2

3.1 Synthetic Approaches:“top-down” versus “bottom-up”

Approaches for Nanotherapeutic Device Components

• Synthesis of nanomaterials is commonly thought of in terms of “top-down” or “bottom-up”

processes.

• Top-down approaches begin with larger starting materials and, in a more or less controlled

fashion (depending on the technique), remove material until the desired structure is

achieved.

• Bottom-up approaches seek to have smaller (usually molecular) components built up into

more complex assemblies (molecular manufacturing).

• The top-down approach often uses the traditional workshop or microfabrication methods

where externally-controlled tools are used to cut, mill, and shape materials into the desired

shape and order. Micropatterning techniques, such as photolithography belong to this

category.

• Most microfabrication techniques for inorganic materials (lithography and milling techniques,

etc.) fit this description.• The top-down approach has evolved from the conventional lithographic technology, which is

the de facto standard used in the semiconductor industry. This approach takes a bulkmaterial, and modifies or breaks it into smaller desired structures and normally involvesremoving or etching out (sometimes with forming or adding) some materials to make thefinal ones.

• Bottom-up approaches begin with smaller sub-units that are assembled, again with

varying levels of control, depending on technique, into the final product.

• To create nanoscale devices by using larger, externally-controlled ones to direct their

assembly. (conventional manufacturing).

• Bottom-up approaches, in contrast, use the chemical properties of single molecules

to cause single-molecule components to self-organize or self-assemble into some

useful conformation .

• The top-down approach, interest has shifted to the bottomup approach, in which the

materials of atom or molecular scales serve as building blocks, for next generation

nanoscale devices and [email protected]

Page 3: Nanoscale Devices MNT-204 UNIT-3

3

3.2 Lithogrophy tools• Lithography can be summarized by three basic steps:

• 1) Pattern Design (generation of masks), 2) Pattern Definition (exposure), and 3) Pattern Transfer

(etching/ liftoff).

• Optical lithography uses masks to form patterns on resist/substrate surfaces to produce features.

• The key limitation of photolithography lies in the fact that resolution of features is diffraction-limited by the

wavelength of light used.

• To address this limitation, short-wave radiation (i. e., X-rays with wavelengths of about 1nm wavelength)

can be generated by synchrotron or other sources (from X-ray tubes, discharge plasma, or laser plasma),

controlled, and focused for use in X-ray lithographic techniques.

• The process is identical conceptually to optical lithography but requires special masks and resists

Combinations of filters and mirrors can produce resolutions in feature size of less than 100 nm, with

fabrication throughputs congruent with those of other optical lithography processes.

• The relatively longwavelengths used in conventional photolithography are generally unsuitable for

formation of nanoscale features unless some clever technical expedient, like the use of a sacrificial layer

(described below for generating nanoporous membranes) is employed.

Lithography(basics of Photolithography)

• lithography is the process of using electromagnetic energy to transfer a pattern from amask to a resist layer deposited surface of a substrate (which we’ll call the wafer)

• Step 1: A photosensitive emulsion called aphosoresisi is applied to the wafer tin thevast majority of cases the wafer is silicon).

• Step 2: Optical energy (light) is directed at aphowmask containing opaque and transparentregions correspond to the desired pattern. Thelight that passes through the photomaskreaches the wafer, illuminating the desiredpattern on the resist. In projection lithography,which is the most common form in thescmiconducting industry.

• Lenses used to focus the light before and afterthe photomask.

• Step 3: Sections of the photoresist that are exposed to the light coming through the maskundergo chemical reactions.

[email protected]

Page 4: Nanoscale Devices MNT-204 UNIT-3

4

• (a) For a negalive pIIotoresist, the resist material is initially soluble (for a particularsolvent that will be used in development), and through a chemical reaction exposed tolight, becomes insoluble. When the wafer is later washed with solvent, the areas that wereunexposed i.e.. where the photomask blocked illumination) dissolve, and the exposedareas, corresponding to transparent sections of the photomask, remain.

• (b) In a postiwe phoioresisi. the resist material is initially insoluble, and through achemical reaction when exposed to tight, becomes soluble. When the wafer is washedwith a solvent, the areas ihal were exposed to the illumination dissolve. and theunexposed areas remain.

• Steps 4: Different steps, such as the following. may then be performed to transfer thepattern from the resist to the wafer. For example.

• (a) Itching may be used to remove substrate material. The photoresist serves to resistthe etching and protect sections of the wafer that it covers. After etching the resist isremoved, leaving the desired structure:

• (b) Material may be deposited, for example, metallization, onto the waler. Then thephotoresist can he removed, leaving the deposited material in areas that were not coveredby the resist.

• (c) Doping can occur. For instance, a beam of dopant ions can be accelerated towardsthe waler. The resis blocks the ions from reaching those regions of the wafer covered bythe resist, and thus creates regions of doping in areas not covered by the resist. This isknown as ion implantation.

3.3 Lithography tool(ALIGNMENT AND EXPOSURE)

(basics of photolithography)

• The simplest lithographic technique is contact lithography:

• The photomask and the resist-covered wafer are brought into intimate contact, andexposed.

• The resolution is determined by mask dimensions and diffraction at mask edges.

• Proximity lithography is a modification of contact lithography: a small gap, for example,3 to 50μm is left between the mask and the wafer.

• The wavefront traversing the mask is diffracted by the mask patterns.

• Both contact and proximity lithography are done in one and the same machine: The gapbetween the mask and the wafer is an adjustable parameter, with values from zero up.

• Contact/proximity lithography systems are 1X: the image is the same size as the original.The role of optical system 1st is then to provide uniform [email protected]

Page 5: Nanoscale Devices MNT-204 UNIT-3

5

Contact and proximitylithography. Proximity gapis typically 3 to 50μm

• Projection optics is often used for• 1. chipwise exposure: one chip is exposed, and the wafer is moved to a new

position, and another chip is exposed. This approach is termed step-and-repeat.

[email protected]

Page 6: Nanoscale Devices MNT-204 UNIT-3

6

Resolution Rayleigh resolution(basics of photolithography)

• Minimum discrimination between two points.• Resolution is defined as the ability to distinguish separate components of an object or a

group of objects. Or• The minimum feature that may be printed with an optical lithography system is

determined by the Rayleigh equation• The resolution of an optical lithography process describes the ability of an imaging system

to resolve two closely spaced objects It is not actually the smallest feature size of a printedobject.

• The general problem of achieving good resolution can be appreciated by considered thepattern of light that forms in passing through the transparent regions of the photomask, by aprocess known as dffraciion.

• which is basically the ability of light to “bend” around corners, as light passes through anaperture on the mask.

• Immediately to the right of the mask, the illuminated patternwill have relatively sharp boundaries, but further away fromthe mask, the pattern becomes as shown. There is aninterplay between the aperture (2w) wavelength (λ) andposition (z), although, in general, at position (z) the smalleraperture compared to wavelength, the more the beam willspread out.

• Fixing the size of the aperture, one can obtain a sharperpattern using smaller wavelengths.

• where k1 is a constant, λ is the wavelength of the source, and NA is called the numerical

aperture.

• The constant k1, although values of k1 around 0.3-0.8 are common.

• The numerical aperture is determined by the characteristics of the lens used to focus the

incident energy, with typical values being NA = 0.5 to 0.9. When air is used between the

lens and the wafer. NA = 1 represents the physical limit.

• For example, an optical lithography system characterized by λ = 193 nm. k1 = 0.25. and

NA = 0.9 results in R = 53.6 nm.

• Although (R) can be reduced by shrinking λ, this is not easily accomplished.

• since, for instance, at wavelengths less than 193 nm, light tends to be absorbed by the

fused silica lenses that are now used in standard lithographic processes.

• Then we have another processes:

• using phase-shift masks can significantly enhance resolution, and may be able to extend

optical lithography into the low tens of nanometers range.

Rayleigh resolution

[email protected]

Page 7: Nanoscale Devices MNT-204 UNIT-3

7

Imaging Basics(basics of Photolithography)

• Practical lithography is based on replicating the pattern defined on a photomask into resist-covered wafers.

• In an ideal case with no degradation at all in the imaging process, a simple copy of themask pattern would result.

• However, in a projection imaging process, the imaging is always subject to degradationfrom imperfections in the projection system.

• The spreading of the imageprofile results from thewave nature of light, and itis this property thateffectively limits theresolution capability ofoptical imaging systems.

• There is a limit to resolution for any given projection optical system, and it is notpossible to resolve arbitrarily small or closely spaced features.

• It is also apparent that the resolution can be improved by using a smaller wavelengthof the exposure light, and the resolution can be improved by making the projectionsystem aperture larger.

[email protected]

Page 8: Nanoscale Devices MNT-204 UNIT-3

8

3.4 Resolution Enhancement Technique

• There are some possible approaches to achieving greater resolution are to reduce thewavelength, to perform the exposure in a liquid environment, and to improve the imageformation capabilities of the existing lithography tools and technology, as represented by areduction in the Rayleigh (k) factor.

• 1. (Wavelength): This wavelength is generated by an excimer laser based on F2. Theexcimer laser has proved to be a suitable light source for the 248 and 193nm exposure toolsnow in widespread use, and the extension to 157nm (F2) was anticipated to work in amanufacturing worthy fashion.

• There are very few materials that transmit even at 157 nm.

• The primary choices at 157nm are CaF2 and BaF2, with CaF2 being considerably moremature as an optical material.

• Unfortunately, CaF2 has a large intrinsic birefringence property at 193 nm, which significantlycomplicates the design and manufacture of high-quality optical lenses.

• The manufacture of suitable quality CaF2 was found to be difficult and expensive.

• There were equally severe challenges for resist materials and for reticle materials. At thistime, it does not appear that wavelengths shorter than 193nm will be used for opticallithography.

Resolution Enhancement Technique

• 2. Extreme UV lithography (EUVL) using a smaller wavelength, 13.6 nm, is actively

under development at this time. It is photon based, and therefore also known as “optical

lithography.”

• 3. Dielectric Medium: Resolution enhancement by performing exposure in an

environment other than air, specifically in water, is a technique that is in an active

development and implementation phase at this time.

[email protected]

Page 9: Nanoscale Devices MNT-204 UNIT-3

9

3.4.1 Phase Shift Masks

• Principle: Phase shift mask technology for optical lithography builds on the principle ofinterference between light waves.

• In conventional lithography, the light from adjacent openings in the mask overlaps in thedark region between the mask openings and thereby lowers the contrast between the lightand dark regions.

• If the phase of the electromagnetic waves comprising the light in the adjacent maskopenings is different by 180o then there is destructive interference between the light fromthe adjacent openings, and the resulting image is dark between the open features.

• A conventional photomask is a transparent plate with the same thickness everywhere,parts of which are covered with non-transmitting material in order to create a pattern onthe semiconductor wafer when illuminated.

Destructive interferenceConstructive interference

[email protected]

Page 10: Nanoscale Devices MNT-204 UNIT-3

10

• In alternating phase-shift masks, certain transmitting regions are made thinner orthicker.

• That induces a phase-shift in the light traveling through those regions of the mask.

• When the thickness is suitably chosen, the interference of the phase-shifted light with thelight coming from unmodified regions of the mask has the effect of improving the contraston some parts of the wafer, which may ultimately increase the resolution on the wafer.

• The ideal case is a phase shift of 180 degrees, which results in all the incident light beingscattered.

• The correct thickness of the shifter is given by:

• where n is the refractive index of the shifter material. For typical conditions with n=1.5, the

phase shifter thickness is the same size as the exposure wavelength.

• Attenuated phase-shift masks: Certain light-blocking parts of the mask are modified to

allow a small amount of light to be transmitted through (typically just a few percent). That

light is not strong enough to create a pattern on the wafer, but it can interfere with the light

coming from the transparent parts of the mask, with the goal again of improving the

contrast on the wafer.

Limitations

• In practice, however, the structure of phase shift masks must be more complex.

• it is necessary to adjust the etch sidewall profiles and/or bias the size of the pattern features

to achieve the full benefit of the phase shift effect.

• It is more difficult than the manufacturing of conventional chrome-on-glass masks.

• Defect inspection remains a critical aspect of phase-shift mask technology, as the set of

printable mask defects has expanded to include those with phase effects in addition to

conventional transmission effects.

Advantages• As phase-shift masks are applied to printing smaller and smaller [email protected]

Page 11: Nanoscale Devices MNT-204 UNIT-3

11

Why we need enhanced Lithography• At the time of this edition, there is widespread manufacturing use of exposure tools

working at the 193 nm wavelength of the ArF excimer laser.

• These tools have NA greater than 0.9, providing useful line/space resolution capabilitydown to about 65 nm.

• However, it is not possible toincrease the NA much farther ifthe exposure takes place in air.

• The resolution capability of opticallithography by moving to evenshorter wavelengths, namely 157nm, but seems to be too difficultand too costly to pursue towardfull manufacturingimplementation.

• At the same time, the processfactor (k), in the resolution hasbeen reduced through improvedprocesses.

• NA=nsinθ, n is the refractive indexof the medium in which lens isworking

Above are the results for air medium

3.5 Immersion Lithography• From the Equation of Resolution, NA, can be increased beyond 1.0 if the exposure

medium (air) is replaced by a material with an index of refraction greater than 1.0.

• It has been the practice for many years to increase the resolution of microscopes by fillingthe space between the bottom of the lens and the object to be imaged with a transparentoil.

• This technique has recently been extended to optical lithography by the addition of waterbetween the exposure tool lens and the wafer.

• The basic imaging behavior for conventional exposure in air and for immersion lithographyusing water are shown below:

[email protected]

Page 12: Nanoscale Devices MNT-204 UNIT-3

12

• The NA for air exposure is limited to

1.0.

• Any attempt to increase the optical

ray angles further, would simply lead

to total internal reflection of the light

back into the lens.

• Introduction of the exposure medium,

in this case water, allows the rays to

pass on to the resist.

• It should be noted that the optical

ray angles in the resist are not

affected by the imaging medium.

• There may be secondary effects, including an improvement in DoF as discussed below.

• The immersion fluid does open up the possibility of NA greater than 1.0, and this is wherethe real benefits lie.

• The basic imaging properties of this so-called immersion lithography are understood froma straightforward modification of the standard resolution and DoF equations for figure (b):

• This expression shows that immersion lithography has the same effect as reducing theexposure wavelength by the refractive index of the immersion fluid.

• In the case of pure water, the index at 193 nm is about 1.44, and the effective exposurewavelength is 134 nm.

• The Rayleigh DoF equation can be extended to for (b):

• The Rayleigh DoF equation can be extended to for (a):

• (for fig a)The effective NA in that medium is reduced from the system NA by a factor of n,the refractive index of the [email protected]

Page 13: Nanoscale Devices MNT-204 UNIT-3

13

• Approaches to Immersion Lithography:• The potential benefits of immersion lithography are: extended resolution capability and

greater depth of focus.• The most obvious is the need to establish and control the layer of water between the

bottom of the projection lens and the wafer.• Three approaches are:• 1. First would involve complete immersion of the wafer, the wafer stage, and the bottom of

the lens• The mechanical difficulties of accommodating the rapid stepping and scanning motions

required for complete imaging of the entire wafer would be very large.• 2. Second method would limit the water to a smaller region fully contained in the wafer

stage.• A small pool would move with the stage, and there would be no need for the stage itself to

travel through a large bath of water.• 3. Third approach, which has been adopted by all of the major exposure tool

manufacturers, creates a localized film of water contained between the bottom of the lensand the wafer.

• This film is essentially stationary under the lens, and the necessary stepping and scanningmotions are accomplished by moving the wafer under the film.

[email protected]

Page 14: Nanoscale Devices MNT-204 UNIT-3

14

Benefits of Immersion Lithography• Extended resolution capability and greater depth of focus are obtained.

• Production-ready exposure tools employing water as the immersion fluid have entered themarket.

• Cost is not so high.

Limitations• To establish and control the layer of water between the bottom of the projection lens and

the wafer.

• It is difficult to maintain bobble free and floating particles in the water.

• Elimination of bubbles in the immersion fluid, temperature and pressure variations in theimmersion fluid, and immersion fluid absorption by the photoresis.

• The resist is another potential source of defects. Chemicals can be leached from the resistinto the immersion fluid.

Extreme Ultra Violet (EUV) Photolithography(Soft X-ray Photolithography)

• In principle, Extreme Ultraviolet (EUV) lithography is a logical extension of opticallithography to very short wavelengths (10–14 nm) in the soft X-ray spectrum.

• conventional refractive optics ceases to function at EUV because of its strong absorptionin virtually all materials.

• Reflective optics has to be used for both mask and focusing optics.• The basic system of EUVLis schematically shown

• There are four critical components in EUVL:EUV source, EUV projection optics, EUV mask,and EUV resist.

[email protected]

Page 15: Nanoscale Devices MNT-204 UNIT-3

15

1. EUV Source: ExtremeUV radiation can be generated by two methods: plasma and

synchrotron radiation source (SRS).

• (Synchrotron radiation source: is a large-scale instrument which can only be built and

owned by a national government)

• Industrially dedicated EUV sources for lithography purpose are mostly based on plasma-

generated EUV radiation.

• Plasma-generated EUV radiation:

• (a) laser-produced plasma (LPP) (b) Discharge-produced plasma (DPP).

• Some elements such as Li, Xe, and Sn, after ionized, can emit short-wavelength

photons when electrons in the atomic structure jump from higher energy band to lower

energy band.

• For example, ionized Li atomhas a radiation spectrumfrom10 nmto 22 nm, with the

highest intensity of spectra line at 13.5 nm.

• This has been the reason that the central wavelength for EUVL is fixed at 13.5 nm.

2. EUV Optics: The key component of EUV optics is the multilayer reflective mirror.

• In order to achieve reasonable reflectivity at near-normal incidence, the mirror surfacemust be coated with multilayer thin-film coatings.

• These coatings consist of a large number of alternating layers of materials havingdissimilar EUV optical constants.

• They provide a resonant reflectivity when the period of the layers is approximately λ/2 ofEUV wavelength.

• The most developed and best understood reflective multilayers for EUV are made ofalternating layers of Moand Si, and they have the highest reflectivity at wavelengths ofabout 13 nm.

[email protected]

Page 16: Nanoscale Devices MNT-204 UNIT-3

16

3. EUV Mask:• Extreme UV mask works the same way as the EUV mirror.• It has to be reflective.• There are two stages of processes involved in making EUV masks:• (a) First is the making of the mask blank and (b) second is the patterning of the absorber

layer.

• The blank is similar to a EUV mirrorexcept that there is an absorber layer ontop of the multiple pairs of Mo–Si layers.

• The substrate must be a low thermalexpansion material (LTEM) with flatnessbetter than 50 nm and free of defects.

• A number of metals can be used as the absorber layer, such as Al, Cr, Ta, and W.• Conventional electron beam lithography and reactive-ion etching (RIE) are adequate for the

absorber patterning.• The most difficult part in EUV mask manufacturing is the defect inspection and repair:

There are two groups of defects: (a )the defects in a mask blank and (b) defects inpatterned absorber.

• Defects as small as 50nm on the absorber patterns can be printable• focused ion• beam (FIB) has been the universally used repair tool. However, FIB repair of opaque defect

in EUV masks can easily cause both damage.

• 4. EUV Resists Materials• EUV resist, required to have higher sensitivity and higher resolution capability• as well as low line edge roughness (LER).• High sensitivity is required because of the low power level of EUV source.• The higher the resist sensitivity is, the greater the resist LER.• Some recent EUV exposure results showed 35-nm and 40-nm line/space patterns.• EUVL at• 32-nm technology node and below, the LER has to be below 2.5 nm

[email protected]

Page 17: Nanoscale Devices MNT-204 UNIT-3

17

Electron Beam lithography• Electron Beam Lithography (EBL) is a specialized technique for creating the extremely fine

patterns required by the modern electronics industry for integrated circuits.

• It is possible to obtain of higher resolution than optical lithography because of the smallwavelength (less than 1 angstrom).

• This is possible due to the very small spot size of the electrons, whereas the resolution inoptical lithography is limited by the wavelength of light used for exposure.

• Electron beam lithography (often abbreviated as e-beam lithography) is the practice ofemitting a beam of electrons in a patterned fashion across a surface covered with a film(called the resist), and of selectively removing either exposed or non-exposed regions of theresist ("developing").

• The purpose, as with photolithography, is to create very small structures in the resist thatcan subsequently be transferred to the substrate material, often by etching.

• It was developed for manufacturing integrate circuits, and is also used for creatingnanotechnology architectures.

• The primary advantage of electron beam lithography is that it is one of the ways to beat thediffraction limit of light and make features in the nanometer regime.

• This form of maskless lithography has found wide usage in photomask-making used inphotolithography, low-volume production of semiconductor components, and research &development.

• Exposure scheme:• Exposure machine decomposes the data into simple elements

(trapezoids or rectangles, depending on the machines) to control theelectron beam irradiation.

• Electron beam exposure machines are bigger and more complicatedthan the optical printers because of their data-handling function.

• Electron Optics: (Shown in next slide)• Magnetic lenses form a de-magnified image of the source d0 on the

image plane di. The position of an electron beam, irradiated on thesubstrate, is controlled by deflectors. The on-off control of an electronbeam is carried out by a blanking plate.

• For cathod the LaB5 single crystal is used. which has a long life, highstability, and high current capability compared to the conventionaltungsten hairpin cathode

• The thermal field emission (TFE) source consists of a tungsten tip with a radius of 0.5 to1µm heated sufficiently (about 1900 K) to emit high current with high stability.

• where A is the Richardson constant, k the Boltzmann constant, and Ew the work function ofthe cathode material.

• The lenses in the electron optical column are magnetic, and theirstructure is illustrated in Fig

[email protected]

Page 18: Nanoscale Devices MNT-204 UNIT-3

18

• . If a parallel beam of radius (r0) entersthe field (B) of the lens, the electronsexperience a force that causes those noton the axis to revolve about the axis andturn toward it.

• For a thin lens, the election path beyondthe lens is given by:

• Spot Size:

• Scanning Methods:

[email protected]

Page 19: Nanoscale Devices MNT-204 UNIT-3

19

• Electron Resist:

• Resist: Polymethylmethacrylate (PMMA) remains the highest resolution organic EBL

resist used for nanofabrication.

• Recently, some resists with good etch resistance, such as hydrogen silsesquioxane

or HSQ16 and ZEP, have demonstrated resolution close to 10 nm.

[email protected]

Page 20: Nanoscale Devices MNT-204 UNIT-3

20

• Applications:1. Mask Fabrication2. Direct Writing

X-ray Lithography

• Optical lithography is limited by diffraction, which is most significant when objects are

comparable in size to the wavelength of light.

• Diffraction effect and resolution are improved by reducing wavelength.

• If the wavelength is reduced further than deep UV, all optical materials becomeopaque because of fundamental absorption, but transmission increases again in thex-ray region.

• In x-ray lithography, an x-ray source illuminates a mask that casts shadows on a resist

covered wafer.

• Light organic materials, do not print as a defect; and the depth of focus is larger than that of

optical printers, because X-ray can penetrate the majority of materials. Only materials with

high atomic number can absorb X-ray.

• The lithographic use of wavelengths in the x-ray portion of the electromagnetic spectrum

was motivated by the idea that diffraction effects could be effectively neutralized by using

photons with extremely short wavelengths.

[email protected]

Page 21: Nanoscale Devices MNT-204 UNIT-3

21

• x-ray lithography involves the use of proximity printing, where the mask is brought to withina few microns of the wafer and the x rays are passed directly through the mask and ontothe wafer.

• The essential technology components of this process are:

• (1) a mask consisting of a device pattern made of x-ray-absorbing materials on transmittingmaterial

• (2) an x-ray source

• (3) an x-ray resist.

• X-ray mask:

• x-ray masks are made on very thin membranes (thickness <2 m).

• A large percentage of the x rays pass through the low-atomic-number material.

• The x rays are absorbed or scattered by the high-atomic-number materials, thus generatingpattern contrast such as Au, W, Ta, and other heavy metals

• Silicon carbide is a typical membrane material.

• For the X-ray of 1-nm wavelength, the silicon membrane is of 1–2-mm thickness andabsorber thickness is in the range of 300–500 nm.

• The exposure depth in resist will be about 1 µm

• Silicon carbide is a good material to use for the membrane because it has a high Young’smodulus (450 GPa), a characteristic that minimizes mechanical distortion, and it is notdamaged by long exposure to x rays.

• Gold and tungsten have been used as absorbers.

• The best success has been found with compounds of Ta, such as TaN, TaSiNx, and Ta4B,because they are compatible with various etch and cleaning processes.

• X-ray masks are typically made from silicon wafers. The membrane-mask area is fabricatedin the center of the wafer.

[email protected]

Page 22: Nanoscale Devices MNT-204 UNIT-3

22

• X-ray Sources:

• X-ray resist:

[email protected]

Page 23: Nanoscale Devices MNT-204 UNIT-3

23

• Advantages:

• Some contaminants, such as light organic materials, do not print as a defect.

• The depth of focus is larger than that of optical printers.

• If the wavelength is reduced further than deep UV, all optical materials become opaque

because of fundamental absorption, but transmission increases again in the x-ray region.

• Limitations:

• The main limiting factors are photoelectrons and Auger electrons generated by X-ray

irradiation in resist, and X-ray diffraction.

• High-energy X-ray irradiation will generate considerable number of photoelectrons and

Auger electrons inside a resist material.

• These low-energy electrons are more efficient to interact with resist polymer molecules,

causing exposure of the resist. Upon acquiring energy from X-ray, these electrons scatter

to some distance within the resist layer.

Focused Ion Beam Lithography

• In Focused ion beam (FIB) lithography, A focused energetic ion probe is scanned

across a surface to enable either subtractive lithography (through sputtering of atoms from

the target surface) or additive lithography (through ion beam-induced decomposition of an

organic vapor).

• Simultaneous generation of secondary electrons and ions allows real-time imaging and

monitoring of the sputtering/deposition processes.

• When an ion beam is used to expose a resist, higher resolution is possible than with an

electron beam because of less scattering.

• Resists are more sensitive to ions than to electrons.

• There is also the possibility of a resistless wafer process.

• The most important application of FIB lithography is the repair of mask for optical or x-ray

lithography. (a) task for which commercial systems are available)[email protected]

Page 24: Nanoscale Devices MNT-204 UNIT-3

24

• Ion beam lithography, or ion projection lithography, is similar to Electron beam lithography,

but uses much heavier charged particles, ions.

• In addition to diffraction being neligable, ions move in straighter paths than electrons do

both through vacuum and through matter, so there seems be a potential for very high

resolution.

• Secondary particles (electrons and atoms) have very short range, because of the lower

speed of the ions.

• On the other hand, intense sources are more difficult to make and higher acceleration

voltages are needed for a given range.

• Due to the higher energy loss rate, higher particle energy for a given range and the

absence of significant space charge effects, shot noise will tend to be [email protected]

Page 25: Nanoscale Devices MNT-204 UNIT-3

25

1 FIB Source:

• A liquid metal ion source (LMIS) is used to generate a stream of ions that are

accelerated to energies of order tens of keV and focused onto the target surface

using electrostatic lenses.

1.1 LMIS: The LMIS material is typically because it is liquid at close to room temperature,

alleviating the need for constant source heating and increasing source lifetime to

typical values > 1000 hours, although many other LMIS materials have been

demonstrated.

• The beam diameters at the target surface are limited by the total ion current, by the

virtual source size (typically of order tens of nanometers for an LMIS), by lens

aberrating and by the Boersch effect (lateral ion repulsion) at the cross-overs in the

ion optics.

• Fundamental Mechanisms

• The three fundamental mechanisms that can provide patterning in focused ion beam lithography are:

(I) Physical sputtering of the target surface:

• Transfer of energy from the incident ions to atoms in the target causes physical ejection of target atoms,with an efficiency depending upon the mass, energy, and incident angle of the primary ions and theatomic structure, orientation, and chemical species in the target.

(II) Focused ion beam–induced deposition:

• an organic vapor containing the deposition species is introduced at a partial pressure of order 1–10 mTto the sample surface (corresponding to a chamber partial pressure typically of order 10-6-10-5T) using athin capillary tube.

• The typical minimum dimensions of deposited features are of order 50–70 nm.

(III) Focused ion beam modification of the internal molecular structure of resist materials, with direct analogyto electron and optical lithography techniques.

• Given the much lower range of energetic ions within a target, compared to electrons of equivalentenergy, the rate of energy loss per unit length of target traveled is much higher, and each ion modifiesthe resist structure far more substantially than an electron.

• Thus, the doses for successful ion exposure of a resist are typically lower than for electron exposure.Line widths below 20 nm for ion exposure of PMMA have been reported.

[email protected]

Page 26: Nanoscale Devices MNT-204 UNIT-3

26

• Applications:

(i) create a pattern in a resist layer just like EBL,

(ii) locally milling away atoms by physical sputtering with sub-10nm resolution (subtractive

lithography),

(iii) locally depositing material with sub-10nm resolution (additive lithography),

(iv) local ion implantation for fabrication of an etching mask for subsequent pattern transfer,

(v) direct material modification by ion-induced mixing.

[email protected]

Page 27: Nanoscale Devices MNT-204 UNIT-3

27

• Advantages:

• The great advantage of FIB lithography is that it is eminently suitable for rapid prototyping

of limited size arrays, requiring neither mask nor resist in direct modification sputtering or

deposition modes.

• Disadvantages:

• The primary limitations are throughput and the invasive nature of the LMIS species (most

usually Ga+) which cause substantial damage in the target surface, can dope

semiconductor materials, or can produce new eutectic compounds (often of low melting

temperature) in many target materials.

Nanosphere lithography• Nanosphere lithography involves to make dot array pattern without using photons or

charged particle beam lithography.

• Nanosphere lithography involves polymer or silica nanospheres that are self-assembled toproduce a close-packed monolayer on a substrate (as a masking layer) and then infiltratedby the materials to be deposited to produce a two-dimensional nanopattern on thesubstrate.

Without UV-ray• which uses self-assembled polystyrene nanospheres as templates.

• Steps• Step 1: The first step in nanosphere lithography involves forming a close-packed

monolayer of submicron size nanospheres.

• a monolayer deposition may simply utilize spin coating from a dispersion of thenanospheres in an appropriate solvent.

• The speed of spin coating is optimized to produce a close-packed monolayer, a periodicparticle array of nanospheres.

• Step 2: The film is dried subsequently by thermal or laser pulsedeposition to produce a colloidal crystal mask.

[email protected]

Page 28: Nanoscale Devices MNT-204 UNIT-3

28

• Step 3: The material to be patterned (such as a metal) is deposited on the substratethrough the interstitial holes (voids) between the nanospheres.

• Step 4: A solvent wash is then used to remove the polymer nanosphere, leaving behinda nanopatterned material.

• (removing the polystyrene spheres in CH2Cl2 acid similar to the liftoff

• process) OR (In the case of silica nanospheres, they can be etched using an HFsolution)

• In the case of hexagonally packed nanospheres, the final

patterned periodic array of the deposited material (e.g., Ag) is

triangular in shape whose spacing can be varied by varying the

size of the nanospheres.• (Figure) AFM image of the array of the triangular shape Ag

nanoparticles.

• Theory:

• Assembled silica spheres can be used as an etching mask.

• Reactive-ion etching reaches to the substrate through the gaps between the spheres.

• An array of holes are formed in the substrate material, instead of aforementioned dots.

• From the diameter of these spheres it is not difficult to calculate the size of dots formed

through the gaps and distance between the dots.

• Assuming the sphere diameter is D, the dot formed through a single-layer nanosphere

mask will be 0.233 D and the distance between dots is 0.577 D.

• A double layer of spheres can further reduce the gap, hence the size of dots becomes

0.155 D. The minimum dots that can be made by this technique is about 40 nm.

[email protected]

Page 29: Nanoscale Devices MNT-204 UNIT-3

29

• Using UV-ray: (wavelength of 365 nm andconventional photoresist)

• Nanosphere lithography that uses self-assembled polystyrene or silicananospheres on a substrate surface as alens array.

• By shining UV light onto the nanospherelens array, light spots are formed under eachnanosphere and a regular array of holes canbe created if the underlayer is photoresist

• holes with minimum diameter of about 250nm can be formed in the photoresist layer usingsilica sphere of ~0.97mm diameter.

• Using Laser to create hemispherical nanowells on a surface:• A laser beam illuminates a mold which consists of array of silica spheres and is in contact

with a silicon surface.• The laser energy is high enough to melt the surface of silicon substrate.• A mechanical pressure is then applied to the nanosphere mold to create hemispherical

dents on the soften silicon surface.• The size of the hemispherical indentation is depends on: the size of silica spheres, the

energy density of the incident laser pulse, and the applied mechanical pressure.

• Application:

• Optical devices: photonic crystals device, biosensor and nonlinear optical device

• Nanodevices: nano-reactor and field emission device

[email protected]

Page 30: Nanoscale Devices MNT-204 UNIT-3

30

Fabrication by self assembly• Self Assembly: Molecular self-assembly is the process by which molecules adopt a

defined arrangement without guidance or management from an outside source.• Self Assembly technique can be used to form verity of nanostructures.• Three common methods are:• Lattice missmatch:

[email protected]

Page 31: Nanoscale Devices MNT-204 UNIT-3

31

Soft Lithography

• Soft Lithography is a set of patterning technique without using optical source.

• In this technique an elastomeric stamp or mold is used for pattern definition.

• Once the replica stamp is created, multiple copies of the pattern can be defined.

• These non-lithographic techniques require minimal monetary investment (clean room not

necessary), can be conducted under normal bench top laboratory conditions, and are

conceptually simple to fabricate.

• Some of the diverse fabrication methods known as soft lithography are:

• replica molding (Xia et al., 1997),

• micromolding in capillaries-MIMIC (Zhang et al., 2002),

• microcontact printing-μCP (Quist et al., 2005),

• microtransfer molding-μTM (Zhao et al., 1996).

Polydimethylsiloxane (PDMS)

Octadecanethiol (ODT)

[email protected]

Page 32: Nanoscale Devices MNT-204 UNIT-3

32

• Microcontact printing:

• It is a flexible, non-photolithographic method that forms patterned self assembled

monolayers (SAM) with micron to nanometer scale dimensions.

• SAMs are surfaces consisting of a single layer of molecules which are prepared by adding

a solution of the molecule to the substrate and washing off the excess mixture.

• Depending on the molecular structure and substrate surface, various molecules can be

self assembled without the use of molecular beam epitaxy or vapor deposition.

• An elastomeric polydimethylsiloxane (PDMS) stamp

is used to transfer molecules of a hexadecanethiol

(HDT) ink to the gold surface of the substrate by

contact. After printing, any undesired gold material

can be etched away to yield the desired pattern. The

technique has been shown to be successful for

device fabrication on non-planar surfaces and

complex micro patterns.

• Replica molding (REM):

• An elastomeric mold rather than a rigid mold, is used tocreate replica patterns (Xia et al., 1997).

• The organic polymer is placed in contact with the PDMSwhile the mold is being deformed or compressed in acontrolled manner.

• Deformation of the elastomer provides a method tofabricate structures that would be difficult or unpracticalthrough other procedures.

• Microtransfer molding (μTM):

• It is based on the application of a liquid prepolymer

against a patterned PDMS mold.

• After the excess liquid is removed (by scraping or

blowing), the filled mold is placed in contact with a

substrate, cured and then peeled to generate the

patterned microstructure.

[email protected]

Page 33: Nanoscale Devices MNT-204 UNIT-3

33

• Advantages• Lower cost than traditional photolithography in mass

production• Well-suited for applications in biotechnology• Well-suited for applications in plastic electronics• Well-suited for applications involving large or nonplanar

(nonflat) surfaces• More pattern-transferring methods than traditional

lithography techniques (more "ink" options)• Does not need a photo-reactive surface to create a

nanostructure• Smaller details than photolithography in laboratory

settings (~30nm vs ~100 nm). The resolution dependson the mask used and can reach 6 nm[1].

Stereolithography• Stereolithography (SLA) is a "Rapid Prototyping" process which produces a physical,

three dimensional object , a "conceptual model" or "master pattern" technology used for

producing models, prototypes, patterns, and production parts.

• This technology involves the curing or solidification of a liquid photosensitive polymer

through the use of an irradiation light source, which supplies the energy that is needed to

induce a chemical reaction (curing reaction), bonding large numbers of small molecules,

and forming a highly cross-linked polymer.

• Different modes to start the curing (solidification) reaction in stereolithographic processes

[email protected]

Page 34: Nanoscale Devices MNT-204 UNIT-3

34

• Beside various existing technologies (CAD, CAM, CAE (how the product will behave)),

various sophisticated techniques have recently been developed for generating 3D objects.

• All of these differenttechniques as well asStereolithography uses thesame principle, i.e. thetransformation of a geometricCAD model into a physicalmodel produced layer bylayer.

• The main advantage of theseprocesses is that they build apart, even a complex part, inone step without requiringplanning of processsequences or specificequipment for handlingmaterials

• Slicing refers to intersecting a CAD model with a

plane in order to determine 2D contours.

• The slicing can either be uniform, where the layer

thickness is kept constant, or adaptive, where the

layer thickness changes based on the surface

geometry of the CAD model

• Adaptive slicing involves slicing with varying layer

thickness.

• Slicing refers to intersecting a CAD model with a plane in order to determine 2D contours.

• The slicing can either be uniform, where the layer thickness is kept constant, or adaptive,

where the layer thickness changes based on the surface geometry of the CAD model

• Adaptive slicing involves slicing with varying layer [email protected]

Page 35: Nanoscale Devices MNT-204 UNIT-3

35

• Light Polymer reaction:• Stereolithographic processes transform a multifunctional prepolymer into a cross linked

polymer through a chain reaction initiated by reactive species (free radicals or ions)generated by light exposure.

• It is necessary to introduce low molecular weight organic molecules (initiators) that will startpolymerisation, through photophysical and photochemical processes because mostmonomers or prepolymers do not produce initiating species upon irradiation.

• Solidification (curing) process:• The curing (solidification) reaction of stereolithographic resins is an exothermic

polymerisation process characterized by chemical cross-linking reactions that create aninfusible, insoluble, and highly cross-linked 3D network.

• This reaction is initiated by supplying an appropriate form of energy that depends on theadopted stereolithographic process \ strategy.

Gelation, i.e. liquid-to rubbertransition, Vitrification, i.e.liquid or rubber-to-glasstransition

Conventional Stereolithography process:

1. Direct or laser writing:

• The direct or laser writing approach consists of a computer, a vat containing aphotosensitive polymer, a moveable platform on which the model is built, a laser to irradiateand cure the polymer, and most currently a dynamic mirror system to direct the laser beam.

• The computer uses the sliced model information to control the mirrors, which direct thelaser beam over the polymer surface “writing” the cross-section of one slice of the model,by polymerisation of a set of elementary volumes

• After drawing a layer, the platform dips into thepolymer vat, leaving a thin film from which the nextlayer will be formed. The next layer is drawn after await period to recoat the surface of the previouslayer.

• The model is cleaned by removing the excess of resin, rinsing with water, and finallyrinsing with ethyl alcohol, before removing the supports.

[email protected]

Page 36: Nanoscale Devices MNT-204 UNIT-3

36

2. Mask based writing:

• Mask-based writing systems or surface curing stereolithographic processes build models byshining a flood lamp through a mask, which lets light pass through it.

• The exposure energy will start the curing process on the exposure area forming each cross-section of the 3D physical object.

• The process included the following steps:

• photo-polymer spread,

• photo-mask generation,

• UV exposure,

• vacuum off unreacted resin, was spread, and

• milling.

• A mask generator produces a negative image of each cross-section on a glass plate.

• After irradiation, noncured resin is removed by vacuum cleaner and wax is spread to fillthe gaps.

• Finally, the solidified wax is machined flat to provide the support for the next layer. SGC(Solid Ground Curing) is no longer being sold.

• Limitations:

• Stereolithographic parts have limited functionality due to low strength and stiffness, poor

creep performance, and environmental instability.

• Shrinkage, which can cause internal stresses in the model

• When the object is removed from the platform, additional distortions can occur owing to

the liberation of internal forces that have been developed during the building process

between the model and the platform.

[email protected]

Page 37: Nanoscale Devices MNT-204 UNIT-3

37

Molecular and Atom manipulation by STM and AFM• The top-down approach has evolved from the conventional lithographic technology, which

is used in the semiconductor industry.

• This approach takes a bulk material, and modifies or breaks it into smaller desired structuresand normally involves removing or etching out (sometimes with forming or adding) somematerials to make the final ones.

• As an alternative to the top-down approach, interest has shifted to the bottom-upapproach, in which the materials of atom or molecular scales serve as building blocks, fornext generation nanoscale devices and systems.

• The ultimate bottom-up approach to nanofabrication is the precise control over single atomsand nanoscale particles for the formation of nanostructures, also known asnanomanipulation.

• In the past decade, scanning probe microscopes (SPM), or more precisely, scanningtunneling microscopy (STM) and Atomic force microscopy (AFM) based technology hasbecome an increasingly popular tool for manipulating matter at the atomic and molecularlevel because the tunneling current involved can selectively break chemical bonds andinduce chemical association among the atoms.

Manipulations by STM

• STM uses a sharpened conducting tip with a bias voltage applied between the tip and thetarget sample.

• When the tip is within the atomic range (~1 nm) of the sample, electrons from the samplebegin to tunnel through the gap to the tip or vice versa, depending on the sign of the biasvoltage.

The exponential dependence of the

distance between the tip and target gives

STM its remarkable sensitivity with sub-

angstrom precision vertically and sub-

nanometer resolution [email protected]

Page 38: Nanoscale Devices MNT-204 UNIT-3

38

• constant height : In the constant height mode, the tip travels in a horizontal plane above thesample.

• The induced tunneling current, which depends on topography and the electronic properties ofthe local surface, constitutes the STM image.

• constant current: In a constant current mode, the tip height is adjusted by feedback signalsto keep the tunneling current constant during scanning, and the variation of the tip heightrepresents the image.

• BASIC MANIPULATION OPERATION BY STM:

• In operating STM, the tip will approach the sample surface until the set-point current isreached (at a given bias voltage).

• An adsorbed atom, molecule or nanocluster, which is here after referred as an adparticle, isheld on the surface by chemical bonds with the surface atoms.

• In an imaging mode, the distance between the tip atom(s) and adparticle is far enough suchthat any forces between them are negligible as compared to the forces binding the adparticlewith the surface, and the adparticle is not disturbed by the passage of the tip over it.

• However, if the tip-adparticle distance is gradually decreased, the tip-sample interactionchanges from the conventional tunneling regime to the electronic-contact regime and then tothe mechanical-contact regime.

• In the manipulation mode, the tip is in close proximity of the surface such that the adparticlebonds to the tip as shown

Figure 1: Schematic of steps in verticalmanipulation (VM), in which adatom istransferred from surface to STM tip andback to surface: (a) tip picking adatomfrom adsorption site and adatomdissociation occurring, (b) tip liftingadatom from surface and moving todesired place due to attractive forces,(c) tip with adatom is loaded at desiredsite.Figure 2. Schematic of lateralmanipulation (LM), in which adatomremains bound to surface and pushed ordragged by STM tip: (a) pulling whereadatom discontinuously follows tip fromone adsorption site to another due toattractive forces, (b) sliding where adatomis trapped under tip and follows its motioninstantaneously and continuously, (c) tip isretracted at desired place.

By varying the distance between the STM tip and the sample, a

force may be exerted on the nanoparticle adsorbed on the surface.

A controlled manipulation experiment can thus be performed by

lowering the tip towards the target adparticle to enhance the tip-

adparticle interaction to overcome the forces between the

adparticle and the surface.

[email protected]

Page 39: Nanoscale Devices MNT-204 UNIT-3

39

• Lateral manipulation (LM):

• By adjusting the tip position and reducing bias voltage, and/or increasing the tunneling

current, it is possible to adjust the magnitude and direction of the force, so that the tip can

either push or drag an adparticle across a surface while the adparticle remains bound to

the surface.

• Normally defined in terms of pulling, sliding or pushing, depending on the type of

interaction that is used between the tip apex and adparticle

• Vertical manipulation (VM):

• The adparticle can also be picked up by the tip and relocated to another position with the

assistance of the electric fields from the STM tip to increase or reduce the tip-adparticle

interaction.

Manipulation of Atoms by STM• Basic Theory:

• An STM with a W-tip was used to arrange Xe atoms adsorbed in a company logo of “IBM”on a single Ni (111) surface.

• Experiments are typically conducted using an STM at low temperatures under UHV(ultrahigh vacuum, less than 10−9 torr) conditions.

• After careful cleaning of the sample surface, the construction of quantum structures can beachieved by an atom-by-atom relocation on the surface.

• The lateral manipulation is adopted and performed by moving the probe in a constantcurrent mode.

• Given the close distance, the tip is almost in mechanical contact with the atom, and theproximity nature of the tip-atom interaction is the main driving force.

• The atom is left at the desired final location by retracting the tip to the original imaging heightachieved by exploiting atomic resolution STM images of the [email protected]

Page 40: Nanoscale Devices MNT-204 UNIT-3

40

Manipulations of Molecules by STM• single molecules, starting with small molecules, such as CO, can be manipulated with an

STM tip by managing the tip-adsorbate interaction force, by regulating the induced electricfield, or by applying tunneling electrons from the tip.

• The resulting chemical reactions on the molecules can be dissociation, diffusion,adsorption, and/or bond formation for creating molecule-based building blocks forpatterning various nanostructures.

• Example:

• (Dujardin,Walkup, and Avouris studied)

• The dissociation of individual B10H14 molecules from an Si(111) surface using low-energytunneling electrons from the tip in a vacuum chamber of 10−8 torr.

• They found that the probability for the dissociation increases from 0.1 to 0.8 as the appliedbias voltage increases from 4 to 8 V with a current of 200 pA.

• Note that when electron energies higher than the work function of the tip (normally lessthan 3eV) are applied to the molecule, the tip acts as an electron emission source and thefield emission induces the dissociation.

[email protected]

Page 41: Nanoscale Devices MNT-204 UNIT-3

41

Manipulation by Atomic Force Microscope (AFM)• AFM: operates by measuring attractive or repulsive forces between the tip and sample,

which vary with the spacing between the two.

• Since the tip is located at the free end of a cantilever, the attractive or repulsive forcescause the cantilever to deflect. (as shown)

• AFM: operates by measuring attractive or repulsive forces between the tip and sample,which vary with the spacing between the two.

• Since the tip is located at the free end of a cantilever, the attractive or repulsive forcescause the cantilever to deflect.

• Typically, the deflection is measured using a laser spotreflected from the top of the cantilever into an array ofphotodiodes.

• During scanning, the separation between the AFM tip and theadparticle is such that the lateral attractive van der Waals forces aresufficiently weak to avoid unintentional contact of the adparticle withthe tip, as shown in Fig. (a)

• Using disabling the feedback loop and advancing the tip intocontact with the particle (Shown (b)), the tip can move laterally to pushthe adparticle to a predefined position on the surface.

Manipulation of Single atom by AFM• Work done:• The first group using a cryogenic AFM near a contact mode to study the vertical manipulation of

Si atoms on Si(111)

• The experiment was conducted at a temperature of 78K under UHV (5× 10−11 Torr).

• A typical n-doped Si cantilever with a spring constant of 48N/m and 1st harmonic resonantfrequency of 160Hz was used.

• The corresponding quality (Q) factor for this cantilever system is 1.7 × 105.

• The Q factor is a measurement of the effect of resistance to oscillation.

• A higher Q has less resistance to oscillation.

• In manipulation, a soft nanoindentation was first performed by the tip to remove a selected Siatom from its equilibrium position at the surface without additional perturbation of the (7×7) unitcell.

• The short-range interaction force acting between the atom at the tip apex and closest adatom atsurface activated the removing process.

• Unloading the manipulated atom is achieved by depositing it on a previously created vacancy atthe surface.

• These manipulation processes are purely mechanical, since only short range chemical interactionforces are involved and neither bias voltage nor voltage pulse is applied between probe andsurface.

[email protected]

Page 42: Nanoscale Devices MNT-204 UNIT-3

42

Manipulation of Single Molecules by AFM

• Individual molecules are difficult to be manipulated by sliding on or dragging from a

surface to chosen sites.

• Because, the presence of undesired interactions between the AFM tip and surface,

including van der Waals, electrostatic and adhesion forces.

• Also, the non-specificity of the attachments, residuals, and molecules often occurs

between the tip and surface.

Nanoscratching• Scratch tests are of enormous practical importance. For nano as well as for micro

fabrication.

• Scratch hardness Hs have been put forward: Hs = FL/AN and Hs = FN/AL where AN and AL

are the projected normal and lateral areas, respectively.• The lateral force (FL) is defined here as the force necessary for pushing the indented tip

horizontally forward at a constant rate• Where FL~FN

3/2

• The scratch resistance, which is used to judge long-term stability.

• Industrial applications of scratch tests on coatings largely prefer microscratching devices

and evaluate diverse properties such as mechanical performance, adhesion, delamination,

abrasion, resistance, critical load, etc.

• Special problems are encountered when scratching coatings and thin films on supports

• Adhesion strength measurements with coatings are performed with linearly increasing

normal load while the indenter moves over the surface.

• Acoustic detection is practical here as cracking or fissuring at the critical load emits

acoustic signals.

[email protected]

Page 43: Nanoscale Devices MNT-204 UNIT-3

43

• For nanoscale structures it will be necessary to use nanoscratching techniques

• At nanoscale scratching AFM and STM is used, for better characterizing long-term stability

of coated surfaces.

• While the applied forces in nanoscratching are much lower than in microscratching the

various mechanisms may be similar but not identical.

• Sharper indentation tips with larger attack angles can be used and more detailed

information is obtained.

• Practical applications of nanoscratching are:

• The field of minerals, construction (pipelines, floor coverings, ceramics, etc.),

• coatings (heavy duty tools, varnishes, lacquers, etc.),

• nanoparticle composites (scratch resistant finish for cars and flooring, UV protection in

sunscreens, UV stabilization in plastics and clothes, selfcleaning facades, etc.),

• thin films (scratch-resistant eyeglasses, polymers, microtools, self-assembled monolayers,

etc.),

• ultra-thin films of nanoparticles. Ultrathin coatings with a wealth of industrial applications

(e.g., nanoparticles, lubricants, antistiction layers, adhesion layers, molecular glues,

coupling agents, etc.)

[email protected]

Page 44: Nanoscale Devices MNT-204 UNIT-3

44

• Appearance:• The appearance of symmetric nanoscratches with different materials may be very different.

• Methods for nano scratching:• 1.

• it is very hard to obtain reliable measurements of the volume• 2. The constant-load scratching may be performed with a pyramidal edge in front or with a

pyramidal plane in front.• In this method horizontal ditches are not always formed.• The actual behavior depends on the brittleness or adhesion of the materials scratched.

[email protected]

Page 45: Nanoscale Devices MNT-204 UNIT-3

45

• Interference: When two or more waves come together, they will interfere with eachother. This interference may be constructive or destructive. If you take two waves andbring them together, they will add wherever a peak from one matches a peak fromthe other. That's constructive interference. Wherever a peak from one wave matchesa trough in another wave, however, they will cancel each other out (or partiallycancel, if the amplitudes are different); that's destructive interference.

• In optics the refractive index (or index of refraction) n of a substance (opticalmedium) is a dimensionless number that describes how light, or any other radiation,propagates through that medium.

• NA: is a dimensionless number that characterizes the range of angles over which thesystem can accept or emit light.

• ex·ci·mer : A diatomic molecule existing in an energy level above the ground state.

• hysics an excited dimer formed by the association of excited and unexcitedmolecules, which would remain dissociated in the ground state

[email protected]