Microfabrication I MC

Embed Size (px)

Citation preview

  • 7/31/2019 Microfabrication I MC

    1/88

    NANO III

    Chapter:

    Micro- and nano-fabrication

    Michel CalameInstitut fr Physik, Klingelbergstrasse 82, 4056 Basel

    room: 1.20, 1st flooremail: michel.calame@un ibas.ch

    MC, Nano III, 24.04.04, 1

    Outline Introduction:

    overview, state of the art,

    semiconductor physics reminder

    Fabrication basics

    IC fabrication overview

    clean-rooms

    Silicon: from sand to wafer material deposition techniques

    lithography etching

    examples of devices: MEMS, NEMS

    Outlook: new and future techniques

    MC, Nano III, 24.04.04, 2

    mailto:[email protected]:[email protected]:[email protected]
  • 7/31/2019 Microfabrication I MC

    2/88

    References

    overview books

    1. Introduction to Semiconductor Manufacturing Technology

    H. Xiao, Prentice-Hall,2001.

    2. Fundamentals of Microfabrication: The Science of Miniaturization

    M. Madou, 2nd ed., CRC Press, 20023. Nanoelectronics and Information Technology

    R. Waser ed., Wiley-VCH, 20034. VLSI Technology (more physical)

    SM. Sze, 2nd ed., McGraw-Hill, 1988

    many web sites, e.g. www .memsnet.org/glossarysee also companies web sites: Intel, Infineon, IBM, AMD,

    MC, Nano III, 24.04.04, 3

    Introduction

    1947, 23th December

    John Bardeen, Walter Brattain,William Schockley

    ATT Bell Labs

    first point contact

    transfer resistor

    reconstitution:

    Aylesworth

    http://www.pbs.org/transistor/MC, Nano III, 24.04.04, 4

    http://www.memsnet.org/glossaryhttp://www.memsnet.org/glossaryhttp://www.pbs.org/transistor/http://www.memsnet.org/glossaryhttp://www.pbs.org/transistor/
  • 7/31/2019 Microfabrication I MC

    3/88

    Introduction

    1958

    Jack Kilby, Texas Instruments

    first IC (Integrated Circuit)

    C. Esser, InfineonMC, Nano III, 24.04.04, 5

    Introduction

    1961, Robert Noyce,

    Fairchild Camera

    first integratedcircuit available as a

    monolithic chip

    Planar technology

    (Si substrate and Allines)

    C. Esser, Infineon

  • 7/31/2019 Microfabrication I MC

    4/88

    MC, Nano III, 24.04.04, 6

  • 7/31/2019 Microfabrication I MC

    5/88

    Introduction

    1971 Intel anounces the i4004 microprocessor

    "a new era of integrated electronics"2250 transistors, 10m technology, 108kHz

    http://www.intel.c o mMC, Nano III, 24.04.04, 7

    Introduction

    1981

    Intel i8088

    29000 transistors,3m technology, 8MHz

    invention of the PC

    (personal computer)IBM, A.Child, B.Gates

    http://www.intel.c o m

    http://www.intel.com/http://www.intel.com/http://www.intel.com/http://www.intel.com/
  • 7/31/2019 Microfabrication I MC

    6/88

    MC, Nano III, 24.04.04, 8

  • 7/31/2019 Microfabrication I MC

    7/88

    Introduction

    1965, Gordon E. Moore

    (co-founder Intel Corporation)

    Prediction 1975:

    from 1980 on circuit densityor capacity of semiconductordevices will double every twoyears instead of one year

    Electronics, Vol. 38(8)

    The complexity for minimum

    component costs has increased at a rateof roughly a factor of two per year.

    Certainly over the short this rate can be

    expected to continue, if not increase.

    Over the longer term, the rate ofincrease

    is a bit more uncertain, although there is

    no reason to believe it will not remain

    nearly constant for at least 10 years.

    http://www.pbs.org/transistor/ MC, Nano III, 24.04.04, 9

    Introduction

    http://www.pbs.org/transistor/http://www.pbs.org/transistor/
  • 7/31/2019 Microfabrication I MC

    8/88

    http://www.intel.c o mMC, Nano III, 24.04.04, 10

    http://www.intel.com/http://www.intel.com/
  • 7/31/2019 Microfabrication I MC

    9/88

    Introduction

    I think there is a world market for

    maybe five computers.

    There is no reason for any

    individual to have a computer in

    their home.

    640K ought to be enough for

    anybody.

    Thomas Watson,

    Chairman of IBM, 1943

    Ken Olson,

    President, Chairman and Founder of

    Digital Equipment Corp., 1977

    Bill Gates, Microsoft founder, 1981

    (though today he denies he said it)

    MC, Nano III, 24.04.04, 11

    Introduction

    1958 1 transistor = 10 US$;first integrated circuit with 4 transistors: 150 US$market 218106 US$

    2000 for 10 US$, you receive 50106 transistors (with passivecomponents, interconnects, ...)

    market 150109 US$

    unprecedented in industry's history

    MC, Nano III, 24.04.04, 12

  • 7/31/2019 Microfabrication I MC

    10/88

    IIIIIIIIIII

    Introduction

    state-of-the-arttoday

    MC, Nano III, 24.04.04, 13

    Introduction

    going really nano, i.e.

  • 7/31/2019 Microfabrication I MC

    11/88

    Outline

    Introduction:

    overview, state of the art,

    semiconductor physics reminder

    (

    condensed matter course) Fabrication basics

    IC fabrication overview

    clean-rooms

    Silicon: from sand to wafer

    material deposition techniques

    lithography etching

    examples of devices: MEMS, NEMS

    Outlook: new and future techniques

    MC, Nano III, 24.04.04, 15

    Semiconductors physics reminder

    Energy gaps

    Si ~ 1.12 eV Ge~ 0.66 eV GaAs~ 1.43 eV

    NB: kT (RT) ~25meV

    MC, Nano III, 24.04.04, 16

  • 7/31/2019 Microfabrication I MC

    12/88

    Semiconductors physics reminder

    Si atomsintrinsic

    As doped Sin-typeother donors:P, Sb

    Ga doped Sip-typeother acceptors:B, Al

    e.g.: resistivity changes by > 6 orders of mag.with a 1ppm B doping

    MC, Nano III, 24.04.04, 17

    Semiconductors physics reminder

    Basic (active) element of ICs

    FET (field-effect transistor)voltage applied to capacitively coupled electrode (GATE) creates anelectric field altering the nb of charge carriers in a semiconductor,thus modulating its conductivity (transfer resistor)

    technologies for gate electrode pn-junction (junction FET or J-FET) Schottky barrier (metal-silicon FET or MESFET) insulated gate FET, like metal-oxide-semiconductor MOSFET

    bipolar transistor: npn (or pnp), not capacitive

  • 7/31/2019 Microfabrication I MC

    13/88

    MC, Nano III, 24.04.04, 18

  • 7/31/2019 Microfabrication I MC

    14/88

    Semiconductors physics reminder

    pn-junction Currentvs voltage: diode behavior

    depletion region shrinks

    Reverse biased

    applied voltage enhances

    the internal potential

    difference

    Forward biased

    applied voltage opposed to

    internal potential difference

    breakdown

    Ref. 3MC, Nano III, 24.04.04, 19

    Semiconductors physics reminder

    npn-transistor2 diodes back-to-back

    n-type

    p-type

    n-type

    Ref. 3

  • 7/31/2019 Microfabrication I MC

    15/88

    1111111111111111111111111111111111111111111111111111111111111111111

    MC, Nano III, 24.04.04, 20

  • 7/31/2019 Microfabrication I MC

    16/88

    Semiconductors physics reminder

    MESFET technology

    Structure and signconvention of a metal-semiconductor

    junction

    metal-semiconductor junction: barrier for electrons and holes

    if the Fermi energy of the metal is somewhere between theconduction and valence band edge

    Course Van ZeghbroeckMC, Nano III, 24.04.04, 21

    Semiconductors physics reminderEnergy band diagram of the metal and the semiconductor

    before contact after contact

    thermal equilibrium(Fermi levels adjusted)

    Course Van Zeghbroeck

  • 7/31/2019 Microfabrication I MC

    17/88

  • 7/31/2019 Microfabrication I MC

    18/88

    22222222222Semiconductors physics reminder

    Workfunction of selected metals and their measured barrierheight (eV) on germanium, silicon and gallium arsenide.

    Course Van ZeghbroeckMC, Nano III, 24.04.04, 23

    Semiconductors physics reminder

    MOSFET technology: MOS capacitor energy band diagramAl/SiO2/p-Si

    Metal (gate) : Al (Mo, W, Cu), Poly-SiOxide : SiO2, d 1.7-10 nmSemiconductor : p- or n-type silicondoping 1013 - 1018 cm-3orientation typ.

    Ref. 3

  • 7/31/2019 Microfabrication I MC

    19/88

    MC, Nano III, 24.04.04, 24

  • 7/31/2019 Microfabrication I MC

    20/88

  • 7/31/2019 Microfabrication I MC

    21/88

    MC, Nano III, 24.04.04, 26

  • 7/31/2019 Microfabrication I MC

    22/88

    Semiconductors physics reminder

    MOS capacitor

    p-type semiconductor

    B. Fste, InfineonMC, Nano III, 24.04.04, 27

    Semiconductors physics reminder

    MOS capacitor

    B. Fste, Infineon

  • 7/31/2019 Microfabrication I MC

    23/88

    MC, Nano III, 24.04.04, 28

  • 7/31/2019 Microfabrication I MC

    24/88

    Semiconductors physics reminder

    MOS capacitor

    B. Fste, InfineonMC, Nano III, 24.04.04, 29

    Semiconductors physics reminder

    MOS capacitor

    B. Fste, Infineon

  • 7/31/2019 Microfabrication I MC

    25/88

    MC, Nano III, 24.04.04, 30

  • 7/31/2019 Microfabrication I MC

    26/88

    Semiconductors physics reminder

    MOS capacitor

    B. Fste, InfineonMC, Nano III, 24.04.04, 31

    Semiconductors physics reminder

    MOS capacitor

    B. Fste, Infineon

  • 7/31/2019 Microfabrication I MC

    27/88

    MC, Nano III, 24.04.04, 32

  • 7/31/2019 Microfabrication I MC

    28/88

    Semiconductors physics reminder

    MOSFET

    B. Fste, Infineon MC, Nano III, 24.04.04, 33

    Semiconductors physics reminder

    B. Fste, Infineon

  • 7/31/2019 Microfabrication I MC

    29/88

    MC, Nano III, 24.04.04, 34

  • 7/31/2019 Microfabrication I MC

    30/88BBBBBBBBBBB

    Semiconductors physics reminder

    MOSFET (n-channel)

    depletion type(on by default)

    Vg=0 Vg0

    enhancement type(off by default)

    B. Fste, InfineonMC, Nano III, 24.04.04, 35

    Semiconductors physics reminder

    n-channel (NMOS)(charge carriers:electrons)

    p-channel (PMOS)(charge carriers:holes)

    Ref. 1

  • 7/31/2019 Microfabrication I MC

    31/88

    MC, Nano III, 24.04.04, 36

  • 7/31/2019 Microfabrication I MC

    32/88

    Semiconductors physics reminder

    Refs. 1 & 3 MC, Nano III, 24.04.04, 37

    Semiconductors physics reminder

    CMOS: complementary MOS, todays most common technology

    n-channel MOS device in series with p-channel MOS device(when NMOS on, PMOS off and vice-vers, hence complementary )

    simple design draws very little current (except when switched) low-power technology

    basic logic gate: inverter Vin high (1): NMOS on, PMOS off,

    Vout=Vss (low, 0) Vin low (0): NMOS off, PMOS on,

    Vout=Vdd (high, 1)

    B. Fste, Infineon

  • 7/31/2019 Microfabrication I MC

    33/88

    MC, Nano III, 24.04.04, 38

  • 7/31/2019 Microfabrication I MC

    34/88

    Semiconductors physics reminder

    CMOS: state-of-the-art

    SOI substrate, Cu/low-k interconnection,5 metal layers, features

  • 7/31/2019 Microfabrication I MC

    35/88

    MC, Nano III, 24.04.04, 40

  • 7/31/2019 Microfabrication I MC

    36/88fffffff

    Outline

    Introduction:

    overview, state of the art,

    semiconductor physics reminder

    Fabrication basics

    IC fabrication overview

    clean-rooms

    Silicon: from sand to wafer

    material deposition techniques

    lithography etching

    examples of devices: MEMS, NEMS

    Outlook: new and future techniques

    MC, Nano III, 24.04.04, 41

    IC fabrication

    example of (simple) CMOS process sequence

    H. Xiao, Ref.1MC, Nano III, 24.04.04, 42

  • 7/31/2019 Microfabrication I MC

    37/88

    IC fabrication

    H. Xiao, Ref.1MC, Nano III, 24.04.04, 43

    IC fabrication

    Ref. 3

  • 7/31/2019 Microfabrication I MC

    38/88

    MC, Nano III, 24.04.04, 44

  • 7/31/2019 Microfabrication I MC

    39/88

    444444444444444

    e.g.: wire bonding, packaging

    NB: flip-chip packaging

    H. Xiao,Ref.1 MC, Nano III, 24.04.04, 45

    Outline Introduction:

    overview, state of the art,

    semiconductor physics reminder

    Fabrication basics

    IC fabrication overview

    clean-rooms Silicon: from sand to wafer

    material deposition techniques

    lithography etching

    examples of devices: MEMS, NEMS

    Outlook: new and future techniques

    MC, Nano III, 24.04.04, 46

  • 7/31/2019 Microfabrication I MC

    40/88

    Clean room

    importance of yield in industrial processes clean rooms

    limit contaminants

    (air, people, facility, equipment, process (gas, chemicals, ...), staticcharges, .)

    special furniture and tools (paper, pens, ...)

    MC, Nano III, 24.04.04, 47

    Clean room

    clean room classes

    class 1less than 1 particle ofdiameter larger than0.5m in a cubic foot

    clean house,

    typ. > 500000particles per cubicfoot

    (Federal Standard 209E)

    H. Xiao, Ref.1

    MC, Nano III, 24.04.04,48

  • 7/31/2019 Microfabrication I MC

    41/8844444

    Clean room

    clean room design

    H. Xiao, Ref.1MC, Nano III, 24.04.04, 49

    Clean room

    simpler clean room design

    H. Xiao, Ref.1

  • 7/31/2019 Microfabrication I MC

    42/88

    MC, Nano III, 24.04.04, 50

  • 7/31/2019 Microfabrication I MC

    43/88

    Outline

    Introduction:

    overview, state of the art,

    semiconductor physics reminder

    Fabrication basics

    IC fabrication overview

    clean-rooms

    Silicon: from sand to wafer

    material deposition techniques

    lithography etching

    examples of devices: MEMS, NEMS

    Outlook: new and future techniques

    MC, Nano III, 24.04.04, 51

    Silicon: from sand to wafer

    14 +IV(+II)

    SiSilicon

    [Ne]3s23p2

    28.085g/mol

    1410C 2.33kg/m3

    2nd (after oxygen) most abundant in earths crusts: 26%

    7th most abundant element in universe

    MC, Nano III, 24.04.04, 52

  • 7/31/2019 Microfabrication I MC

    44/88

    Silicon: from sand to wafer

    Si: nonmetallic element, indirectsemiconductor

    SiO2 glass (amorphous),

    quartz (cristalline)SiC very hard (polishing)Si crystalline (semiconductor

    industry)typical resistivity: 100 mcm

    structure: cfc (diamond-like)

    C. Heedt, WackerSiltronic

    MC, Nano III, 24.04.04, 53

    Silicon: from sand to wafer

    advantadges of Si over othersemiconductors (Ge)

    cheap, abundant

    oxide (SiO2) strong and stable

    dielectric; grown easily bythermal oxidation

    larger band gap (1.1 eV): higheroperation T larger breakdown voltage

    Doping elements n-type: P (phophorus), As

    (arsenic), Sb (antimony) p-type: B (boron)

  • 7/31/2019 Microfabrication I MC

    45/88

    MC, Nano III, 24.04.04, 54

  • 7/31/2019 Microfabrication I MC

    46/88

    Silicon: from sand to wafer

    Si purification: natural Si oxide MGS EGS

    C. Heedt, WackerSiltronicMC, Nano III, 24.04.04, 55

    Silicon: from sand to wafer

    Si purification: MGS EGS

    C. Heedt, WackerSiltronic

  • 7/31/2019 Microfabrication I MC

    47/88

    MC, Nano III, 24.04.04, 56

  • 7/31/2019 Microfabrication I MC

    48/88

    Silicon: from sand to wafer

    EGS single cristal ingot: CZ growth (Czochralski method)

    C. Heedt, WackerSiltronicMC, Nano III, 24.04.04, 57

    Silicon: from sand to wafer

    Si ingot

    up to 300mm diameter (FZ or floating zone purer butlimited to 200mm)

    C. Heedt, WackerSiltronic MC, Nano III, 24.04.04, 58

  • 7/31/2019 Microfabrication I MC

    49/8855555555

    Silicon: from sand to wafer

    Surface grindingmark crist. orient.

    flat: up to 150mm

    notch: > 200mm

    C. Heedt, WackerSiltronicMC, Nano III, 24.04.04, 59

    Silicon: from sand to wafer

    wafer sawing

    edge rounding

    C. Heedt, WackerSiltronic

  • 7/31/2019 Microfabrication I MC

    50/88

    MC, Nano III, 24.04.04, 60

  • 7/31/2019 Microfabrication I MC

    51/88

    Silicon: from sand to wafer

    wafer finishing

    lapping

    (global planarization,double-sided)

    wet etching , isotropic(4:1:3 mixture of HNO3,HFand CH3COOH)

    CMP (chemical mechanicalpolishing)

    wet cleaning (RCA1, RCA2)

    surface roughness after the various treatment

    Ref. 1MC, Nano III, 24.04.04, 61

    Outline

    Introduction:

    overview, state of the art,

    semiconductor physics reminder

    Fabrication basics

    IC fabrication overview

    clean-rooms

    Silicon: from sand to wafer

    material deposition techniques

    lithography etching

    examples of devices: MEMS, NEMS

    Outlook: new and future techniques

  • 7/31/2019 Microfabrication I MC

    52/88

    MC, Nano III, 24.04.04, 62

  • 7/31/2019 Microfabrication I MC

    53/88

    Fabrication: material deposition techniques

    Physical processes

    Physical Vapor Deposition (PVD):

    thermal evaporation

    molecular beam epitaxy (MBE)pulsed laser deposition (PLD)

    sputtering

    Casting

    Chemical processes

    Chemical Vapor Deposition (CVD)

    Electrodeposition

    Langmuir-Blodgett films (LB)

    MC, Nano III, 24.04.04, 63

    Fabrication: physical deposition techniques

    film deposition basics:

    gas kinetics

    (mean free path: small holes filling, residual gas atoms: purity)

    UHV (p

  • 7/31/2019 Microfabrication I MC

    54/88SSSSSSSSSSSSSSSSSSSS

    Fabrication: physical deposition techniques

    thin film growth mechanisms: heteroepitaxy

    (lattice match and surface energy differences)

    Volmer-Weber(island growth):

    Frank-Van der Merwe(layer growth; ideal epitaxy)

    Stranski-Krastanov

    (layers + islands)

    MC, Nano III, 24.04.04, 65

    Fabrication: physical deposition techniques

    thermal evaporation

    resistanceheatedevaporation

    sources

    MC, Nano III, 24.04.04, 66

  • 7/31/2019 Microfabrication I MC

    55/88

    Fabrication: physical deposition techniques

    thermal evaporation

    e-beam evaporation effusion (Knudsen) cell

    MC, Nano III, 24.04.04, 67

    Fabrication: physical deposition techniques

    Molecular Beam Epitaxy (MBE)

    Ref. 3 & J.Faist MC, Nano III, 24.04.04, 68

  • 7/31/2019 Microfabrication I MC

    56/88

    66666666666666

    Fabrication: physical deposition techniques

    Molecular Beam Epitaxy (MBE)

    TEM pictures of a QCLGaAs/AlGaAs structure(J. Faist, Neuchtel)

    J.Faist, UniNeuchtel MC, Nano III, 24.04.04, 69

    Fabrication: physical deposition techniques

    Pulsed Laser Deposition, laser ablation process (PLD)

    MC, Nano III, 24.04.04, 70

  • 7/31/2019 Microfabrication I MC

    57/887777777777777

    Fabrication: physical deposition techniques

    DC sputtering

    few 100V plasma

    p~10-1

    - 10-3

    mbar

    sputtering of target byions (typ. Ar)

    stoichiometry of target(~) preserved

    increase ionization rate ofplasma with B-field:magnetron sputtering

    insulating targets: RF-sputtering

    MC, Nano III, 24.04.04, 71

    Fabrication: physical deposition techniques

    Casting (spinning)

    (typ. polymers, e.g. photoresists, polyimide)

    MC, Nano III, 24.04.04, 72

  • 7/31/2019 Microfabrication I MC

    58/88

    poly Si SiH4 Si + 2 H2 580-650C, 1mbarSiO2 3 SiH4 +O2 SiO2 + 2 H2 450C44444444444444444

    Fabrication: material deposition techniques

    Physical processes

    Physical Vapor Deposition (PVD):

    thermal evaporation

    molecular beam epitaxy (MBE)pulsed laser deposition (PLD)

    sputtering

    Casting

    Chemical processes

    Chemical Vapor Deposition (CVD)

    Electrodeposition

    Langmuir-Blodgett films (LB)

    MC, Nano III, 24.04.04, 73

    Fabrication: chemical deposition techniques

    CVD: multiple wafer reactor

    e.g.: deposition of

    PECVD: plasma enhanced CVD, allows temperature reductionMOCVD: metal-organic CVD, use organometallic precursors(NB: thermal oxidation)

    MC, Nano III, 24.04.04, 74

  • 7/31/2019 Microfabrication I MC

    59/88

    Fabrication: chemical deposition techniques

    CVD example: carbon nanotubes growth

    (CH4, C

    2H

    4, C

    2H

    2...)

    MC, Nano III, 24.04.04, 75

    CVD example: CNTs

    hydrcarbon gases: C2H2 (acetylene), C2H4 (ethylene), CH4(methane) (+ )

    carrier gases: H2, Artemperature: 600-1000Ccatalyst: Fe (Ni, Co)

    MC, Nano III, 24.04.04,76

  • 7/31/2019 Microfabrication I MC

    60/88

    MC, Nano III, 24.04.04, 77777777777777777

    1m

    SWNTsFe, ethylene, 900C-1000Csee e.g.: Dai et al. and Hafneret al.

    ~2nm

    lithographically patterned Fe film, acetylene, 600C-700C

    MC, Nano III, 24.04.04, 78

  • 7/31/2019 Microfabrication I MC

    61/88

    Length(m)

    Length(m)

    Length(m)

    length of tubes vs time, flowand thickness

    SEM image perp. to SiO2/Sisurface

    120

    100

    80

    60

    40

    20

    0

    120

    0 5 10 15

    Growth Time [min]

    100

    80

    60

    0 5 10 15 20

    120

    100

    80

    60

    40

    20

    C H Flow [sccm]2 2

    Z. Liu et al., 20020 5 10 15 20

    Fe thickness [nm]

    MC, Nano III, 24.04.04, 79

    Fabrication: chemical deposition techniques

    Electrodeposition (electroplating)

  • 7/31/2019 Microfabrication I MC

    62/88

    MC, Nano III, 24.04.04, 80

  • 7/31/2019 Microfabrication I MC

    63/88777777777

    Fabrication: chemical deposition techniques

    Langmuir-Blodgett (LB) technique

    MC, Nano III, 24.04.04, 81

    Outline Introduction:

    overview, state of the art,

    semiconductor physics reminder

    Fabrication basics

    IC fabrication overview

    clean-rooms

    Silicon: from sand to wafer material deposition techniques

    lithography etching

    examples of devices: MEMS, NEMS

    Outlook: new and future techniques

    MC, Nano III, 24.04.04, 82

  • 7/31/2019 Microfabrication I MC

    64/88

    Different types of lithography

    radiation source

    DLWillumination control system

    resist coated sample

    Ref. 3 MC, Nano III, 24.04.04, 83

    Optical lithography

    source wavelengths:optical: > 450nmUV: 365nm-435nm

    DUV: 157nm-250nmEUV: 11nm-14nmx-ray: < 10nm

  • 7/31/2019 Microfabrication I MC

    65/88

    Ref. 3 MC, Nano III, 24.04.04, 84

  • 7/31/2019 Microfabrication I MC

    66/88

    Optical lithography

    contact

    masking methodsproximity projection

    MFS=(d.)1/2 poorer resolution

    MFS ~ [(d.+g)]1/2

    better resolution(diffrac. limited, adj.

    Rayleigh crit.)reduction possible

    mask suffers (decreases errors)stepper (multiple exp.)

    Ref. 3 MC, Nano III, 24.04.04, 85

    Optical lithography

    phase-shifting technique

    MC, Nano III, 24.04.04, 86

  • 7/31/2019 Microfabrication I MC

    67/88

    Optical lithography

    photoresists

    positivemade soluble upon exposure(chain scission)e.g. PMMA (DUV, e-beam), DQN

    negativeinitiates cross-linking of side chainsor polymerization of mono-

    /oligomeric speciese.g. maN400

    Ref. 3 MC, Nano III, 24.04.04, 87

    Optical lithography

    MC, Nano III, 24.04.04, 88

  • 7/31/2019 Microfabrication I MC

    68/88

    Optical lithography

    MC, Nano III, 24.04.04, 89

    Optical lithography

    MC, Nano III, 24.04.04, 90

  • 7/31/2019 Microfabrication I MC

    69/88888888

    Optical lithography

    photoresistsprofiles

    Ref. 2 MC, Nano III, 24.04.04, 91

    Optical lithography

    some important points

    resist baking: soft-bake, PEB, hard bake(NB: Tg polymer)

    adhesion layer (HMDS)

    development times/temperatures fresh products

    DOF (depth of focus) DOF /(NA)2

    MC, Nano III, 24.04.04, 92

  • 7/31/2019 Microfabrication I MC

    70/88

  • 7/31/2019 Microfabrication I MC

    71/889999999999

    electron beam lithography (EBL)

    precise (energy, dose)relatively slow(industrial application: parallel beams)resolution limit ~ 10nm (50nm)

    no masklarge DOF

    large scattering of electrons

    Refs. 1 & 3 MC, Nano III, 24.04.04, 95

    Emerging lithography technologies

    STM lithography: low energy e- (

  • 7/31/2019 Microfabrication I MC

    72/88

    Ref. 2 MC, Nano III, 24.04.04, 96

  • 7/31/2019 Microfabrication I MC

    73/88

    soft lithography: microcontact printing (MCP)

    PDMS,e.g Sylgard 184,DOW Corning

    B. Michel et al., Advanced Semiconductor Lithography, 2001 MC, Nano III, 24.04.04, 97

    soft lithography: microcontact printing (MCP)

    B. Michel et al., Advanced Semiconductor Lithography, 2001

  • 7/31/2019 Microfabrication I MC

    74/88

    MC, Nano III, 24.04.04, 98

  • 7/31/2019 Microfabrication I MC

    75/88

    soft lithography: microcontact printing (MCP)

    master

    first used to print alkanethiols on

    gold surfaces

    quick and cheap method toperform simple assays (e.g.:immunoassay: crossed stampedlines)

    stamp

    printed and etched pattern

    B. Michel et al., Advanced Semiconductor Lithography, 2001 MC, Nano III, 24.04.04, 99

    Outline

    Introduction:

    overview, state of the art,

    semiconductor physics reminder

    Fabrication basics

    IC fabrication overview clean-rooms

    Silicon: from powder to wafer

    material deposition techniques

    lithography etching

    examples of devices: MEMS, NEMS

    Outlook: new and future techniques

  • 7/31/2019 Microfabrication I MC

    76/88

    MC, Nano III, 24.04.04, 100

  • 7/31/2019 Microfabrication I MC

    77/88

    Fabrication: etching

    Chemical: wet etching (can be anisotropic due to crystal-face selectivity)

    Physical etching (sputtering) ion milling, FIB (focused ion beam) dry etching (plasma assisted techniques, pressures up to 10mbar)

    (more a combination of physical and chemical material removal)

    key points: etch rates, selectivity

    also CMP: chemical mechanical polishing to achieve global planarizationcombine mechanical abrasion with chemical etchingkey parameters: force, slurry type, pad velocity

    MC, Nano III, 24.04.04, 101

    Fabrication: wet etching

    use chemical solutions to dissolvematerial

    3 steps:reactive species to surface/ etchproducts / rinse, dry

    isotropic

    anisotropic

    http://www.memsguide.comMC, Nano III, 24.04.04, 102

    http://www.memsguide.com/http://www.memsguide.com/
  • 7/31/2019 Microfabrication I MC

    78/88

    Fabrication: wet etching

    SiO2 6:1 buffered in NH4F or 10:1 and 100:1 HF in H2O(NB: 1:1 HF (49% HF in H2O) too fast)

    SiO2 + 6 HF H2SiF6 + 2 H2O (H2SiF6 soluble in H2O)

    Si polycrystalline or single-crystalisotropic: mix of HNO

    3and HF

    (cyclic process: HNO3 oxidizes Si, HF removes oxide)

    Si + HNO3 + 6 HF H2SiF6 + HNO2 + H2O + H2

    anisotropic (cystalline Si): (100) rate / (111) rate ~ 100 at 80C

    KOH 23.4% wt, C3H8O 13.3% wt (isopropyl alcohol), H2O 63.3% wtyields V-shaped groove

    MC, Nano III, 24.04.04, 103

    Fabrication: wet etching

    Si3N

    4H

    3PO

    491.5% concentration at 180C (etch rate ~ 100/min)

    Si3N

    4+ 4 H

    3PO

    4 Si3(PO4)4 + 4 NH3

    silicon phosphate (Si3(PO4)4) and amonia (NH3) are water soluble

    selectivity tothermally grown SiO

    2> 10:1

    Si > 33:1

    metals Al mix of acids (phosphoric, acetic, nitric) and waterTi mix of sulfuric acid and hydrogen peroxide

    MC, Nano III, 24.04.04, 104

  • 7/31/2019 Microfabrication I MC

    79/88

    Fabrication: dry etching

    RIE: reactive ion etchingboth physical and chemical

    http://www.memsguide.com MC, Nano III, 24.04.04, 105

    Fabrication: dry etching

    DRIE (key process for MEMS)

    ICP RIE

    SF6

    alternated with C4F

    8(to

    passivate walls), Bosch process

    selectivitySi:SiO2, 150:1profile angle +/- 1

    http://www.memsguide.com/http://www.memsguide.com/
  • 7/31/2019 Microfabrication I MC

    80/88

    http://www.memsguide.com MC, Nano III, 24.04.04, 106

    http://www.memsguide.com/http://www.memsguide.com/
  • 7/31/2019 Microfabrication I MC

    81/88

    Outline

    Introduction:

    overview, state of the art,

    semiconductor physics reminder

    Fabrication basics

    IC fabrication overview

    clean-rooms

    Silicon: from powder to wafer

    material deposition techniques

    lithography etching

    examples of devices

    Outlook: new and future techniques

    MC, Nano III, 24.04.04, 107

    MEMS/NEMS and devices

    from MEMS (micro-electromechanical systems)

    e.g. Si gears for watch industry: lower friction and inertia

    CSEM & Ulysse-NardinMC, Nano III, 24.04.04, 108

  • 7/31/2019 Microfabrication I MC

    82/88

    (((((((((((((((

    MEMS/NEMS and devices

    electrostatic micromotorfabricated from silicon

    individual mechanicalmicromirrors part of a Texas

    Instruments Digital LightProcessor (MOEMS)

    Physics World, Feb. 2001MC, Nano III, 24.04.04, 109

    MEMS/NEMS and devices

    fabrication process reminder

    structural layer sacrificial layer substrate

    Physics World, Feb. 2001 MC, Nano III, 24.04.04, 110

  • 7/31/2019 Microfabrication I MC

    83/88

    MEMS/NEMS and devices

    ion-selective electrode array platform for in-vitro intracellular recording

    O. Guenat,et al., Microfabrication and characterization of

    an ion-selective microelectrode array platform, Sensors &Actuators, B, 2003.

    MC, Nano III, 24.04.04, 111

    MEMS/NEMS and devices

    down to NEMS

    masses in 10-15g to 10-18 g range (L3) resonators above 10GHz (1/L)

    (f ~ (spring cst/eff. mass)1/2, spring constant L) very low power: 10-18W threshold (thermal fluc. at 300K) low dissipation, high Q factor: sensitive to damping sensors

    (sensitivity potentially reaching quantum limit)

    NB: 10x10x100 nm Si beam contains ~ 5 x 105 atoms,among which ~3 x 104 reside at the surface(i.e.: > 10% of the constituents are surface or near-surface atoms)

    Physics World, Feb. 2001MC, Nano III, 24.04.04, 112

  • 7/31/2019 Microfabrication I MC

    84/88

    MEMS/NEMS and devices

    nano-tweezers:electrostatic actuation

    actuation amplitudedown to 6 pm (rms)/ sqrt(Hz)

    Ch. Meyer, H. Lorenz, and K. Karrai, "Optical detection of quasi-static actuation ofnanoelectromechanical systems" Appl. Phys. Lett. 83, 2420 (2003).

    MC, Nano III, 24.04.04, 113

    MEMS/NEMS and devices

    Nanomechanical Electron Transport:quantum-bell: max current at f

    drive~ resonance freq.

    avg nb of e- shuttled per cycle

    A Erbe, Ch Weiss, W Zwerger, and R H Blick, Phys. Rev. Lett. 87, 096106 (2001)M Jonson and R Shekhter, Phys. World 16, 21 (2003).

    MC, Nano III, 24.04.04, 114

  • 7/31/2019 Microfabrication I MC

    85/88

    Integration: hybrid devices

    Tech. Roadmap for Nanoelectronics, IST program, European Commission MC, Nano III, 24.04.04, 115

    END

    MC, Nano III, 24.04.04, 116

  • 7/31/2019 Microfabrication I MC

    86/88

    Sources and ressources

    Books

    Introduction to Semiconductor Manufacturing Technology

    H. Xiao, Prentice-Hall, 2001.

    Fundamentals of Microfabrication: The Science of Miniaturization

    M. Madou, 2nd ed., CRC Press, 2002

    Nanoelectronics and Information TechnologyR. Waser ed., Wiley-VCH, 2003

    VLSI Technology (more physical)

    SM. Sze, 2nd ed., McGraw-Hill, 1988

    cd-rom: 4th Dresdner Sommerschule Mikroelektonik, 2003. www.sommersch ule-mikroelektr o nik.d eDVD: EPFL CMI

    web sites

    www. memsnet.org; w ww.biom em s.net

    http://mmadou.eng.uci.edu/LivingBook/webterlist.htm

    Semiconductor physics

    http://ece-www.colorado.edu/~bart/book/contents.htm

    www.techlearner.com/semiconductors.htm

    web sites from companies: Intel, Infineon, IBM

    MC, Nano III, 24.04.04, 117

    Silicon: from sand to wafer

    MC, Nano III, 24.04.04, 118

    http://www.sommerschule-mikroelektronik.de/http://www.sommerschule-mikroelektronik.de/http://www.sommerschule-mikroelektronik.de/http://www.sommerschule-mikroelektronik.de/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.memsnet.org/http://www.biomems.net/http://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.techlearner.com/semiconductors.htmhttp://www.sommerschule-mikroelektronik.de/http://www.memsnet.org/http://www.biomems.net/http://mmadou.eng.uci.edu/LivingBook/webterlist.htmhttp://ece-www.colorado.edu/~bart/book/contents.htmhttp://www.techlearner.com/semiconductors.htm
  • 7/31/2019 Microfabrication I MC

    87/88

    Introduction

    The Lives and Death of Moore's Law by Ilkka Tuomi

    First Monday, volume 7, number 11 (November 2002),

    URL: http://firstmonday.org/issues/issue7_11/tuomi/index.html

    MC, Nano III, 24.04.04, 119

    http://www.vcs.ethz.ch/chemglobe http://chemlab.pc.maricopa.edu / p eriodic

    MC, Nano III, 24.04.04, 120

    http://firstmonday.org/issues/issue7_11/tuomi/index.htmlhttp://chemlab.pc.maricopa.edu/periodichttp://chemlab.pc.maricopa.edu/periodichttp://firstmonday.org/issues/issue7_11/tuomi/index.htmlhttp://chemlab.pc.maricopa.edu/periodic
  • 7/31/2019 Microfabrication I MC

    88/88