30
Módulos combinacionales básicos Tema 7

Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

  • Upload
    others

  • View
    17

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Módulos combinacionales básicos

Tema 7

Page 2: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

¿Qué sabrás al final del capítulo?

Funcionamiento de los módulos

combinacionales básicos:

– Codificadores

– Decodificadores

– Multiplexores

– Demultiplexores

Implementación de funciones booleanas

mediante módulos combinacionales

Redes de módulos combinacionales

Page 3: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Módulos combinacionales básicos

MSI (Medium Scale of Integration)

Page 4: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Módulos combinacionales básicos

Bloques funcionales más complejos que las puertas lógicas que realizan una función determinada

Podemos obtenerlos a partir de puertas lógicas básicas

Se pueden utilizar para la implementación de funciones booleanas

MSI, circuitos entre 10 y 100 puertas

Pueden disponer de señales de control para controlar su funcionamiento

Tipos:– codificador

– decodificador

– multiplexor

– demultiplexor

Page 5: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Codificador Codificador binario

– Dispone de 2n entradas y n salidas: COD

2nxn

– La salida proporciona el código binario del

canal de entrada activado.

• Entrada activa a nivel alto: entra un 1 y el

resto son 0’s

• Salida activa a nivel alto: proporciona el

código binario de la entrada a 1.

• Entrada activa a nivel bajo: entra un 0 y el

resto son 1’s

• Salida activa a nivel bajo: proporciona el

código binario invertido de la entrada a 0E

NT

RA

DA

S

SA

LID

AS

ENTRADA DE ACTIVACION

(ENABLE)

Page 6: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Codificador

Implementación con puertas lógicas

D0 D1 D2 D3 D4 D5 D6 D7 X Y Z

1 0 0 0 0 0 0 0 0 0 0

0 1 0 0 0 0 0 0 0 0 1

0 0 1 0 0 0 0 0 0 1 0

0 0 0 1 0 0 0 0 0 1 1

0 0 0 0 1 0 0 0 1 0 0

0 0 0 0 0 1 0 0 1 0 1

0 0 0 0 0 0 1 0 1 1 0

0 0 0 0 0 0 0 1 1 1 1

4 5 6 7X = D + D + D + D

2 3 6 7Y = D + D + D + D

1 3 5 7Z = D + D + D + D

Codificador con entrada y salida activa a nivel alto

Page 7: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Codificadores

Aplicación:

– Teclado simple

Page 8: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Decodificador

Decodificador binario: DEC n x 2n

– Función inversa al codificador

– n entradas y 2n salidas

– Transforma el código binario de entrada en la activación

de la salida cuyo número de orden coincide con el

código

EN

TR

AD

AS

SA

LID

AS

ENTRADA DE ACTIVACIÓN

Page 9: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Decodificador

E A1 A0 D0 D1 D2 D3

1 0 0 1 0 0 0

1 0 1 0 1 0 0

1 1 0 0 0 1 0

1 1 1 0 0 0 1

0 X X 0 0 0 0

DEC 2 x 4. Entradas activas a nivel alto y

salidas activas a nivel alto

Implementación con puertas lógicas

A0

A1

D0

D1

D2

D3E 010 ·· AAED 011 ·· AAED

012 ·· AAED 013 ·· AAED

Page 10: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Decodificador

E A1 A0 D0 D1 D2 D3

0 0 0 0 1 1 1

0 0 1 1 0 1 1

0 1 0 1 1 0 1

0 1 1 1 1 1 0

1 X X 1 1 1 1

DEC 2 x 4. Entradas activas a nivel alto y

salidas activas a nivel bajo con Enable

invertido

Implementación con puertas lógicas

E

013 ·· AAED 012 ·· AAED

010 ·· AAED 011 ·· AAED

Page 11: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Decodificador 3x8

Ejemplo: TTL 74138 – Entradas activas a nivel alto y salidas activas a nivel bajo

– Señal de activación “Enable”, que involucra a tres pines

Page 12: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Multiplexor

Dispone de 2n entradas, n señales de control y una

salida: MUX 2n x 1

Su función es seleccionar la entrada indicada por

las señales de control

EN

TR

AD

AS

EN

TR

AD

AS

SA

LID

A

SA

LID

A

CONTROL CONTROL

ENTRADA DE ACTIVACIÓN

ENTRADA DE ACTIVACIÓN

Page 13: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Multiplexor

C1 C0 E3 E2 E1 E0 S

0 0 X X X F F

0 1 X X F X F

1 0 X F X X F

1 1 F X X X F

Implementación con puertas lógicas

0·1·30·1·20·1·10·1·0 CCECCECCECCE=S

Page 14: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Multiplexor 8x1

Ejemplo: TTL 74151

Page 15: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Demultiplexor

Dispone de 1 entrada, 2n salidas y n líneas de selección

Su función es enviar el valor presente a la entrada a uno de

los canales de salida utilizando las líneas de selección. Por

lo tanto realiza la función inversa del multiplexor.

En su implementación es muy parecido a un DEC nx2n

EN

TR

AD

A

SA

LID

AS

CONTROL

EN

TR

AD

AS

SA

LID

AS

ENTRADA DE ACTIVACION

Page 16: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Demultiplexor

S1 S0 E D0 D1 D2 D3

0 0 K K 0 0 0

0 1 K 0 K 0 0

1 0 K 0 0 K 0

1 1 K 0 0 0 K

Implementación con puertas lógicas

S1 S0 E

D0

D1

D2

D3

Demultiplexor con salida activa a nivel alto

Page 17: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Demultiplexor

S1 S0 E D0 D1 D2 D3

0 0 K K 1 1 1

0 1 K 1 K 1 1

1 0 K 1 1 K 1

1 1 K 1 1 1 K

Implementación con puertas lógicas

S1 S0 E

D0

D1

D2

D3

Demultiplexor con salida activa a nivel bajo y entrada activa a nivel bajo

Page 18: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Implementación de funciones con

módulos combinacionales

Page 19: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Implementación de funciones:

con decodificadores (I)

A B C F

0 0 0 1

0 0 1 0

0 1 0 1

0 1 1 0

1 0 0 0

1 0 1 0

1 1 0 1

1 1 1 1

DEC3x8

C

B

A

0

1

2

0

1

2

3

4

5

6

7

F

Se necesitan puertas de tantas entradas como 1’s hay

Salidas activas a nivel alto => generador de minitérminos

Suma de productos = suma de minitérminos

Page 20: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

• Si una función tiene muchos 1’s, es preferible implementar

la función complementaria, que tendrá pocos 1’s, y

finalmente complementar la complementaria.

• En la práctica esto equivale a coger un puerta NOR (OR

seguida de inversor) con los 0’s

Implementación de funciones:

con decodificadores (II)

1’s f

f’0’s

f f

0’s

OR

NOR

Page 21: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Implementación de funciones:

con decodificadores (III) Salidas activas a nivel bajo => generador de maxitérminos

Producto de sumas = producto de maxitérminos

A B C F

0 0 0 1

0 0 1 0

0 1 0 1

0 1 1 0

1 0 0 0

1 0 1 0

1 1 0 1

1 1 1 1

DEC3x8

C

B

A

0

1

2

0

1

2

3

4

5

6

7

F0

1

1

1

1

1

1

1

1

0

1

1

1

0

1

1

1

10 1

1

1

0

1

1

1

1

0

1

1

1

1

Page 22: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Implementación de funciones:

con decodificadores (IV)

• Si una función tiene muchos 1’s, es preferible implementar la

función complementaria, que tendrá pocos 1’s, y finalmente

complementar la complementaria.

• En la práctica equivale a coger un puerta AND (NAND seguida

de inversor) con los 0’s

1’s f

f’0’s

f f0’s

NAND

AND

Page 23: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Implementación de funciones:

con multiplexores

8:1

MUX

1 0

1

0 0

0

1 1

0

1 2

3

4 5

6

7 S2 S1 S0

A B C

F

S1 S0

A B

4:1

MUX

0

1

2

3

C

C

01

F

A

0 0

0

0 1

1

1 1

B

0 0

1

1 0

0

1 1

C

0 1

0

1 0

1

0 1

F

1 0

1

0 0

0

1 1

C

C

0

1

F(A,B,C) = m0 + m2 + m6 + m7

¡¡¡ojo con el orden de las variables!!!

nº variables = señales de control

nº variables > señales de control

Caso 1

Con un único Mux 8x1

Con un único Mux 4x1

Page 24: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

A B C D F

0 0 0 0 1

0 0 0 1 1

0 0 1 0 0

0 0 1 1 1

0 1 0 0 1

0 1 0 1 1

0 1 1 0 0

0 1 1 1 0

1 0 0 0 0

1 0 0 1 0

1 0 1 0 0

1 0 1 1 1

1 1 0 0 1

1 1 0 1 0

1 1 1 0 1

1 1 1 1 0

B=0, C=0

A D E0

0 0 1

0 1 1

1 0 0

1 1 0

B=0, C=1

A D E1

0 0 0

0 1 1

1 0 0

1 1 1

B=1, C=0

A D E2

0 0 1

0 1 1

1 0 1

1 1 0

B=1, C=1

A D E3

0 0 0

0 1 0

1 0 1

1 1 0

Caso 2 Implementar F con un único Mux 4x1

Page 25: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Redes de módulos combinacionales

Page 26: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Redes modulares: Decodificadores

Decodificador 3x8 a partir de

decodificadores 2x4

D0

D1

D2

D3

DEC2x4

A0

A1

A2

D4

D5

D6

D7

DEC2x4

E

E

0

1

2

3

0

1

2

3

0

1

0

1

Page 27: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Decodificador 4x16 a partir

de decodificadores 2x4

Page 28: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Redes Modulares: Multiplexores

Multiplexor 8x1 a partir de multiplexores 4x1 y 2x1– v1: 2 MUX 4x1 / 1 MUX 2x1

– v2:1 MUX 4x1 / 2 MUX 2x1

0

1 S

0

1 S

0

1 S

0

1 S

0

1

S0

2

3 S1

C

A B

I 0

I 1

I 2

I 3

I4

I 5

I 6

I 7

C

C

C

Z

Z(A,B,C)

MUX

4x1

MUX

4x1

MUX

2x1

MUX

2x1

MUX

2x1

MUX

2x1

MUX

2x1

MUX

4x1

B C A

Page 29: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Z(A3,A2,A1,A0)

Multiplexor 16x1 a partir

de multiplexores 4x1

Page 30: Módulos combinacionales básicosblog.uclm.es/inocentesanchez/files/2018/07/tema7.pdf · Módulos combinacionales básicos Bloques funcionales más complejos que las puertas lógicas

Redes modulares:

DEC y MUX

Z(A3,A2,A1,A0)