15
Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics—2004 www.cambridge.org © in this web service Cambridge University Press Cambridge University Press 978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812 Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz Frontmatter More information

Materials, Technology and Reliability for Advanced

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Materials, Technology and Reliability for Advanced

Materials, Technology andReliability for Advanced Interconnects

and Low-k Dielectrics—2004

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 2: Materials, Technology and Reliability for Advanced

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 3: Materials, Technology and Reliability for Advanced

MATERIALS RESEARCH SOCIETYSYMPOSIUM PROCEEDINGS VOLUME 812

Materials, Technology andReliability for Advanced Interconnects

and Low-k Dielectrics—2004

Symposium held April 13-15, 2004, San Francisco, California, U.S.A.

EDITORS:

RJ. CarterLSI Logic Corporation

Gresham, Oregon, U.S.A.

C.S. Hau-RiegeAdvanced Micro Devices Inc.Sunnyvale, California, U.S.A.

G.M. KlosterIntel Corporation

Hillsboro, Oregon, U.S.A.

T.-M. LuRensselaer Polytechnic Institute

Troy, New York, U.S.A.

S.E. SchulzTU Chemnitz

Chemnitz, Germany

IMIRTS1Materials Research Society

Warrendale, Pennsylvania

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 4: Materials, Technology and Reliability for Advanced

cambridge university press Cambridge, New York, Melbourne, Madrid, Cape Town, Singapore, São Paulo, Delhi, Mexico City

Cambridge University Press32 Avenue of the Americas, New York ny 10013-2473, USA

Published in the United States of America by Cambridge University Press, New York

www.cambridge.orgInformation on this title: www.cambridge.org/9781107409224

Materials Research Society506 Keystone Drive, Warrendale, pa 15086http://www.mrs.org

© Materials Research Society 2004

This publication is in copyright. Subject to statutory exceptionand to the provisions of relevant collective licensing agreements, no reproduction of any part may take place without the written permission of Cambridge University Press.

This publication has been registered with Copyright Clearance Center, Inc.For further information please contact the Copyright Clearance Center,Salem, Massachusetts.

First published 2004 First paperback edition 2012

Single article reprints from this publication are available throughUniversity Microfilms Inc., 300 North Zeeb Road, Ann Arbor, mi 48106

CODEN: MRSPDH

isbn 978-1-107-40922-4 Paperback

Cambridge University Press has no responsibility for the persistence oraccuracy of URLs for external or third-party internet websites referred to inthis publication, and does not guarantee that any content on such websites is,or will remain, accurate or appropriate.

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 5: Materials, Technology and Reliability for Advanced

CONTENTS

Preface xiii

Materials Research Society Symposium Proceedings xiv

LOW-k DIELECTRICS, PROCESSING,AND CHARACTERIZATION

* Molecular Caulk: A Pore Sealing Technology forUltra-Low-k Dielectrics 3

Jay J. Senkevich, Christopher Jezewski, Deli Lu,William A. Lanford, Gwo-Ching Wang, andToh-Ming Lu

Repair of Porous Methylsilsesquioxane Films UsingSupercritical Carbon Dioxide 13

Bo Xie and Anthony J. Muscat

Processing Damage and Electrical Performance of PorousDielectrics in Narrow Spaced Interconnects 19

F. Iacopi, Y. Travaly, M. Stucchi, H. Struyf, S. Peeters,R. Jonckheere, L.H.A. Leunissen, Zs. Tokei, V. Sutcliffe,O. Richard, M. Van Hove, and K. Maex

New Hybrid Low-k Dielectric Materials Prepared byVinylsilane Polymerization 25

Jung-Won Kang, Byung Ro Kim, Gwi-Gwon Kang,Myung-Sun Moon, Bum-Gyu Choi, and Min-Jin Ko

Novel Epoxy Siloxane Polymer as Low-k Dielectric 31Pei-I. Wang, Jasbir S. Juneja, Shyam Murarka,Toh-Ming Lu, Ram Ghoshal, and Rajat Ghoshal

* Supercritical CO2 Treatments for SemiconductorApplications 37

S. Gangopadhyay, J.A. Lubguban, B. Lahlouh,G. Sivaraman, K. Biswas, T. Rajagopalan, N. Biswas,H.-C. Kim, W. Volksen, and R.D. Miller

* Invited Paper

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 6: Materials, Technology and Reliability for Advanced

Comparative Studies of Ultra Low-k Porous Silica FilmsWith 2D Hexagonal and Disordered Pore Structures 43

Nobutoshi Fujii, Kazuhiro Yamada, Yoshiaki Oku,Nobuhiro Hata, Yutaka Seino, Chie Negoro, andTakamaro Kikkawa

3-Dimensional Evaluation of nm-Pores in Porous Low-kFilms Using TEM Stereoscopic/Electron TomographicObservation Method 49

J. Shimanuki, Y. Inoue, M. Shimada, and S. Ogawa

Ellipsometric Porosimetry of Porous Low-k Films WithQuazi-Closed Cavities 55

Mikhail R. Baklanov, Konstantin P. Mogilnikov, andJin-Heong Yim

Effect of Mode-Mixity and Porosity on InterfacialFracture of Low-k Dielectrics 61

Caroline C. Merrill and Paul S. Ho

Anisotropic Elastic Properties of Low-k Dielectric Materials 67A.A. Maznev, A. Mazurenko, G. Alper, C.J.L. Moore,M. Gostein, Michelle T. Schulberg, Raashina Humayun,Archita Sengupta, and Jia-Ning Sun

Scanning Near-Field Microwave Probe for In-line Metrologyof Low-k Dielectrics . 73

Vladimir V. Talanov, Robert L. Moreland, Andre Scherz,Andrew R. Schwartz, and Youfan Liu

Deposition and Integration of a Novel Ultra-Low-k(2.2) Material 79

Michelle T. Schulberg, Raashina Humayun,Archita Sengupta, and Jia-Ning Sun

A Novel Organosiloxane Vapor Annealing Process forImproving Elastic Modulus of Porous Low-k Films 85

Kazuo Kohmura, Shunsuke Oike, Masami Murakami,Hirofumi Tanaka, Syozo Takada, Yutaka Seino, andTakamaro Kikkawa

Probing Effects of Etching Plasmas on the Properties ofPorous Low-k Dielectrics 91

L. Wang, J. Liu, W.D. Wang, D.Z. Chi, D.W. Gidley,and A.F. Yee

VI

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 7: Materials, Technology and Reliability for Advanced

Dry Etch and Wet Clean Process Characterization ofUltra Low-k (ULK) Material Nanoglass®E 97

B. Ramana Murthy, C.K. Chang, Ahilakrishnamoorthy,Y.W. Chen, and Ananth Naman

Modification of Nanoporous Silica Structures byFluorocarbon Plasma Treatment 103

Woojin Cho, Ravi Saxena, Oscar Rodriguez,Ravi Achanta, Manas Ojha, Joel L. Plawsky,William N. Gill, and Mikhail R. Baklanov

Chemical Routes to Improved Mechanical Properties ofPECVD Low-k Thin Films 109

S.M. Bilodeau, A.S. Borovik, A.A. Ebbing, D.J. Vestyck,C. Xu, J.F. Roeder, and T.H. Baum

METALLIZATION, BARRIERS,AND CAPPING

Optimization of Dielectric Cap Adhesion to Ultra-Low-kDielectrics 117

Greg Spencer, Alfred Soyemi, Kurt Junker, Jason Vires,Michael Turner, Stuart Kirksey, David Sieloff, andNarayanan Ramani

Self-Assembled Monolayers as Model Substrates forAtomic Layer Deposition 123

Caroline M. Whelan, Anne-Cecile Demas,Jorg Schuhmacher, Laureen Carbonell, andKaren Maex

Ruthenium Sputter Deposition on Organosilicate Glassand on Paralyne: An XPS Study of Interfacial Chemistry,Nucleation and Growth 129

X. Zhao, N.P. Magtoto, and J.A. Kelber

In Situ XPS Study of ALD Ta(N) Barrier Formation onOrganosilicate Dielectric Surface 135

Junjun Liu, Junjing Bao, Michael Scharnberg, andPaul S. Ho

vn

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 8: Materials, Technology and Reliability for Advanced

Nucleation and Growth Dependence of ALD WNC onSubstrate Surface Condition 141

Thomas Abell, Jorg Schuhmacher, Youssef Travaly,and Karen Maex

Evaluation of PECVD Deposited Boron Nitride as CopperDiffusion Barrier on Porous Low-k Materials 147

J. Liu, W.D. Wang, L. Wang, D.Z. Chi, and K.P. Loh

Structural and Functional Characterization of W-Si-NSputtered Thin Films for Copper Metallizations 153

Alberto Vomiero, Stefano Frabboni, Enrico Boscolo Marchi,Alberto Quaranta, Gianantonio Delia Mea, Gino Mariotto,and Laura Felisari

Barrier Layer Morphological Stability and Adhesion toPorous Low-k Dielectrics 159

R. Saxena, W. Cho, O. Rodriguez, W.N. Gill, andJ.L. Plawsky

Atomic Layer Deposition of Tantalum Nitride onOrganosilicate and Organic Polymer-Based LowDielectric Constant Materials 165

Oscar van der Straten, Yu Zhu, Jonathan Rullan,Katarzyna Topol, Kathleen Dunn, and Alain Kaloyeros

Effect of Annealing on the Structural, Mechanical andTribological Properties of Electroplated Cu Thin Films 171

P. Shukla, A.K. Sikder, P.B. Zantye, Ashok Kumar,and M. Sanganaria

Thermal Conductivity of Carbon Nanotube Composite Films 179Quoc Ngo, Brett A. Cruden, Alan M. Cassell,Megan D. Walker, Qi Ye, Jessica E. Koehne,M. Meyyappan, Jun Li, and Cary Y. Yang

Silver Patterning by Reactive Ion Beam Etching forMicroelectronics Application 185

L. Gao, J. Gstoettner, R. Emling, P. Wang, W. Hansch,and D. Schmitt-Landsiedel

Free-Standing Line Patterns of NanocrystallineElectrodeposits 191

Karen Pantleon, Henrik Myhre Jensen, andMarcel A.J. Somers

Vlll

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 9: Materials, Technology and Reliability for Advanced

Pulsed MOCVD of Cu Seed Layer Using a(Hfac)Cu(3,3-Dimethyl-l-Butene) Source PlusH2Reactant 197

Jaebum Park, Heejung Yang, and Jaegab Lee

Preparation and Characterization of Copper Filmon Plastic Substrate by ECR-MOCVD CoupledWith a DC Bias 203

Bup Ju Jeon and Joong Kee Lee

Thermal Stability and Electrical Properties of Ag(Al)Metallization 209

Hyunchul C. Kim, N. David Theodore, James W. Mayer,and Terry L. Alford

Morphology of T^Ata Thin-Films Deposited byMagnetron Sputtering 215

N. David Theodore, Hyunchul C. Kim,Kaustubh S. Gadre, James W. Mayer, andTerry L. Alford

Reliability of Dielectric Barrier Films in CopperDamascene Applications 221

Albert S. Lee, Annamalai Lakshmanan,Nagarajan Rajagopalan, Zhenjiang Cui, Maggie Le,Li Qun Xia, Bok Heon Kim, and Hichem M'Saad

Effect of Surface Chemistry on the Diffusion of Copper inNanoporous Dielectrics 227

Oscar Rodriguez, Woojin Cho, Ravi Saxena,Ravi Achanta, William N. Gill, and Joel L. Plawsky

* MEMS Metallization 233Christian Lohmann, Knut Gottfried, Andreas Bertz,Danny Reuter, Karla Hiller, Michael Kuhn, andThomas Gessner

Chlorine-Based Reactive Ion Etching Process to PatternPlatinum for MEMS Applications 243

Sung H. Choi, Jon V. Osborn, and Brent A. Morgan

* Invited Paper

IX

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 10: Materials, Technology and Reliability for Advanced

Silver Metallization With Reactively Sputtered TiNDiffusion Barrier Films 255

L. Gao, J. Gstottner, R. Emling, Ch. Linsmeier, M. Balden,A. Wiltner, W. Hansch, and D. Schmitt-Landsiedel

* Electrical Behavior of Nano-Scaled Interconnects 261M. Engelhardt, G. Schindler, W. Steinhogl,G. Steinlesberger, and M. Traving

The Influence of Temperature and Concentration on CopperDeposition Kinetics in Supercritical Carbon Dioxide 267

Yinfeng Zong and James J. Watkins

INTEGRATION AND RELIABILITY

Process-Oriented Stress Modeling and Stress EvolutionDuring Cu/Low-k BEOL Processing 275

Charlie Jun Zhai, Paul R. Besser, Frank Feustel,Amit Marathe, and Richard C. Blish II

Ultra Low-Dielectric-Constant Materials for 65 nmTechnology Node and Beyond 281

Hao Cui, Darren Moore, Richard Carter, Masaichi Eda,Peter Burke, David Gidley, and Huagen Peng

Cross-Section Nano-Indentation for Rapid AdhesionEvaluation 291

S.H. Brongersma, Dominiek Degryse, Jerome Souiller,Bart Vandevelde, and K. Maex

Adhesion Strength Evaluation of Low-k InterconnectStructures Using a Nanoscratch Method 297

Jiping Ye, Kenichi Ueoka, Nobuo Kojima,Junichi Shimanuki, Miyoko Shimada, andShinichi Ogawa

Effect of Aqueous Solution Chemistry on the AcceleratedCracking of Lithographically Patterned Arrays of Copperand Nanoporous Thin Films 303

E.P. Guyer and R.H. Dauskardt

* Invited Paper

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 11: Materials, Technology and Reliability for Advanced

Fundamental Limits for 3D Wafer-to-Wafer AlignmentAccuracy 309

M. Wimplinger, J.-Q. Lu, J. Yu, Y. Kwon, T. Matthias,T.S. Cale, and R.J. Gutmann

Optical Interconnect Components for Wafer LevelHeterogeneous Hyper-Integration 315

P.D. Persans, M. Ojha, R.J. Gutmann, J.-Q. Lu, A. Filin,and J. Plawsky

Evaluation of Thin Dielectric-Glue Wafer-Bonding forThree Dimensional Integrated Circuit-Applications 321

Y. Kwon, J. Yu, J.J. McMahon, J.-Q. Lu, T.S. Cale,and R.J. Gutmann

Three Dimensional Interconnect Stress Modeling forBack End Process 327

Xiaopeng Xu and Victor Moroz

Thermomechanical Stresses in Copper Interconnect/Low-k Dielectric Systems 333

Y.-L. Shen and E.S. Ege

Mortality Dependence of Cu Dual DamasceneInterconnects on Adjacent Segment 339

C.W. Chang, C.L. Gan, C.V. Thompson, K.L. Pey,W.K. Choi, and N.Hwang

Unexpected Mode of Plastic Deformation in CuDamascene Lines Undergoing Electromigration 345

Arief S. Budiman, N. Tamura, B.C. Valek, K. Gadre,J. Maiz, R. Spolenak, W.A. Caldwell, W.D. Nix, andJ.R. Patel

Coupling Between Precipitation and Plastic DeformationDuring Electromigration in a Passivated Al (0.5wt%Cu)Interconnect 351

R.I. Barabash, G.E. Ice, N. Tamura, B.C. Valek,R. Spolenak, J.C. Bravman, and J.R. Patel

* Effect of Mass Transport Along Interfaces and GrainBoundaries on Copper Interconnect Degradation 361

Ehrenfried Zschech, Moritz A. Meyer, and Eckhard Langer

* Invited Paper

XI

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 12: Materials, Technology and Reliability for Advanced

Fatal Void Size Comparisons in Via-Below and Via-AboveCu Dual-Damascene Interconnects 373

Z.-S. Choi, C.L. Gan, F. Wei, C.V. Thompson,J.H. Lee, K.L. Pey, and W.K. Choi

Statistical Analysis of Electromigration Lifetimes and VoidEvolution for Cu Interconnects 379

M. Hauschildt, M. Gall, S. Thrasher, P. Justison,L. Michaelson, R. Hernandez, H. Kawasaki, and P.S. Ho

Stressmigration Studies on Dual Damascene Cu/Oxide andCu/Low-k Interconnects 385

Won-Chong Baek, Paul S. Ho, Jeong Gun Lee,Sung Bo Hwang, Kyeong-Keun Choi, andJong Sun Maeng

Textural Evolution of Cu Damascene Interconnects AfterAnnealing 391

Jae-Young Cho, Hyo-Jong Lee, Hyoungbae Kim, andJerzy A. Szpunar

Author Index 397

Subject Index 401

xn

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 13: Materials, Technology and Reliability for Advanced

PREFACE

This proceedings volume contains 60 papers presented at Symposium F, "Materials,Technology and Reliability for Advanced Interconnects and Low-k Dielectrics," which was heldApril 13-15 at the 2004 MRS Spring Meeting in San Francisco, California. Over half of thecontributions are from universities and national research institutes, testifying to the continuingrecognition of the stimulating scientific and engineering challenges advanced interconnects bringsto the microelectronics industry. Furthermore, the global importance of the field is apparent by thelarge number of contributions coming from outside the United States.

The issues addressed in this symposium cannot be dispelled as to simply selecting a low-kmaterial and integrating it into a copper damascene process. The intricacies of the Back End forsub-lOOnm technology include novel processing of low-k materials, employing pore sealingtechniques and capping layers, introducing advanced dielectric and diffusion barriers, and thedevelopment of novel integration schemes, in addition to the concerns of performance, yield, andreliability appropriate to nano-scaled interconnects. Although many challenges continue to impedeprogress along the ITRS roadmap, the contributions in this proceedings confront them head-on inorder to provide a scientific understanding of the issues so that solutions may be achieved in thefuture.

The development of both the symposium and this proceedings volume would not have beenpossible without the support of the Materials Research Society and the assistance of the MRS staff.We thank them for their dedication and diligence. The financial support of the followingorganizations is also deeply appreciated:

AMD Saxony LLC & Co. KGThe Dow Chemical Company

Honeywell Electronic MaterialsJSR Micro, Inc.

Intel CorporationLSI Logic Corporation

Finally, we are indebted to the numerous university, industrial, government, and internationalcolleagues who have contributed to the formulation and content of the symposium andproceedings.

R.J. CarterC.S. Hau-RiegeG.M. KlosterT.-M. LuS.E. Schulz

June 2004

Xll l

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 14: Materials, Technology and Reliability for Advanced

MATERIALS RESEARCH SOCIETY SYMPOSIUM PROCEEDINGS

Volume 782— Micro- and Nanosystems, D. LaVan, M. McNie, A. Ayon, M. Madou, S. Prasad, 2004,ISBN: 1-55899-720-2

Volume 783— Materials, Integration and Packaging Issues for High-Frequency Devices, P. Muralt, Y.S. Cho,J-P. Maria, M. Klee, C. Hoffmann, C.A. Randall, 2004, ISBN: 1-55899-721-0

Volume 784— Ferroelectric Thin Films XII, S. Hoffmann-Eifert, H. Funakubo, A.L Kingon, I.P. Koutsaroff,V. Joshi, 2004, ISBN: 1-55899-722-9

Volume 785— Materials and Devices for Smart Systems, Y. Furuya, E. Quandt, Q. Zhang, K. Inoue,M. Shahinpoor, 2004, ISBN: 1-55899-723-7

Volume 786— Fundamentals of Novel Oxide/Semiconductor Interfaces, C.R. Abernathy, E. Gusev,D.G. Schlom, S. Stemmer, 2004, ISBN: 1-55899-724-5

Volume 787— Molecularly Imprinted Materials—2003, P. Kofinas, MJ. Roberts, B. Sellergren, 2004,ISBN: 1-55899-725-3

Volume 788— Continuous Nanophase and Nanostructured Materials, S. Komarneni, J.C. Parker, J. Watkins,2004, ISBN: 1-55899-726-1

Volume 789— Quantum Dots, Nanoparticles and Nanowires, P. Guyot-Sionnest, N.J. Halas, H. Mattoussi,ZX. Wang, U. Woggon, 2004, ISBN: 1-55899-727-X

Volume 790— Dynamics in Small Confining Systems—2003, J.T. Fourkas, P. Levitz, M. Urbakh, KJ. Wahl,2004, ISBN: 1-55899-728-8

Volume 791— Mechanical Properties of Nanostructured Materials and Nanocomposites, R. Krishnamoorti,E. Lavernia, I. Ovid'ko, C.S. Pande, G. Skandan, 2004, ISBN: 1-55899-729-6

Volume 792— Radiation Effects and Ion-Beam Processing of Materials, L. Wang, R. Fromknecht, L.L. Snead,D.F. Downey, H. Takahashi, 2004, ISBN: 1-55899-730-X

Volume 793— Thermoelectric Materials 2003—Research and Applications, G.S. Nolas, J. Yang, T.P. Hogan,D.C. Johnson, 2004, ISBN: 1-55899-731-8

Volume 794— Self-Organized Processes in Semiconductor Heteroepitaxy, R.S. Goldman, R. Noetzel,A.G. Norman, G.B. Stringfellow, 2004, ISBN: 1-55899-732-6

Volume 795— Thin Films—Stresses and Mechanical Properties X, S.G. Corcoran, Y-C. Joo, N.R. Moody,Z. Suo, 2004, ISBN: 1-55899-733-4

Volume 796— Critical Interfacial Issues in Thin-Film Optoelectronic and Energy Conversion Devices,D.S. Ginley, S.A. Carter, M. Gratzel, R.W. Birkmire, 2004, ISBN: 1-55899-734-2

Volume 797— Engineered Porosity for Microphotonics and Plasmonics, R. Wehrspohn, F. Garcial-Vidal,M. Notomi, A. Scherer, 2004, ISBN: 1-55899-735-0

Volume 798— GaN and Related Alloys—2003, H.M. Ng, M. Wraback, K. Hiramatsu, N. Grandjean, 2004,ISBN: 1-55899-736-9

Volume 799— Progress in Compound Semiconductor Materials III—Electronic and OptoelectronicApplications, D. Friedman, M.O. Manasreh, I. Buyanova, F.D. Auret, A. Munkholm, 2004,ISBN: 1-55899-737-7

Volume 800— Synthesis, Characterization and Properties of Energetic/Reactive Nanomaterials,R.W. Armstrong, N.N. Thadhani, W.H. Wilson, JJ. Gilman, Z. Munir, R.L. Simpson, 2004,ISBN: 1-55899-738-5

Volume 801— Hydrogen Storage Materials, M. Nazri, G-A. Nazri, R.C. Young, C. Ping, 2004,ISBN: 1-55899-739-3

Volume 802— Actinides—Basic Science, Applications and Technology, L. Soderholm, J. Joyce, M.F. Nicol,D. Shuh, J.G. Tobin, 2004, ISBN: 1-55899-740-7

Volume 803— Advanced Data Storage Materials and Characterization Techniques, J. Ahner, L. Hesselink,J. Levy, 2004, ISBN: 1-55899-741-5

Volume 804— Combinatorial and Artificial Intelligence Methods in Materials Science II, R.A. Potyrailo,A. Karim, Q. Wang, T. Chikyow, 2004, ISBN: 1-55899-742-3

Volume 805— Quasicrystals 2003—Preparation, Properties and Applications, E. Belin-Ferre, M. Feuerbacher,Y. Ishii, D. Sordelet, 2004, ISBN: 1-55899-743-1

Volume 806— Amorphous and Nanocrystalline Metals, R. Busch, T. Hufhagel, J. Eckert, A. Inoue,W. Johnson, A.R. Yavari, 2004, ISBN: 1-55899-744-X

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information

Page 15: Materials, Technology and Reliability for Advanced

MATERIALS RESEARCH SOCIETY SYMPOSIUM PROCEEDINGS

Volume 807— Scientific Basis for Nuclear Waste Management XXVII, V.M. Oversby, L.O. Werme, 2004,ISBN: 1-55899-752-0

Volume 808— Amorphous and Nanocrystalline Silicon Science and Technology—2004, R. Biswas,G. Ganguly, E. Schiff, R. Carius, M. Kondo, 2004, ISBN: 1-55899-758-X

Volume 809— High-Mobility Group-IV Materials and Devices, M. Caymax, E. Kasper, S. Zaima, K. Rim,P.F.P. Fichtner, 2004, ISBN: 1-55899-759-8

Volume 810— Silicon Front-End Junction Formation—Physics and Technology, P. Pichler, A. Claverie,R. Lindsay, M. Orlowski, W. Windl, 2004, ISBN: 1-55899-760-1

Volume 811— Integration of Advanced Micro- and Nanoelectronic Devices—Critical Issues and Solutions,J. Morais, D. Kumar, M. Houssa, R.K. Singh, D. Landheer, R. Ramesh, R. Wallace, S. Guha,H. Koinuma, 2004, ISBN: 1-55899-761-X

Volume 812— Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics—2004,R. Carter, C. Hau-Riege, G. Kloster, T-M. Lu, S. Schulz, 2004, ISBN: 1-55899-762-8

Volume 813— Hydrogen in Semiconductors, N.H. Nickel, M.D. McCluskey, S. Zhang, 2004,ISBN: 1-55899-763-6

Volume 814— Flexible Electronics 2004—Materials and Device Technology, B.R. Chalamala, B.E. Gnade,N. Fruehauf, J. Jang, 2004, ISBN: 1-55899-764-4

Volume 815— Silicon Carbide 2004—Materials, Processing and Devices, M. Dudley, P. Gouma, P.G. Neudeck,T. Kimoto, S.E. Saddow, 2004, ISBN: 1-55899-765-2

Volume 816— Advances in Chemical-Mechanical Polishing, D. Boning, J.W. Bartha, G. Shinn, I. Vos,A. Philipossian, 2004, ISBN: 1-55899-766-0

Volume 817— New Materials for Microphotonics, J.H. Shin, M. Brongersma, F. Priolo, C. Buchal, 2004,ISBN: 1-55899-767-9

Volume 818— Nanoparticles and Nanowire Building Blocks—Synthesis, Processing, Characterization andTheory, O. Glembocki, C. Hunt, C. Murray, G. Galli, 2004, ISBN: 1-55899-768-7

Volume 819— Interfacial Engineering for Optimized Properties III, C.A. Schuh, M. Kumar, V. Randle,C.B. Carter, 2004, ISBN: 1-55899-769-5

Volume 820— Nanoengineered Assemblies and Advanced Micro/Nanosystems, J.T. Borenstein,P. Grodzinski, L.P. Lee, J. Liu, Z. Wang, D. Mcllroy, L. Merhari, J.B. Pendry, D.P. Taylor,2004, ISBN: 1-55899-770-9

Volume 821— Nanoscale Materials and Modeling—Relations Among Processing, Microstructure andMechanical Properties, P.M. Anderson, T. Foecke, A. Misra, R.E. Rudd, 2004,ISBN: 1-55899-771-7

Volume 822— Nanostructured Materials in Alternative Energy Devices, E.R. Leite, J-M. Tarascon,Y-M. Chiang, E.M. Kelder, 2004, ISBN: 1-55899-772-5

Volume 823— Biological and Bioinspired Materials and Devices, J. Aizenberg, C. Orme, W.J. Landis,R. Wang, 2004, ISBN: 1-55899-773-3

Volume 824— Scientific Basis for Nuclear Waste Management XXVIII, J.M. Hanchar, S. Stroes-Gascoyne,L. Browning, 2004, ISBN: 1-55899-774-1

Volume 825E—Semiconductor Spintronics, B. Beschoten, S. Datta, J. Kikkawa, J. Nitta, T. Schapers, 2004,ISBN: 1-55899-753-9

Volume 826E—Proteins as Materials, V.P. Conticello, A. Chilkoti, E. Atkins, D.G. Lynn, 2004,ISBN: 1-55899-754-7

Volume 827E—Educating Tomorrow's Materials Scientists and Engineers, K.C. Chen, M.L. Falk,T.R. Finlayson, W.E. Jones Jr., L.J. Martinez-Miranda, 2004, ISBN: 1-55899-755-5

Prior Materials Research Society Symposium Proceedings available by contacting Materials Research Society

www.cambridge.org© in this web service Cambridge University Press

Cambridge University Press978-1-107-40922-4 - Materials, Technology and Reliability for Advanced Interconnectsand Low-k Dielectrics—2004: Materials Research Society Symposium Proceedings: Volume 812Editors: R. J. Carter, C. S. Hau-Riege, G. M. Kloster, T.-M. Lu and S. E. Schulz FrontmatterMore information