81
M.S. DISSERTATION Low-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride Thin Films for Encapsulation of Flexible OLEDs by Ji Min Kim February 2018 Department of Materials Science and Engineering College of Engineering Seoul National University

Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

M.S. DISSERTATION

Low-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon

Nitride Thin Films for Encapsulation of Flexible OLEDs

by Ji Min Kim

February 2018

Department of Materials Science and Engineering College of Engineering

Seoul National University

Page 2: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

Low-Temperature Plasma Enhanced Atomic

Layer Deposition of Silicon Nitride Thin Films for

Encapsulation of Flexible OLEDs

Advisor: Prof. Hyeong Joon Kim

by

Ji Min Kim

A thesis submitted to the Graduate Faculty of Seoul National

University in partial fulfillment of the requirements for the

Degree of Master of Science

Department of Materials Science and Engineering

February 2018

Approved

by

Chairman of Advisory Committee: Cheol Seong Hwang

Vice-chairman of Advisory Committee: Hyeong Joon Kim

Advisory Committee: Seong-Hyeon Hong

Page 3: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

i

Abstract

In recent years, along with the development of organic light emitting

diodes (OLEDs) with excellent optical and mechanical properties, displays are

gradually evolving from a conventional form to a new form, and the

development for thinner, curved and flexible displays is rapidly increasing.

These types of display also require a high level of properties of encapsulation

layers which protect the OLEDs’ organic light emitting layer from moisture and

oxygen. Currently, silicon nitride (Si3N4) thin film encapsulation layers

deposited via chemical vapor deposition (CVD) have a disadvantage in that it

is too thick to impart enough mechanical properties required for the new

displays. Therefore, atomic layer deposition (ALD), which is currently

attracting attention as high-quality, ultra-thin, conformal and pinhole-free thin

film deposition, is able to be one of solution for replacing CVD method.

In this study, a silicon nitride thin film was deposited by plasma enhanced

ALD. By applying high-energy nitrogen plasma in process, ALD window

extended to the low temperature proper to OLEDs application. Thin film

analyses were performed to investigate the compositions, roughness, thickness

and density by Auger electron spectroscopy (AES), spectroscopic ellipsometry

(SE), X-ray reflectometry (XRR), atomic force microscopy (AFM), X-ray

photoelectron spectroscopy (XPS).

Atomic layer deposition of silicon nitride using bis(tertiary-butyl-amino)

silane (BTBAS) precursors and N2 plasma was deposited on the top of Si

substrates and polyethylene naphthalate (PEN) substrates at various deposition

Page 4: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

ii

process temperature from 300 to 85°C, which is the maximum process

temperature of OLEDs. It was confirmed by measuring a refractive index of the

deposited thin films, which was ~ 2.0, that the deposited thin films had chemical

bond of the same energy as that of pure Si3N4. As the process temperature was

lowered, the refractive index dropped to ~ 1.6 and the carbon content in the film

increased to about 25 at%. The by-products formed during the plasma-enhanced

atomic layer deposition (PEALD) reaction were redeposited through activating

in the plasma and deteriorated the quality of the thin film. The film properties

were improved by adjusting the plasma exposure time and the gas flow rate,

and it was possible to deposit thin film having a refractive index of ~ 1.8 even

at the temperature as low as 85°C. In addition, to improve reliability of nitrides

which are easily oxidized in air, plasma post-treatment with N2 and Ar was

conducted and enhanced the stability of deposited thin films.

Silicon nitride was deposited on PEN and polyimide (PI) substrates by the

PEALD process, based on the optimized deposition conditions on silicon

substrates. As a result of XPS and scanning electron microscopy (SEM)

analyses, it was confirmed that a thin film chemically identical to the thin film

deposited on the silicon substrate was deposited, and that the grown per cycle

(GPC) value measured by SEM was also similar, indicating that the silicon

nitride ALD reaction also occurred stably on the polymer substrates.

In conclusion, through the control of process parameter including plasma

pressure and plasma gas flow rate, it is confirmed that silicon nitride thin films

were deposited at low temperature of about 85°C and were also deposited

reliably on the polymeric substrates.

Page 5: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

iii

Keywords: Si3N4, low-temperature deposition, atomic layer deposition,

plasma enhanced atomic layer deposition, BTBAS

(bis(tertiary-butyl-amino) silane), redeposition, PEN, PI

Student Number: 2016-20776

Ji Min Kim

Page 6: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

iv

Contents Chapter 1. Introduction .......................................................... 1

1.1 Overview ................................................................................... 1

Chapter 2. Literature Review ................................................. 3

2.1 Atomic Layer Deposition .......................................................... 3

2.1.1 General characteristics of ALD ................................................ 3

2.1.2 The Surface Chemistry of ALD ................................................ 6

2.1.3 Chemisorption Mechanisms ................................................... 16

2.1.4 ALD Process Window ............................................................ 18

2.1.5 Saturation of Surface .............................................................. 20

2.1.6 Effects of Temperature on Growth Rate in ALD .................... 22

2.2 Plasma-Enhanced Atomic Layer deposition ........................... 25

2.2.1 General Characteristics of PEALD ........................................ 25

2.2.2 Low temperature process ....................................................... 27

2.3 PEALD of Silicon Nitrides ..................................................... 29

2.4 ALD on Polymeric Substrates ................................................. 31

2.5 Thin Film Encapsulation for flexible OLEDs ......................... 35

Chapter 3. Deposition of Silicon Nitride Thin Films by Low-

Temperature Plasma-Enhanced Atomic Layer Deposition .. 37

3.1 Experimental Procedures......................................................... 37

3.2 Results and Discussions .......................................................... 41

3.2.1 PEALD of Silicon Nitride on Si substrate .............................. 41

3.2.2 Effects of plasma post-treatment ............................................ 50

3.2.3 PEALD of Silicon Nitride on Polymer ................................... 53

Chapter 4. Conclusions ........................................................ 60

REFERENCES ..................................................................... 62

Page 7: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

v

List of Tables

Table 3.1 The detailed conditions for ALD. ......................................... 40

Table 3.2 Topographical AFM images and RMS values of PI, PEN, Si,

substrates and SiN films with 20 nm on the substrates. ....................... 56

Table 3.3 WVTR values of the silicon nitride thin films of various

thickness fabricated by ALD and CVD. ............................................... 59

Page 8: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

vi

List of Figures Figure 2.1 Schematic illustration of each 4 steps in a ALD reaction

cycle.[16] ................................................................................................ 5

Figure 2.2 Graphical illustration of Eq. 2.8.[19] .................................... 9

Figure 2.3 Effect of the reactant partial pressure p on the coverage of

surface in an adsorption : (a) the equilibrium chemisorption coverage

Qeq in reversible adsorption with different equilibrium constant and (b)

the chemisorption coverage Q in irreversible adsorption.[16] ............. 14

Figure 2.4 The change in an adsorption amount over time t: (a)

irreversible adsorption (chemisorption) (b) reversible adsorption

(physisorption) and (c) irreversible and reversible adsorption.[3] ....... 14

Figure 2.5 Schematic explanation of five ALD cycles under an

assumption of irreversible adsorption: (a) Surface chemisorption

coverage Q over time t, (b) the amount of adsorbed reactants over time t,

and (c) the deposition rate of reactants over time t.[3] ......................... 15

Figure 2.6 Chemisorption mechanisms of ALD reaction : (a) ligand

exchange (b) dissociation (c) association.[16] ..................................... 17

Figure 2.7 ALD temperature window.[15] ........................................... 19

Figure 2.8 Factors identified to cause saturation of irreversible

chemisorption: (a) steric hindrance of the ligands and (b) the number of

reactive surface sites.[16] ..................................................................... 21

Figure 2.9 Change in the GPC with the ALD process temperature in ALD

window.[16] .......................................................................................... 24

Figure 2.10 Schematic representation of thermal ALD and plasma-

assisted ALD.[21] ................................................................................. 26

Figure 2.11 Growth rate of ALD as a function of growth temperature.[22]

.............................................................................................................. 28

Figure 2.12 TMA mass change measured by a QCM as a function of the

number of cycles : (a) for the 30 cycles and (b) for the first five cycles of

Page 9: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

vii

Page 10: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

viii

Page 11: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

1

Chapter 1. Introduction

1.1 Overview

Organic light-emitting diodes (OLEDs) with many advantages such as

mechanical flexibility, realistic color and high contrast ratio have been

attracting great attention for its applications in flexible, stretchable and rollable

display.[1] However, they have a critical problem to overcome, which is that

the organic-based luminescent layer is easily degraded by oxygen and

moisture.[2] Therefore, the encapsulation technology which can realize a long

life time and a high reliability of device is a key technology in the OLED device.

The encapsulation layer is now deposited directly on the device in the form of

a thin film which can reduces the encapsulation volume and imparts flexibility

to the device. Most of the encapsulation layers are composed of inorganic

materials including aluminum oxide (AlOx) deposited by sputtering[3], [4],

AlOx deposited by atomic layer deposition (ALD)[5], [6] and silicon nitride

(SiNx) or silicon oxide (SiOx) deposited by plasma enhanced chemical vapor

deposition (PECVD).[7], [8] As the thin film fabricated by ALD have the

advantages of high-quality, densely packed, conformal, and nearly pinhole-free,

it was reported that the encapsulation layer of various inorganic thin films

fabricated by ALD, including AlOx and titanium oxide (TiO2) exhibits more

excellent permeation characteristics than the sputtered or PECVD thin films.

[9]–[11] Recently, halogen-free PEALD process using bis(tertiary-butyl-

amino)silane (BTBAS) and N2 plasma was reported and high quality SiNx was

deposited in the temperature range of 300 ~ 500 C and at the plasma pressure

Page 12: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

2

of 40 mTorr.[12] However, the quality of SiNx thin film obtained at low

temperature below 200 C was poor containing high carbon content and having

low density. Therefore, enhancement of the film quality at low temperature

strongly needs to be studied for OLEDs encapsulation application which

requires the low process temperature for long lifetime of them, though there are

few reports on low temperature ALD of SiNx.[13] In this dissertation, the

temperature dependence of PEALD SiNx from 85 to 300 C was investigated

and the quality of the films deposited at 85 C could be alleviated by controlling

two process parameters. Furthermore, the compatibility of the PEALD reaction

with polymeric substrates was confirmed and the permeation characteristic of

silicon nitride thin films was investigated by water vapor transmission rate

(WVTR) with a MOCON instrument.

The outline of this dissertation is same as follows. Chapter 2 covers the

basic concepts of ALD, general characteristics of its surface chemistry and

effects of temperature on growth rate in ALD and reviews the fundamental

literatures about PEALD of silicon nitride, the reaction mechanism of ALD on

polymeric substrates and thin film encapsulation technology for OLEDs.

Chapter 3 displays the temperature dependence of PEALD silicon nitride from

85 to 300 C. and covers the control of nitrogen plasma’s process parameters

successfully alleviating characteristics of thin films. Also, it covers PEALD of

silicon nitride on polymeric substrates including PEN and PI. Finally, chapter

4 covers the conclusion of the dissertation.

Page 13: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

3

Chapter 2. Literature Review

2.1 Atomic Layer Deposition

2.1.1 General characteristics of ALD

Atomic layer deposition (ALD) is one of the bottom-up growth techniques

based on the sequential exposure of precursors and reactants based on self-

limiting surface reactions.[14], [15] To be specific, it is a deposition method

which enabled precise control of thickness in atomic-scale by a cycle which are

composed of alternating injection step of precursors and reactants. At the step

which pulses precursors on the surface of substrate, the precursors are

physically adsorbed at first and then chemical bonds between the surface and

precursors formed which make precursors chemically adsorbed on the surface.

Consecutively pulsed precursors are only physically adsorbed staking up on the

early adsorbed precursor molecules. These physically adsorbed precursors are

removed at a purge step which is followed by the precursors pulse step and the

surface is saturated with the chemisorbed precursors. Subsequently, reactants

are injected on the chemisorbed precursors forming chemical bonds between

surface groups and reactants. The remaining reactants are purged at a purge step.

After these four steps, the monolayer of thin films is deposited showing a self-

limited growth behavior. In this way, one cycle of ALD reaction is composed

of 4 steps precursor pulse, precursor purge, reactant pulse, and reactant purge

as shown in Fig. 2.1.[16] The growth rate, known as growth-per-cycle (GPC) is

the amount of added material to the surface during each reaction cycle in terms

Page 14: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

4

of film thickness and the thickness of deposited material can be increased by

repeating reaction cycles.[17]

Page 15: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

5

Figure 2.1 Schematic illustration of each 4 steps in a ALD reaction

cycle.[16]

Page 16: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

6

2.1.2 The Surface Chemistry of ALD

As known in 2.1.1, a self-limiting reaction is the very distinguishing

feature in ALD reaction. This reaction mainly corresponds to the chemical

reaction between functional groups of a gaseous compound and that of solid

surface. In this section, several aspects of thermodynamics and kinetics in the

reaction will be illustrated. Generally, there are the two major surface reactions

that occur in ALD, physisoprtion and chemisorption. Two of the reactions are

able to be classified based on the strength of the interaction between the

adsorbing molecules (“adsorptive”) and the solid surface (“adsorbent”).

Physisorption is a phenomenon when precursors are physically adsorbed on the

surface by weak interactions, well-known as van der Waals forces. The value

of enthalpy of the adsorption, Had, is less than 200 kJ/mol, typically 20 kJ/mol.

Especially, there is no chemical interaction between adsorbent and adsorptive

and physisorption could occur in the wide range of layers, multilayers.[18] On

the other hand, chemisorption originates from the chemical reactions between

adsorptive and adsorbent by forming chemical bonds. Therefore, Had is larger

than 200 kJ/mol (~2 eV) and occur in one layer, a monolayer. The reaction

between two reactants, AXx and BYy, generates compound AB and gaseous

byproducts, XY and xy. This can be represented as following chemical

equation.[19]

AXx(g) + BYy(g) AB(s) + XY(g) + xy(g) Eq. 2.1

Page 17: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

7

This chemical reaction can be divided into the two consecutive reactions

(Eq. 2.2 and 2.3) which is the half reaction of one ALD cycle, the pulse step of

precursor and reactant.

BYy (g) + X*(AB)m(s) y*B(AB)m(s)+XY(g) Eq. 2.2

AXx (g) + y*B(AB)m(s) X*(AB)m+1(s) + xy(g) Eq. 2.3

Adsorption kinetics of ALD reaction correspond to the Langmuir

adsorption model as the self-limiting atomic layer growth of precursor and

reactant governs the ALD reaction. Langmuir adsorption model supposes the

condition that the additional adsorption of gaseous precursors is not occur on

the reaction sites that already-adsorbed by adatoms and the formation of next

layer occurs only following the full coverage of current layer. A model equation

expressed by fractional coverage, , showing the progress of layer formation

is as follows.[19]

Eq. 2.5

where and indicates the adsorption and desorption rates and

is the coverage of adsorptive A on the other adsorbent, B layer. Therefore,

superscript AB is applicable to the case when AXx adsorbs on the B layer.

is the pulse time of gaseous precursor, AXx, is its partial pressure and

and indicate the adsorption and desorption coefficients.

Page 18: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

8

following means that already-adsorbed atoms are also able to be

desorbed. In Eq. 2.5, “1- ” express the assumption that adsorption does not

occur on the already-adsorbed sites. In the other case, e.g., when BYy adsorbs

on the A layer, superscript would be BA.

The differential equation, Eq. 2.5 can be solved as follows.

Eq. 2.6

Eq. 2.7

also can be solved with the similar method to and the growth

rate (GR) with the consideration of is as follows

Eq. 2.8

Fig. 2.2 provides the explicit relation between the two variables and GR

in the three-dimensional graph where and are x- and y-axis, and GR

is z-axis.

The surface chemistry plays a significant role in ALD reaction. Precursors

should have high enough vapor pressure to be delivered efficiently and have

the proper growth rate of ALD reaction. Also, they have good thermal stability

for wide temperature range of process.

Page 19: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

9

Figure 2.2 Graphical illustration of Eq. 2.8.[19]

Page 20: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

10

The coverage of ALD reaction from the Langmuir adsorption model also

can be obtained by the method investigated by Puurunen et. al.[16] To simply

identify the effect of the reactant partial pressure which plays a crucial role in

the adsorption process on the coverage, three assumptions which are frequently

used in many literatures concerning ALD chemistry are made; the possible

maximum amount of adsorbed species in a cycle is limited to one monolayer,

every adsorption reaction site is fairly distributed on the substrate surface, and

any possible interaction between neighboring adsorbed species is totally

neglected. Through the simplest example of molecular adsorption where

gaseous compound A adsorbs to a surface site S (Eq. 2.9), the characteristics of

adsorption kinetics in ALD can be explained.

A(g) + S(surface) A S (surface) Eq. 2.9

The coverage of adsorbed species is referred to the chemisorption

coverage and denoted as Q. The instantaneous rate of chemisoption coverage

change over time, dQ/dt, can be represented as the adsorption rate minus the

desorption rate. The adsorption rate can be expressed by the equation which is

adsorption rate constant ka, multiplied by the partial pressure p of the gaseous

precursor A, and multiplied by the fraction (1 Q) which means the unoccupied

remaining surface sites. The desorption rate can be expressed by the equation

which is the desorption rate constant kd multiplied by the fraction Q which

means the occupied surface sites.

Page 21: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

11

= Eq. 2.10

In the equilibrium state, the chemisorption coverage is constant at any time

(Eq. 2.10 = 0), and the equilibrium chemisorption coverage Qeq can be

expressed as follows.

Qeq = Eq. 2.11

where p, K, ka and kd indicate the reactant partial pressure, the equilibrium

constant of the adsorption, adsorption rate and desorption rate respectively. In

a reversible adsorption ( 0, ), Qeq increases with p, as illustrated in

Fig. 2.3(a). However, in order to obtain a sufficient growth rate for a practical

process, the adsorption must be nearly irreversible. In the case of irreversible

reactions, the desorption rate, kd approaches to zero and then, the equilibrium

constant, K approaches to infinity. In this assumption, Eq. 2.11 can be solved

as follows.

Eq. 2.12

Therefore, the chemisorption coverage Q does not increase with p, but

always has a constant value at any pressure (Fig. 2.3(b)).

The chemisorption coverage Q can be expressed by a function of time by

integrating Eq. 2.10 over time and assuming constant pressure, adsorption rate

and desorption rate.

Page 22: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

12

Eq. 2.13

Figure 2.4 illustrates three types of adsorption in graphs showing changes

in adsorption amount over time when a type of molecular precursor is pulsed

and then purged. The adsorption amount increases and becomes saturated over

time in the initial part and this is consistent with that illustrated in Eq 2.13.

However, in the pulse step, the three types of adsorption show different patterns

each other after the dotted line indicating the beginning of the purge step. Figure

2.5(a) indicates the irreversible chemisorption, Fig. 2.5(b) indicates the

reversible chemisorption and Fig. 2.5(c) indicates irreversible and reversible

chemisorption. After molecular species are adsorbed and the adsorbed amount

commonly saturated at a constant value on the surface (saturation occurs faster

if the p and ka are higher), in the case of (a), the adsorption amount does not

change since the reversible reaction that the adsorbed species is vaporized again

into gaseous molecular species does not occur. On the other hand, in the case

of (b), when the gaseous molecular species is removed from the surface by the

purge step, the adsorbed species is vaporized again to the gaseous species

through the reversible reaction, and the adsorbed amount returns to 0 over time.

The ALD reaction is similar to the case of (c) in which the irreversible and

reversible reaction are combined, and only the molecules adsorbed by the

reversible reaction are vaporized again into the gas phase. This is because, in

the case of the ALD reaction, physisorption which has a small adsorption

enthalpy and close to a reversible adsorption and chemisorption which has a

Page 23: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

13

relatively large adsorption enthalpy and is similar to an irreversible adsorption

coexist.

In the ALD reaction, as a reactant A and B are injected alternatively, the

changes in surface coverage and adsorbed amount over time show different

patterns each other. Figure 2.5 shows the time-dependent changes in the

coverage, adsorbed amount, and deposition rate of A and B over five cycles of

the ALD reaction, assuming an irreversible reaction. In Fig. 2.5(b), the adsorbed

amount increases and saturated to a constant value, which is consistent with Fig.

2.4(a). This pattern is accumulated and repeated five times which corresponds

to the number of ALD cycle. On the other hand, in the first cycle of Fig. 2.5(b),

the coverage of reactant A increases and be saturated to 1 but when the reactant

B is injected, the coverage of A decreases to 0 and the coverage of B is saturated

to 1. This is because, when the reactant B adsorbs to the surface, it forms a bond

with the fuctional groups of adsorbent A and substitutes the adsorbent A for the

adsorbent B on the surface. This process is reversed when reactant A is injected

again. Figure 2.5(c) shows the deposition rate over time. Since the unoccupied

reactive sites are abundant at the initial stage of the reactant injection, the

deposition rate is the highest and the rate gradually decreases as sites are

occupied by other reactants.

Page 24: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

14

Figure 2.3 Effect of the reactant partial pressure p on the coverage of

surface in an adsorption : (a) the equilibrium chemisorption coverage Qeq in

reversible adsorption with different equilibrium constant and (b) the

chemisorption coverage Q in irreversible adsorption.[16]

Figure 2.4 The change in an adsorption amount over time t: (a) irreversible

adsorption (chemisorption) (b) reversible adsorption (physisorption) and (c)

irreversible and reversible adsorption.[3]

Page 25: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

15

Figure 2.5 Schematic explanation of five ALD cycles under an assumption

of irreversible adsorption: (a) Surface chemisorption coverage Q over time t,

(b) the amount of adsorbed reactants over time t, and (c) the deposition rate of

reactants over time t.[3]

Page 26: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

16

2.1.3 Chemisorption Mechanisms

There are three main types of chemisorption mechanisms in ALD

reaction as shown in Fig. 2.6. Figure 2.6(a) illustrates ligand exchange. ligand

exchange reaction is that a ligand of reactant is exchanged into a surface

reactive site and adsorbed on the surface as an absorbent. The ligand of

precursor forms gaseous byproduct with a surface functional group. The other

ligand of absorbent could be vaporized as a byproducts reacting with the other

surface functional group. Figure 2.6(b) shows dissociation. In this reaction, a

ligand dissociated from a precursor molecule and the precursor could be

adsorbed on the reactive site as it loses its one functional group. In Fig. 2.6(c),

a precursor does not lose its own ligands and forms a coordinative bond with a

reactive site. This is called association.

Page 27: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

17

Figure 2.6 Chemisorption mechanisms of ALD reaction : (a) ligand

exchange (b) dissociation (c) association.[16]

Page 28: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

18

2.1.4 ALD Process Window

The ideal behavior of ALD including self-limiting growth occurs only in

a proper temperature range or so-called “ALD window”. Figure 2.7 shows the

change in growth per cycles over growth temperatures in the ALD reaction.

ALD window specifies the temperature region where deposition rate does not

change and has a fairly constant value as the medium temperature range in Fig.

2.7. On the other hand, in the temperature ranges outside this ALD window, the

ALD reaction does not ideally occur and an increase or decrease in GPC occur

due to several reasons. There are 4 cases explaining this non-ideal behavior,

which is condensation (L1), decomposition (H1), incomplete reaction (L2) and

desorption (H2). At lower temperature, as their names indicate, the gaseous

reactant could physically condense on the surface or surface reactions may not

get enough thermal energy to the self-limiting growth. At higher temperature,

thermally unstable reactant could decompose by itself enabling additional

reactant adsorption. This self-dissociation of reactants causes an increase in

GPC forming multilayer deposition, which is similar to CVD. The adsorbed

species could also desorb from the surface by the reversible reaction and this

desorption would bring about the decrease in GPC at higher temperatures.

Page 29: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

19

Figure 2.7 ALD temperature window.[15]

ALD

Page 30: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

20

2.1.5 Saturation of Surface

Saturation of surface reactive sites is an important phenomenon for the

self-limiting growth of ALD reaction as mentioned in the previous chapters. It

is known that there are two dominating factors causing a saturation of surface

with adsorbed species in ALD reactions, as shown in Fig. 2.8: (a) steric

hindrance of the ligands and (b) the number of reactive sites.[16] Steric

hindrance of the ligands hinders the adsorption of other precursors by hiding

neighboring reactive sites with its ligands from other precursors. Adsorbent has

two or three ligand functional groups and these ligands repel the ligands of the

other adsorbents by van der Waals force, and be tilted to conceal the reactive

site. Therefore, all of the reactive sites could not be occupied by precursors

especially if their ligands are large enough, as in the case of metal organic

precursors. The number of reactive sites is also another important factor for the

saturation of surface. As shown in Fig. 2.8(b), the functional groups of surface

could react with each other and the reactivity of such sites is lowered. Then,

gaseous precursors could not be adsorbed on that surface sites and the surface

could not be fully saturated. This causes the decrease in GPC.

Page 31: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

21

Figure 2.8 Factors identified to cause saturation of irreversible

chemisorption: (a) steric hindrance of the ligands and (b) the number of reactive

surface sites.[16]

Page 32: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

22

2.1.6 Effects of Temperature on Growth Rate in ALD

As illustrated in 2.1.4, there is a temperature range that ALD could occur

stably. However, the growth rate per cycle (GPC) normally has a slight

temperature dependency even at the temperature range of ALD window. This

can be explained by the change in the main reaction mechanism and the number

of reactive surface site on the substrate over deposition temperature.

Figure 2.9 graphically illustrates the four main patterns of change in GPC

over temperature in ALD. Figure 2.9(a) shows the decrease in the GPC as

temperature increases. This is mainly because the number of reactive surface

sites decreases as deposition temperature increases. As mentioned in 2.1.5, the

number of reactive surface sites affects the number of adsorbed precursors and

the surface coverage. The GPC could have a constant value regardless of the

temperature (Fig. 2.9(b)). For example, even though the reactive surface sites

decrease with temperature, steric hindrance of ligand offsets the effect of the

number of reactive sites. As illustrated in Fig. 2.9(c), the GPC value increases

with temperature. This is mainly caused by increased thermal energy and the

relatively lowered activation barrier. Thus, the reaction which could not occurs

at lower temperature can actively occurs at higher temperature. Finally, the

GPC could increase at first and decrease again as temperature increases in Fig.

2.9(d). This can be easily understood by combination of Fig. 2.9(b) and Fig.

2.9(c). In an initial range of temperature, the GPC increases as adsorption

reaction rate increases due to thermal energy. As temperature further goes up,

Page 33: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

23

the number of reactive surface sites becomes smaller and this factor dominates

the GPC value covering the effect of increase in thermal energy.

Page 34: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

24

Figure 2.9 Change in the GPC with the ALD process temperature in ALD

window.[16]

Page 35: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

25

2.2 Plasma-Enhanced Atomic Layer deposition

2.2.1 General Characteristics of PEALD

As mentioned the previous chapters, one cycle of ALD consists of the

following four consecutive steps: a precursor pulse step, a purge step which

remove physically adsorbed precursors and byproducts of adsorption reaction,

a reactant pulse step, and a purge step. The main difference between thermal

ALD and plasma-enhanced ALD (PEALD) (also known as plasma-assisted

ALD) is the reactant pulse step. As shown in Fig. 2.10, PEALD exposes plasma

in the reactant pulse step.[21] By creating various plasma component including

radicals, ions and electrons, the reaction rate of ALD becomes higher, the film

quality gets better and ALD window can be extended to to lower temperature.

Page 36: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

26

Figure 2.10 Schematic representation of thermal ALD and plasma-assisted

ALD.[21]

Page 37: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

27

2.2.2 Low temperature process

There are many other advantages of PEALD including a wider choice of

substrate materials and precursors, better film qualities such as lower impurities

and higher density and electronic properties, a higher GPC value and much

more process parameters.[22] Among them, the most important advantage of

PEALD process in this dissertation is the extension of ALD window to lower

temperature region. Additional activation energy provided by plasma energy

facilitates the chemical reactions of precursors with less thermal energy, even

at room temperature. Also, unlike thermal ALD, the extension of process

window can be controlled by the optimization of plasma process parameter. As

shown in Fig. 2.11, thermal ALD has a narrower process window than PEALD

and especially, process window is widened to lower growth temperature limit.

Utilizing this property of PEALD, the process can be applied to the devices

have a sensitive thermal budgets such as organic based OLEDs, organic

semiconductors and fibers, which can greatly increase the availability of the

process.

Page 38: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

28

Figure 2.11 Growth rate of ALD as a function of growth temperature.[22]

Page 39: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

29

2.3 PEALD of Silicon Nitrides

As the feature size of integrated circuits shrinks, SiO2 and Si3N4, which

are the commonly used dielectric materials in the semiconductor market, has

been required to apply ALD method with perfect conformality. Unlike ALD

SiO2, which has a high GPC of and good film quality due to high reactivity of

oxygen plasma, Si3N4, has a high deposition temperature around 400°C and a

low GPC of 0.1-0.3 Å, so many experimental problems still exist.[23], [24] In

the chemisorption of precursors, Si3N4 has a much lower reactivity than SiO2,

requiring more than 100 times exposure to have the same GPC.[25] Ciaran et.

al. reported that unlike the surface OH groups, which is perpendicular to the

surface, the N-H groups has two H atoms on one N atom, which results in tilted

functional groups and prevents the chemisorption of the precursor. Recently,

halogen-free PEALD process using BTBAS (bis(tertiary-butyl-amino)silane)

and N2 plasma was reported and high-quality silicon nitride was deposited in

the temperature range of 300 ~ 500°C and at the plasma pressure of 40

mTorr.[12] Especially, by using N2 plasma, the surfaces are activated with

undercoordinated reaction sites without H atoms, which induces strong

adsorption of precursors. Rather, using NH3 plasma generates H-terminating

unreactive reaction sites and lowers the GPC value to 0.01 Å.[26] However, the

quality of silicon nitrides obtained at low temperature below 200°C was poor

containing high concentration of carbon and having low density. This is caused

by redeposition effect which is byproducts of the reaction is redeposited in the

films by being activated in N2 plasma and becomes even worse at lower

Page 40: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

30

temperatures (e.g. 25 at% at 100°C).[27] Considering the growing the

importance of low temperature process having compatibility with polymeric

substrates for various applications including OLEDs, enhancement of the film

quality at low temperature therefore strongly needs to be studied, though there

are few reports on low temperature ALD of silicon nitride.[13]

Page 41: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

31

2.4 ALD on Polymeric Substrates

Low-temperature ALD enables a deposition of thin films on thermally

sensitive materials such as polymer materials. ALD on polymeric substrates

expected to be applicable to the functionalization of polymer surface, to

fabricate unique hybrid materials including inorganic/organic composites, and

to fabricate encapsulation layer on polymeric substrates. ALD on polymers was

not well-researched until recently as polymer materials easily decompose at the

normal temperature range of ALD reaction. Also, a lot of polymer materials do

not have the enough surface reaction sites which were considered as a requisite

for ALD reaction.

Recently, as several studies on Al2O3 ALD has been reported and the high-

quality thin films were successfully obtained even at low temperature, a study

with Quartz crystal microbalance (QCM) explains the mechanism of ALD on

polymers.[28] This study observed the initial nucleation and growth of Al2O3

ALD on polymeric substrate with thicknesses of 2400 ~ 4000 Å. Various types

of substrates were studied, including polymethylmethacrylate (PMMA),

polypropylene (PP), polystyrene (PS), polyethylene (PET), and

polyvinylchloride (PVC). The most interesting observation investigated by the

QCM study was the noticeable mass gain and loss of Al(CH3)3 (TMA) by

diffusion into the surface of polymeric substrates during its pulse and purge step

at the initial ALD cycles.[14] QCM results of Al2O3 ALD for the initial ALD

cycles on PMMA, which the effects are especially pronounced, at 86°C are

shown in Fig. 2.12.[28] Figure 2.12 (a) suggests the QCM results for the first

Page 42: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

32

30 cycles. Figure 2.12(b) magnifies the QCM results in the first 5 cycles. These

results indicate that the diffusion of TMA in and out of the PMMA substrates

was only observed during the very initial cycles, ~ 15 cycles. As the Al2O3 ALD

film grows on top of surface region of the polymer and begins to form a

continuous film, the Al2O3 ALD film hinders the TMA diffusion to the deep

part of polymer. This Al2O3 film acts as a barrier to prevent additional TMA

diffusion and the change in mass measured by QCM is not detectable after 15

ALD cycles and resulting in the linear growth of the film. These results suggest

a model with following mechanism for ALD on polymers: (1) precursor

molecules diffuse into the polymer chain and adsorbed on its surface; (2) ALD

reaction between two reactants occur and form a cluster material at the near

surface; (3) the clusters gradually grow and coalesce; (4) a continuous film

cover the surface and hinder an additional diffusion of precursors into the

polymer film; and (5) the ALD reaction ideally occur on the flat surface.[14]

Figure 2.13 schematically shows this ALD mechanism. The mechanism of

Al2O3 ALD reaction on polymer and has been successfully confirmed and

several studies reported experimental results about Al2O3 ALD on polymer.[29],

[30] However, the studies significantly concentrated on Al2O3 ALD and there

are few reports about the ALD reaction of other materials including Si3N4.

Page 43: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

33

Figure 2.12 TMA mass change measured by a QCM as a function of the

number of cycles : (a) for the 30 cycles and (b) for the first five cycles of (a).[28]

Page 44: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

34

Figure 2.13 A model for Al2O3 ALD on a polymeric substrate: (a) a cross

section of the polymer chains at the surface, (b) Al2O3 nucleation clusters

formed from ALD reaction at the near surface, (c) coalescence of Al2O3 clusters

and hindrance of additional TMA diffusion and (d) formation of a continuous

Al2O3 film that linearly grows at the surface.[14]

Page 45: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

35

2.5 Thin Film Encapsulation for flexible OLEDs

OLEDs with many advantages have been attracting great attention for its

applications in flexible, stretchable and rollable display.[1] However, they have

a critical problem to overcome, which is that the organic-based luminescent

layer is easily degraded by oxygen and moisture and deteriorated severely

forming black spots as shown in Fig. 2.14.[2] Therefore, the encapsulation

technology which can realize a long life time and high reliability of the devices

is a significant technology in the OLED devices. The encapsulation layer is now

deposited directly on the device in the form of a thin film which can reduces

the encapsulation volume and imparts flexibility to the device as shown in Fig.

2.15. Most of thin film barriers are composed of inorganic materials including

AlOx by sputtering[3], [4], AlOx by ALD[5], [6] and SiNx or SiOx by

PECVD.[7], [8] As the thin films deposited by ALD have the advantages of

high-quality, densely packed, conformal, and nearly pinhole-free, it was

reported that the ALD layer of various inorganic thin films including AlOx and

TiO2 exhibits more excellent permeation characteristic than the sputtered or

PECVD layer.[34–36]

Page 46: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

36

Figure 2.14 Degradation of OLEDs with black spots.

Figure 2.15 Shematic digrams for OLED encaplation structures with (a)

glass lid and (b) thin films barriers.[2]

Page 47: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

37

Chapter 3. Deposition of Silicon Nitride Thin

Films by Low-Temperature Plasma-Enhanced

Atomic Layer Deposition

3.1 Experimental Procedures

Before silicon nitride thin films deposition, native oxide on Si substrate

was removed by dipping p-type Si (100) substrates in a dilute HF (3.7 %)

solution for 45 seconds. The silicon nitride films were deposited on substrates

by PEALD using BTBAS as a Si precursor and N2 plasma as a reactant gas at

300°C, 200°C, 120°C and 85°C and at the various plasma pressure. The bubbler

canister containing the precursor was heated to 80°C to increase its vapor

pressure. The depositions were carried out using capacitively-coupled plasma

(CCP) ALD reactor generated at radio frequency (RF) of 13.56 MHZ and was

operated at 400 W. The ALD process repeated one cycle composed of 4 steps,

source dose, N2 purge, N2 plasma and N2 purge. The standard recipe showing

saturating behavior which is an important indicator of ALD reaction was 0.8 s

BTBAS dose, 10 s N2 purge, 15 s N2 plasma and 10 s N2 purge. Plasma gas

residence time is adjusted by two means, by controlling the throttle valve

position and the flow of N2 plasma gas. Plasma post-treatment process was

conducted in the same ALD reactor N2 and Ar was used as plasma gas and the

process condition was the pressure of 1.5 Torr and the power of 400 W. The

process was carried out at 85°C, 120°C and 300°C and was repeated 30 cycles

with the plasma exposure for 15 s and purged for 15 s. In the case of polymeric

Page 48: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

38

substrates, another CCP PE-ALD reactor was utilized to prevent contamination

of the equipment. Operating conditions are almost similar with the other one.

PI and PEN was used as substrate each for appropriate process temperature and

cleaned by 5 min sonication in isopropanol and deionized water prior to ALD

deposition. The film thickness and optical properties of the layers were

measured by spectroscopic ellipsometry (SE), using a J.A. Woollam Co. ESM-

300 ellipsometer over a wavelength range of 300 ~ 1500 nm. The optical model

consisted of a silicon substrate and a silicon nitride layer modeled with a

Cauchy dispersion equation. The refractive index values are reported at a

wavelength of 632.8 nm. The film thickness deposited on polymeric substrate

was measured by secondary electron microscopy (SEM; Hitachi, S-4800). The

surface roughness of thin films was measured by aomic force microscopy

(AFM; JEOL, JSPM 5200). The chemical composition of the films was

investigated with X-ray photoelectron spectroscopy (XPS, ThermoVG SIGMA

PROBE) and Auger electron spectroscopy (AES, Perkin-Elmer PHI 600). The

physical density of the films was analyzed by x-ray reflectometry (XRR,

PANalytical X’Pert PRO MPD). The transmittance spectra in s sectral range

from 1100 to 200 nm were obtained at UV/Vis spectroscopy (Jacos V-770).

Page 49: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

39

Figure 3.1 Schematic diagram of the PEALD system.

Figure 3.2 Schematic drawing of a direct plasma reactor.[21]

Page 50: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

40

Table 3.1 The detailed conditions for ALD.

Deposition material Si3N4

Substrate Si

Precursor BTBAS

Source temperature 70°C

Reactant N2

Substrate temperature 85~300°C

Wall temperature 150°C

Carrier N2 flow 1000 sccm

Purge N2 flow 1000 sccm

Page 51: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

41

3.2 Results and Discussions

3.2.1 PEALD of Silicon Nitride on Si substrate

Figure 3.3(a) and (b) shows that as the deposition temperature decreases

from 300°C to 85°C, refractive index and density decreased being far from the

theoretical values, 2.02 and 3.2 g/cm3, respectively, and growth per cycle (GPC)

increased.[31], [32] This means that the bulky film which is thicker and has a

lower density was deposited at lower temperature. Figure 3.4 shows AES depth

profiles at 300, 200, 120 and 85°C, respectively. Surface oxidation seems to

occur during the samples’ transportation from ALD reactor to AES analysis and

it leads the decrease in nitrogen and carbon contents at the samples’ surfaces.

The carbon content in the film relatively increased as the temperature dropped

and this is the main reason that make the film bulkier. In order to investigate

the chemical binding energy state of silicon nitride films, XPS analysis was

conducted. Figure 3.5 shows N 1s and C 1s XPS core level spectra for ALD

silicon nitride deposited at the different temperatures. XPS data of peaks and

binding energies were calibrated with the C-C bonds (284.5 eV) in the C 1s

binding state. At 300°C, the binding energy of the N 1s electrons was 397.4 eV

in Fig. 3.5(a) and this value is consistent with the stoichiometric Si3N4 thin films’

binding energy [33], [34]. However, at 120°C and 85°C, the N 1s peaks

certainly comprise more than one peak centered at 397.2, 398.5 and 400.0 eV,

which are correspond to N-Si bonding, N bonded with sp3-hybridized C and

bonded with sp2 -hybridized C, respectively. Correspondingly, these are two

carbon peaks at 285.9 and 287.7 eV for these two binding states in Fig. 3.5(b)

Page 52: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

42

and the peaks are broader with a higher intensity at 85°C than the other

samples.[35], [36] This increase in the carbon content makes the degree and

rate of surface oxidation more severe in lower temperatures as shown in Fig.

3.3 because the formation of N-C bonds which have longer bond lengths than

that of N-Si lead to the decrease in the density of film from 2.3 g/cm3 to 2.0

g/cm3 so oxygen and vapors easily diffuse into the film and transform Si-N into

Si-O which have a higher binding energy than Si-N.[37] In order to deposit

silicon nitride thin films reliably at the temperature as low as 85°C, the carbon

contents, which is a main cause of degradation, must be decreased. Therefore,

it is necessary to clarify the cause of this nonideal ALD behaviors outside of

the ALD window and the source of those carbon impurities. Firstly, there is a

possibility that tert-butyl groups from the silicon precursor are not perfectly

removed because of the incomplete reaction of precursor and N2 plasma.

However, generally, the incomplete reaction cannot create enough reaction sites

on adsorbed precursors and hinder the consecutive adsorption of precursors and

low GPC values supports this.[38] Therefore, it cannot verify why the higher

GPC value was obtained at lower temperature in this study as shown in Fig. 3.3.

Secondly, there is another possibility that the precursor could condense on the

surface because its vapor pressure is lowered as the deposition temperature is

decreased.[39] However, it is unsuitable for this case that saturation behavior

for precursor dosing is observed as Fig. 3.7 illustrates. Therefore, these two

well-known causes for nonideal ALD behavior are inappropriate for this study.

Instead, recently, Knoops et al. reported that the redeposited carbon impurities

which are generated from fragmented reaction byproducts in the plasma can

Page 53: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

43

affect the quality of ALD-silicon nitride at 200°C and they prevent the

byproducts from redepositing in the film by decreasing gas residence time

which is a measure of how long species remain in the reactor before being

flushed out.[27] Redeposition phenomenon can be more evident in the PE-ALD

reaction using N2 plasma which requires relatively higher plasma power and

longer plasm exposure time than the other ones using O2 or NH3.[40], [41] The

schematic diagram for redeposition phenomenon is illustrated in Fig. 3.6. Also,

byproducts are more likely to physically adsorb on the surface at lower

temperature and activated in the plasma by the fragmentation and contained in

the film.

In this study, the effect of plasma exposure time and gas residence time on

the quality of silicon nitride films at low temperature were investigated.

Comparison between samples are mainly based on refractive index which can

be measured directly after the film deposition. Figure 3.8 shows the effects of

N2 plasma exposure time on refractive index of silicon nitride films. The longer

exposure time contributed to the higher refractive index being close to the

theoretical value, 2.02. Figure 3.9 shows N 1s and C 1s XPS core level spectra

for ALD silicon nitride with different plasma exposure times. Similar to the

results in Fig. 3.6, it was observed that as the plasma exposure time was longer,

it is found that the N-C peaks intensities decreased and the N-Si peak intensity

increased decreased in Fig. 3.9(a) and C-N peaks intensities decreased in Fig.

3. 9(b). This implies that the longer plasma exposure time leaded to form denser

films and could improve the quality of film by detaching the redeposited carbon

impurities. Figure 3.10 shows the effect of the plasma pressure and plasma gas

Page 54: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

44

flow on the refractive index of the silicon nitride films. Gas residence time is

expressed by V/q where V is the effective volume of the chamber and q is the

volumetric flow rate through that volume.[27], [42] Simply, gas residence time

can be expressed as a value proportional to the ratio of chamber pressure to

flow rate measured by mass flow controller. Accordingly, plasma pressure and

gas flow rate can be combined into one variable, gas residence time. As Fig.

3.10(b) indicated, the lower gas residence time led to the higher refractive

indices of the films. Also, samples with the same gas residence time among the

samples deposited at different gas pressures and gas flow rates had very similar

refractive index. This is because low gas residence time prevents the byproducts

from participating in plasma reactions and containing in the films due to high

flow rate.

Page 55: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

45

100

200

300

400

1.4

1.6

1.8

2.0

2.2

Ref

ract

ive

inde

x at

632

.8nm

Den

sity

Tem

pera

ture

(o C)

Refractive index at 632.8nm

1.8

2.0

2.2

2.4

2.6

Density (g/cm3)

100

200

300

400

0.0

0.1

0.2

0.3

0.4

0.5

0.6

Growth per cycle (A)

Tem

pera

ture

(o C)

Page 56: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

46

Figure 3.4 Chemical composition of the silicon nitride films measured by

AES deposited at (a) 300°C (b) 200°C (c) 120°C (d) 85°C.

Figure 3.5 N 1s and C 1s XPS peaks corresponding to the silicon nitride

films deposited at different temperatures.

Page 57: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

47

Fig

ure

3.6

Sche

mat

ic re

depo

sitio

n m

echa

nism

in th

e PE

-ALD

reac

tion.

Page 58: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

48

Figure 3.7 GPC values of PEALD silicon nitride films as a function of

precursor dose time.

Figure 3.8 Refractive indices of PEALD silicon nitride films as a function

of N2 plasma exposure time.

Page 59: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

49

Figure 3.9 N 1s and C 1s XPS peaks corresponding to the silicon nitride

films deposited with different N2 plasma exposure times.

Figure 3.10 Refractive index as a function of the plasma pressure (a) and

gas residence time (b) measured by spectroscopic ellipsometry.

Page 60: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

50

3.2.2 Effects of plasma post-treatment

In addition to deposited films qualities, there was another issue, which is,

after a deposition, the thin films ware easily oxidized over time and the

composition was changed a lot. Particularly, oxidation rapidly progresses as the

deposition temperature is lowered. To solve this problem, plasma post-

treatment was applied to deposited films. Fig. 3.11 shows AES results of the

samples processed various treatment cycles at 300°C. AES was measured 5

days after deposition to identify the amount of natural oxidation. As the post-

treatment cycle increased, the oxidized thickness became thinner. Also, the

sputtering time was increased which means a denser film is formed. Fig. 3.12

indicates the refractive index and the thickness of thin films measured by

spectroscopic ellipsometry. The refractive indices of the films post-treated at

85°C, 120°C and 300°C using the N2 plasma were 1.83, 1.92 and 1.92,

respectively and the thicknesses were 106.68 Å, 101 Å, 94.1 Å. The denser film

was formed after the post-treatment at a higher temperature and the

densification which stronger atomic bonds are created and atoms are rearranged

was more facilitated by thermal energy.[43] However, it is meaningful that the

effect of treatment at 120°C was similar to that at 300°C. The post-treatment

processes using N2 and Ar plasma were conducted to identify which type of

plasma gas is more effective in the densification of the films. The refractive

indices of SiNx films post-treated with N2 and Ar plasma at 300 C was 1.92 and

1.88 and the thickness was 94.1 Å and 100.8 Å, respectively. Comparing the

two types of gas, N2, which delivers both chemical and physical energy, was

Page 61: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

51

more effective than Ar, which transmits only physical energy. The post-

treatment process not only prevented the surface oxidation of the films, but also

improved the physical properties. The effect was the highest at 300°C and N2

gas was better than Ar. Through this short time post-treatment, we could

confirm the improvement of physical properties.

Page 62: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

52

Figure 3.11 Chemical composition of the silicon nitride thin films (a) as

prepared (b) 30 cycles (c) 60 cylyes of post-treatment measured 5 days after

deposition by AES.

Figure 3.12 Refractive index and density (b) GPC as a function of process

temperature measured with spectroscopic ellipsometry.

Page 63: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

53

3.2.3 PEALD of Silicon Nitride on Polymer

Prior to ALD on polymer, reference physical characteristics of thin films

are measured by sample deposited on Si substrates. GPC was 0.94 Å/ cycle and

Refractive index was 1.751 and mass density was 2.1 g/ . Figure 3.13 shows

the optical transmittance spectra for the silicon nitride thin film with thickness

of 40 nm. The optical transmittance is over 80 % in the wavelength range

between 390 nm and 800 nm, which indicates that transmission losses due to

the deposited thin films are negligible. Figure 3.14 roughly shows the flexibility

of SiN/PEN system. Table 3.2 indicates the surface roughness of PI, PEN, Si

substrates and deposited thin films on those substrates measured by AFM. As

shown in topographical AFM images, the roughness of the growth surface was

decreased or well-preserved after deposition. This indicates that the ALD

silicon nitrides were grown in layer-by-layer mechanism leading to the smooth

surface of thin film. Figure 3.15 shows the thickness of thin films deposited on

PEN substrates. As SE measurement method could not be applied to polymeric

substrates, the optical method using SEM was conducted for measuring rough

thickness of thin films. The thickness of the deposited films was about 40 nm

and GPC was 1 Å/cycle, which have similar values with the samples deposited

on Si substrate. Figure 3.16 shows N 1s XPS core level spectra for ALD silicon

nitride deposited on silicon and PEN substrates. PEN_2 indicates the thin film

deposited with N2 plasma exposure with 15 seconds and the others are thin films

deposited with N2 plasma exposure with 25 seconds. In PEN_2 sample, the

broadening of N spectra was detected on PEN substrate as Fig. 3.9. Also, the

binding energy of the N 1s electrons was consistent with the thin films

Page 64: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

54

deposited on silicon substrate. This indicates that chemically identical thin films

are deposited on PEN substrates. Due to the high energy nitrogen plasma (400

W), the nitrogen surface termination, which is the most important factor in ALD

reaction, occurred regardless of the substrate materials, leading to thin films of

the same quality that could be deposited on from single crystal materials, silicon

to amorphous materials, PEN. Finally, Table 3.3 shows WVTR values of SiNx

films grown via ALD and CVD on polymeric substrates measured by a

MOCON instrument. The sample grown via ALD shows a lower value (< 0.05

g/m2 day) than the one grown via CVD even at the thinner thickness.

Page 65: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

55

300 400 500 600 700 8000

20

40

60

80

100

Tran

smitt

ance

(%)

Wavelength (nm)

Figure 3.13 Optical transmittance spectra for PEALD silicon nitride thin

films.

Figure 3.14 An actual picture of the SiN/PEN system.

Page 66: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

56

Tabl

e 3.

2 To

pogr

aphi

cal A

FM im

ages

and

RM

S va

lues

of P

I, PE

N, S

i, su

bstra

tes a

nd S

iN fi

lms w

ith 2

0 nm

on

the

subs

trate

s.

Subs

trate

PI

PE

N

Si

Stru

ctur

e U

ncoa

ted

PI

SiN

/PI

Unc

oate

d PE

N

SiN

/PEN

Si

N/S

i

AFM

imag

es

RM

S (n

m)

4.84

0.93

1.52

1.35

0.18

3

Page 67: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

57

Figure 3.15 The tilted top view of the silicon nitride thin film deposited on

PEN substrate.

Page 68: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

58

402 400 398 396 3940

1000

2000

3000

4000

Inte

nsity

(A.U

.)

Binding Energy (eV)

Si PI PEN PEN_2

Figure 3.16 N 1s XPS peaks corresponding to the silicon nitride films

deposited on different substrates and with different condition.

Page 69: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

59

Tabl

e 3.

3 W

VTR

val

ues o

f the

silic

on n

itrid

e th

in fi

lms o

f var

ious

thic

knes

s fab

ricat

ed b

y A

LD a

nd C

VD

.

Mat

eria

ls

AL

D S

iN

CV

D S

iN

Thic

kn

ess

(nm

) 2

5

30

8

0

18

0

WV

TR

(g/m

2∙da

y)

< 0

.05

0.3

62

0.2

92

0.1

27

Page 70: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

60

Chapter 4. Conclusions

PE-ALD silicon nitride was successfully deposited using BTBAS and a

nitrogen plasma as a Si precursor and a reactant, respectively. The high energy

nitrogen plasma of 400 W generated under-coordinated surface reaction sites,

which induced the strong adsorption of precursor and made the formation of

high quality thin films regardless of the substrate materials. Thin films,

deposited at a temperature of 300°C, showed the excellent properties, such as

theoretical density, refractive index and chemical bond, similar to those of the

crystalized Si3N4. But as the process temperature lowered from 300°C to 85°C,

the content of carbon redeposited on the thin film during the plasma exposure

increased, which induced both to lower the density of the thin film and to

enhance the oxidation of the surface. This phenomenon became alleviated by

extending the plasma exposure time or increasing the flow rate of the plasma

gas to remove the redeposited carbon, and thus the deposition of silicon nitride

having a refractive index of 1.8 was possible even at 85°C. In addition, in order

to enhance the above-mentioned oxidation of thin films, in-situ plasma post-

treatment was applied to the deposited thin films in the same ALD reactor to

improve the reliability of the thin films. Despite such short process time, it has

been observed that treatment improves not only the reliability of the thin film

but also physical properties of the thin film. At the same ALD deposition

conditions, silicon nitride could be deposited on polymer substrates, PI and

PEN. Both the GPC and the chemical bond of thin films had the similar values

as those of the thin film deposited on the Si substrate, and the surface roughness

of the thin films was also preserved or improved. In spite of the characteristics

Page 71: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

61

of the ALD reaction, which is highly affected by the surface status of the

substrate, the ALD reaction could be stably performed and thus the thin films

of excellent physical properties could be deposited on the polymeric substrates.

Owing to the strong plasma power of 400 W, it was expected that

undercoordinated reaction sites, which induced strong adsorption of the Si

precursor, were sufficiently generated on the polymer substrate, where the

native functional groups did not exist enough. Based on these results, the

compatibility of ALD silicon nitride with polymeric substrates including PI and

PEN was identified and this enables the application of ALD silicon nitride to

OLEDs, as well as organic-based processes, organic semiconductors, polymer

fibers and fabrics. Furthermore, the WVTR value of deposited thin films on

polymeric substrates measured by MOCON equipment showed low enough

value (< 0.05 g/m2 day) to be applicable to the encapsulation of OLEDs at the

very thin thickness of thin film compared to the one fabricated by MOCVD.

Page 72: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

62

REFERENCES

[1] S. R. Forrest, “The path to ubiquitous and low-cost organic electronic

appliances on plastic,” Nature, vol. 428, no. 6986, pp. 911–918, 2004.

[2] J.-S. Park, H. Chae, H. K. Chung, and S. I. Lee, “Thin film

encapsulation for flexible AM-OLED: a review,” Semicond. Sci.

Technol., vol. 26, no. 3, p. 34001, 2011.

[3] “Characterization of transparent aluminium oxide and indium tin oxide

layers on polymer substrates,” Thin Solid Films, vol. 382, no. 1–2, pp.

194–201, Feb. 2001.

[4] G. H. Lee, J. Yun, S. Lee, Y. Jeong, J. H. Jung, and S. H. Cho,

“Investigation of brittle failure in transparent conductive oxide and

permeation barrier oxide multilayers on flexible polymers,” Thin Solid

Films, vol. 518, no. 11, pp. 3075–3080, 2010.

[5] A. Dameron, S. Davidson, B. Burton, P. Carcia, R. McLean, and S.

George, “Gas diffusion barriers on polymers using multilayers

fabricated by Al2O3 and rapid SiO2 atomic layer deposition,” J. Phys.

Chem. C, vol. 112, pp. 4573–4580, 2008.

[6] H. Jung, H. Jeon, H. Choi, G. Ham, S. Shin, and H. Jeon, “Al2O3

multi-density layer structure as a moisture permeation barrier

deposited by radio frequency remote plasma atomic layer deposition,”

J. Appl. Phys., vol. 115, no. 7, 2014.

[7] W. Huang, X. Wang, M. Sheng, L. Xu, F. Stubhan, L. Luo, T. Feng,

X. Wang, F. Zhang, and S. Zou, “Low temperature PECVD SiNx films

applied in OLED packaging,” Mater. Sci. Eng. B Solid-State Mater.

Page 73: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

63

Adv. Technol., vol. 98, no. 3, pp. 248–254, 2003.

[8] F. J. H. Van Assche, S. Unnikrishnan, J. J. Michels, A. M. B. Van

Mol, P. Van De Weijer, M. C. M. Van De Sanden, and M. Creatore,

“On the intrinsic moisture permeation rate of remote microwave

plasma-deposited silicon nitride layers,” Thin Solid Films, vol. 558,

pp. 54–61, 2014.

[9] W. Keuning, P. van de Weijer, H. Lifka, W. M. M. Kessels, and M.

Creatore, “Cathode encapsulation of organic light emitting diodes by

atomic layer deposited Al 2 O 3 films and Al 2 O 3 /a-SiN x :H stacks,”

J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 30, no. 1, p.

01A131, 2012.

[10] P. F. Carcia, R. S. McLean, M. H. Reilly, M. D. Groner, and S. M.

George, “Ca test of Al 2O 3 gas diffusion barriers grown by atomic

layer deposition on polymers,” Appl. Phys. Lett., vol. 89, no. 3, pp. 15–

17, 2006.

[11] S. Seo, E. Jung, C. Lim, H. Chae, and S. Cho, “Moisture Permeation

through Ultrathin TiO2 Films Grown by Atomic Layer Deposition,”

Appl. Phys. Express 5, vol. 35701, p. 35701, 2012.

[12] H. C. M. Knoops, E. M. J. Braeken, K. de Peuter, S. E. Potts, S.

Haukka, V. Pore, and W. M. M. Kessels, “Atomic Layer Deposition of

Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma,” ACS

Appl. Mater. Interfaces, vol. 7, no. 35, pp. 19857–19862, Sep. 2015.

[13] L. Do, E. Han, and N. Yamamoto, “Thermal Stabilities of Organic

Layer in Electroluminescent Devices,” Mol. Cryst. Liq. Cryst., vol.

Page 74: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

64

280, no. 1, pp. 373–378, 1996.

[14] S. M. George and Steven M. George, “Atomic Layer Deposition: An

Overview,” Chem. Rev., vol. 110, no. 1, pp. 111–131, 2010.

[15] T. Suntola, “Atomic layer epitaxy,” Mater. Sci. Reports, vol. 4, no. 5,

pp. 261–312, 1989.

[16] R. L. Puurunen, “Surface chemistry of atomic layer deposition: A case

study for the trimethylaluminum/water process,” J. Appl. Phys., vol.

97, no. 12, pp. 1–52, 2005.

[17] M. Leskelä and M. Ritala, “Atomic layer deposition (ALD): From

precursors to thin film structures,” Thin Solid Films, vol. 409, no. 1,

pp. 138–146, 2002.

[18] M. A. Alam and M. L. Green, “Mathematical description of atomic

layer deposition and its application to the nucleation and growth of

HfO2 gate dielectric layers,” J. Appl. Phys., vol. 94, no. 5, pp. 3403–

3413, 2003.

[19] H.-S. Park, J.-S. Min, J.-W. Lim, and S.-W. Kang, “Theoretical

evaluation of film growth rate during atomic layer epitaxy,” Appl. Surf.

Sci., vol. 158, no. 1–2, pp. 81–91, 2000.

[20] R. L. Puurunen, “Surface chemistry of atomic layer deposition: A case

study for the trimethylaluminum/water process,” J. Appl. Phys., vol.

97, no. 12, 2005.

[21] H. B. Profijt, S. E. Potts, M. C. M. van de Sanden, and W. M. M.

Kessels, “Plasma-Assisted Atomic Layer Deposition: Basics,

Opportunities, and Challenges,” J. Vac. Sci. Technol. A Vacuum,

Page 75: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

65

Surfaces, Film., vol. 29, no. 5, p. 50801, 2011.

[22] H. Kim, “Characteristics and applications of plasma enhanced-atomic

layer deposition,” Thin Solid Films, vol. 519, no. 20, pp. 6639–6644,

2011.

[23] T. Murata, Y. Miyagawa, Y. Nishida, Y. Yamamoto, T. Yamashita, M.

Matsuura, K. Asai, and H. Miyatake, “Low-temperature silicon oxide

offset spacer using plasma-enhanced atomic layer deposition for high-

k/metal gate transistor,” Jpn. J. Appl. Phys., vol. 49, no. 4 PART 2,

2010.

[24] Q. Fang and C. J. Hodson, “Silicon Nitride and Silicon Oxide Thin

Films by Plasma ALD Surface and Composition Analysis,” vol. 150,

no. July, p. 152106, 2008.

[25] C. A. Murray, S. D. Elliott, D. Hausmann, J. Henri, and A. LaVoie,

“Effect of Reaction Mechanism on Precursor Exposure Time in

Atomic Layer Deposition of Silicon Oxide and Silicon Nitride,” ACS

Appl. Mater. Interfaces, vol. 6, pp. 10534–10541, 2014.

[26] C. K. Ande, H. C. M. Knoops, K. de Peuter, M. van Drunen, S. D.

Elliott, and W. M. M. Kessels, “Role of Surface Termination in

Atomic Layer Deposition of Silicon Nitride,” J. Phys. Chem. Lett., vol.

6, no. 18, pp. 3610–3614, 2015.

[27] H. C. M. Knoops, K. De Peuter, and W. M. M. Kessels, “Redeposition

in plasma-assisted atomic layer deposition: Silicon nitride film quality

ruled by the gas residence time,” Appl. Phys. Lett., vol. 107, no. 1,

2015.

Page 76: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

66

[28] C. A. Wilson, R. K. Grubbs, and S. M. George, “Nucleation and

growth during Al 2O 3 atomic layer deposition on polymers,” Chem.

Mater., vol. 17, no. 23, pp. 5625–5634, 2005.

[29] P. F. Carcia, R. S. McLean, M. D. Groner, A. A. Dameron, and S. M.

George, “Gas diffusion ultrabarriers on polymer substrates using Al2

O3 atomic layer deposition and SiN plasma-enhanced chemical vapor

deposition,” J. Appl. Phys., vol. 106, no. 2, pp. 1–6, 2009.

[30] A. Bulusu, S. Graham, H. Bahre, H. Behm, M. Böke, R. Dahlmann, C.

Hopmann, and J. Winter, “The Mechanical Behavior of ALD-Polymer

Hybrid Films Under Tensile Strain,” Adv. Eng. Mater., vol. 17, no. 7,

pp. 1057–1067, 2015.

[31] T. Bååk, “Silicon oxynitride; a material for GRIN optics,” Appl. Opt.,

vol. 21, no. 6, p. 1069, 1982.

[32] W. M. Haynes, CRC Handbook of Chemistry and Physics, 95th

Edition. 2015.

[33] G. M. INGO and N. ZACCHETTI, XPS investigation on the growth

model of a-SiNx and silicon and nitrogen chemical bondings, vol. 28.

Humana Press [etc, 1969.

[34] T. Goto and T. Hirai, “ESCA study of amorphous CVD Si3N4-BN

composites,” J. Mater. Sci. Lett., vol. 7, no. 5, pp. 548–550, 1988.

[35] D. Marton, K. J. Boyd, A. H. Al-Bayati, S. S. Todorov, and J. W.

Rabalais, “Carbon nitride deposited using energetic species: A two-

phase system,” Phys. Rev. Lett., vol. 73, no. 1, pp. 118–121, 1994.

[36] W. J. Cheng, J. C. Jiang, Y. Zhang, H. S. Zhu, and D. Z. Shen,

Page 77: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

67

“Silicon carbon nitride cones prepared from an ellipsoid microwave

plasma chemical vapor deposition reactor,” Mater. Lett., vol. 58, no.

27–28, pp. 3467–3469, 2004.

[37] W. A. P. S. I. Raider, R. Flitsch, J. A. Aboaf, “Surface Oxidation of

Silicon Nitride Films,” J. Electrochem. Soc., vol. 123, no. 4, pp. 560–

565, 1976.

[38] S. E. Potts and W. M. M. Kessels, “Energy-enhanced atomic layer

deposition for more process and precursor versatility,” Coord. Chem.

Rev., vol. 257, no. 23–24, pp. 3254–3270, 2013.

[39] N. Taewook, K. Jae-Min, K. Min-Kyu, K. Hyungjun, and K. Woo-

Hee, “Low-temperature Atomic Layer Deposition of TiO_2, Al_2O_3,

and ZnO Thin Films,” J. Korean Phys. Soc., vol. 59, no. 21, p. 452,

2011.

[40] S. Won, S. Suh, M. S. Huh, and H. J. Kim, “High-Quality Low-

Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer

Deposition Using a Metal – Organic Silicon Precursor and Oxygen

Radical,” IEEE Electron Device Lett., vol. 31, no. 8, pp. 857–859,

2010.

[41] T.-K. Eom, S.-H. Kim, K.-S. Park, S. Kim, and H. Kim, “Formation of

Nano-Crystalline Ru-Based Ternary Thin Films by Plasma-Enhanced

Atomic Layer Deposition,” Electrochem. Solid-State Lett., vol. 14, no.

1, p. D10, 2011.

[42] J. R. Roth, Industrial plasma engineering. Volume 2: Applications to

Nonthermal Plasma Processing., vol. 2. 2001.

Page 78: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

68

[43] E. Lee, T. Kim, A. Benayad, J. Hur, G.-S. Park, and S. Jeon, “High

mobility and high stability glassy metal-oxynitride materials and

devices,” Sci. Rep., vol. 6, no. October 2015, p. 23940, 2016.

Page 79: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

69

Page 80: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

70

°C

°C

°C

°C

°C

Page 81: Low-Temperature Plasma Enhanced Atomic Layer …s-space.snu.ac.kr/bitstream/10371/141481/1/000000150624.pdfLow-Temperature Plasma Enhanced Atomic Layer Deposition of Silicon Nitride

71