64
Long-Term Evaluation of the Litmas "Blue" Plasma Device for Point-of-Use (POU) Perfluorocompound and Hydrofluorocarbon Abatement International SEMATECH Technology Transfer # 99123865B-ENG

Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

Embed Size (px)

Citation preview

Page 1: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

Long-Term Evaluation of the Litmas "Blue" Plasma Device forPoint-of-Use (POU) Perfluorocompound and

Hydrofluorocarbon Abatement

International SEMATECHTechnology Transfer # 99123865B-ENG

Page 2: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

© 2000 International SEMATECH, Inc.

SEMATECH and the SEMATECH logo are registered service marks of SEMATECH, Inc.International SEMATECH and the International SEMATECH logo are registered service marks

of International SEMATECH, Inc., a wholly-owned subsidiary of SEMATECH, Inc.

Product names and company names used in this publication are for identification purposes only and may be trademarks or service marks of their respective companies.

Page 3: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

Long-Term Evaluation of the Litmas "Blue" Plasma Device for Point-of-Use (POU) Perfluorocompound and Hydrofluorocarbon Abatement

Technology Transfer # 99123865B-ENGInternational SEMATECH

May 3, 2000

Abstract: This report presents the results of an evaluation of the Litmas “Blue” inductively-coupled, highdensity plasma device for long-term operation and impact on manufacturing processes, forelinepressures, and pump hardware. The effect of increasing the plasma tube diameter to reduceforeline pressure was evaluated by determining CF4 destruction and destruction removal efficiency(DRE) using extractive Fourier transform infrared spectroscopy (FTIR). A 1050-wafer marathonon blanket I-line photoresist wafers with 120-second etch steps was conducted to test the unit forprolonged and repetitive operation. Fluorine emissions were also determined for CF4/CHF3/Ar andC4F8/CF4/Ar etch recipes using oxygen and water vapor by quadrupole mass spectrometry (QMS).Determination of byproducts, foreline pressures, and DRE was performed for C4F8, SF6, and NF3

under a variety of gas flow conditions with both oxygen and water vapor used as reactive gases.Experiments to measure hydrogen or water vapor backstreaming through the turbomolecular pumpwere conducted by optical emission spectroscopy (OES). The mechanical pump was disassembledand examined to determine the effect of prolonged exposure to byproducts of plasma abatement.This revision includes more precise, updated data.

Keywords: Perfluorocompounds, Point-of-Use Abatement, Emissions Reduction, Fourier Transform InfraredSpectroscopy, Optical Emission Spectroscopy, High Density Plasmas, Marathon Runs,Quadrupole Mass Spectrometers

Authors: Victor Vartanian, Laurie Beu, Tab Stephens, Jason Rivers, and Benny Perez (Motorola) and EricTonnis, Mark Kiehlbauch, and David Graves (University of California at Berkeley)

Approvals: Walter Worth, Program ManagerBob Duffin, DirectorLaurie Modrey, Technical Information Transfer Team Leader

Page 4: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel
Page 5: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

iii

International SEMATECH Technology Transfer # 99123865B-ENG

Table of Contents

1 EXECUTIVE SUMMARY....................................................................................................... 1

2 BACKGROUND....................................................................................................................... 22.1 Strategies for Fluorocarbon Emissions Reduction............................................................ 22.2 Point-of-Use Plasma Abatement of Etch Exhaust ............................................................ 3

3 EXPERIMENTAL .................................................................................................................... 43.1 Device Installation and Specifications.............................................................................. 43.2 Analytical Equipment ....................................................................................................... 73.3 Tool Integration and Operation......................................................................................... 9

4 RESULTS AND DISCUSSION ............................................................................................. 124.1 Device Performance with Increased Plasma Tube Diameter.......................................... 124.2 Modeling Translational and Rotational Energies of Neutral Species in High Density

Plasmas ........................................................................................................................... 154.3 Medium Gas Flow Performance ..................................................................................... 194.4 High Gas Flow Performance........................................................................................... 204.5 Prolonged Device Performance and Process Impact ...................................................... 214.6 Additional Abatement Testing of C4F8, SF6, and NF3.................................................... 234.7 Evaluation of Abatement Performance with Etch Studies of C4F8/CHF3/Ar ................. 294.8 Fluorine Emission Measurement by QMS...................................................................... 324.9 Emissions Summary and Fluorine Balance .................................................................... 334.10 Hydrogen Backstreaming Through the Turbomolecular Pump...................................... 374.11 Chamber OES Data for Backstreaming Detection ......................................................... 384.12 Internal Pump Component Inspection ............................................................................ 42

5 SUMMARY AND FUTURE PLANS .................................................................................... 44

6 REFERENCES........................................................................................................................ 45

APPENDIX A FTIR AND QMS CALIBRATION CURVES ..................................................... 47

Page 6: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

iv

Technology Transfer # 99123865B-ENG International SEMATECH

List of Figures

Figure 1 Litmas Inductively-Coupled, High Density Plasma System................................... 4

Figure 2 Device Installation (not to scale)............................................................................. 6

Figure 3 Analytical Sampling Methodology ......................................................................... 8

Figure 4 Litmas Abatement Device Foreline Installation...................................................... 9

Figure 5 Water Vapor Injection Reservoir and Heat-Traced Vacuum LinesMaintained at 61.5 ............................... 10

Figure 6 Auxiliary Gas Ports for O2 and SF6 Upstream of Plasma AbatementDevice Allow H2O/O2 Comparison Experiments and SF6 Evaluation ................. 10

Figure 7 a) Output Match Schematics and b) Device Interface to Tool.............................. 11

Figure 8 FTIR Emission Spectra of Blanket Photoresist Wafer Etch Gas Flows ............... 13

Figure 9 Effect of Abatement Power on CF4 DRE Using Etch Recipe of 5 sccmCF4, 50 sccm CHF3, and 60 sccm Ar .................................................................... 14

Figure 10 Effect of Water Concentration on CF4 DRE at 1200 W Abatement PowerUsing Etch Recipe of 5 sccm CF4, 50 sccm CHF3, and 60 sccm Ar..................... 15

Figure 11 Modeling Results for Neutral Temperature as a Function of Axial (Z) andRadial (R) Position in Plasma Region of Abatement Device on ParametersShown (contribution of various neutral heating mechanisms also shown)........... 16

Figure 12 Modeling Results Showing (left) Improved Agreement of Non-IsothermalSimulation to Experimental Results of DRE vs. Applied Input Power and(right) Higher Electron Temperature with Non-Isothermal Simulation forthe Parameters Shown ........................................................................................... 17

Figure 13 Effect of Foreline Pressure on CF4 DRE............................................................... 18

Figure 14 FTIR Emissions Spectra of a 50 sccm CF4 and 150 sccm Ar Gas FlowOnly Recipe........................................................................................................... 21

Figure 15 FTIR Byproduct Emission Profiles During 1050-Wafer BlanketPhotoresist Marathon............................................................................................. 22

Figure 16 Etch Tool Defect History Plots and Trend Lines .................................................. 23

Figure 17 FTIR Emissions for C4F8 Gas Flow with Abatement ........................................... 24

Figure 18 FTIR Spectra for SF6............................................................................................. 26

Figure 19 FTIR Spectra of NF3 ............................................................................................. 28

Figure 20 C4F8/CF4/Ar Etch Emission FTIR Spectra............................................................ 30

Figure 21 FTIR Spectrum of Centerpoint C4F8/CF4/Ar Etch Emissions............................... 31

Figure 22 QMS Spectrum of Centerpoint C4F8/CF4/Ar Etch Emissions............................... 31

Figure 23 Portable Exhausted Gas Cabinet for QMS F2 Quantitation with IntegralDynamic Dilution Manifold and Multiple Gas Panels.......................................... 32

Page 7: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

v

International SEMATECH Technology Transfer # 99123865B-ENG

Figure 24 QMS F2 Emissions by Process on Medium Density Oxide Etch Tool forCF4/CHF3 and C4F8/CF4 Etch Processes............................................................... 33

Figure 25 High Resolution OES Spectrum of CF4/Ar Plasma During H2OBackstreaming Tests from 200–900 nm in 300 nm Increments and aResolution of 0.1 nm ............................................................................................. 39

Figure 26 Low Resolution, Continuous Scan OES Spectrum of CF4/Ar PlasmaDuring H2O Backstreaming Tests Using a Fixed Grating System with aWavelength Range from 236–856 nm and a Resolution of 1.2 nm ...................... 39

Figure 27 OES Spectral Subtraction Plots from 275–660 nm............................................... 40

Figure 28 OES Spectral Subtraction Plots Between Valve Opened and ClosedPositions From 275–360 nm Showing No Discernible Differences inspectral Features Attributed to OH (281.1, 281.6, 281.9, 282.9, 306.4,306.7, 307.8, 308.9, and 356.5 nm)....................................................................... 40

Figure 29 OES Spectral Subtraction Plots from 390–490 nm Showing No SpectralFeatures Attributed to H (397.0, 410.2, 434.0, and 486.1 nm) ............................. 41

Figure 30 OES Spectral Subtraction Plots From 640–675 nm Showing No SpectralFeatures Attributed to H at 656.3 nm.................................................................... 41

Figure 31 Time-Resolved OES Spectra for Various Wavelengths Containing H orOH Species............................................................................................................ 42

Figure 32 Dry Pump Silencer Inlet Showing Normal Teflon Buildup From ClawWear ...................................................................................................................... 43

Figure 33 Clean Krytok Gear Grease Indicates No Pump Seal Failure ................................ 43

Figure 34 Claw Indicating No Teflon Degradation From High HF Levels .......................... 43

Figure 35 Clean Lobes and Stator Indicate No Breakdown of Internal PumpComponents........................................................................................................... 43

Figure 36 Close-up of Lobes and Stator Surface Showing No Abrasion or FilmBuildup .................................................................................................................. 43

Figure A-1 CF4 Calibration Curves Integrated Between 1200–1350 cm-1 .............................. 47

Figure A-2 CHF3 Calibration Curves Integrated Between 1060–1290 cm-1 ........................... 47

Figure A-3 C3F8 Calibration Curves Integrated Between 900–1500 cm-1............................... 48

Figure A-4 SiF4 Calibration Curves Integrated Between 930– 1110 cm-1 .............................. 48

Figure A-5 COF2 Calibration Curves Integrated Between 1120– 1340 cm-1 .......................... 48

Figure A-6 HF Calibration Curves Integrated Between 4060–4090 cm-1 ............................... 49

Figure A-7 CO Calibration Curves Integrated Between 2000–2250 cm-1............................... 49

Figure A-8 CO2 Calibration Curves Integrated Between 2200–2450 cm-1 ............................. 50

Figure A-9 NO2 Calibration Curves Integrated Between 1500– 1680 cm-1 ............................ 50

Figure A-10 H2O Calibration Curves Integrated Between 3300– 4130 cm-1 ............................ 50

Figure A-11 C2F4 Calibration Curves Integrated Between 1260– 1380 cm-1............................ 50

Page 8: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

vi

Technology Transfer # 99123865B-ENG International SEMATECH

Figure A-12 NF3 Calibration Curves Integrated Between 800– 965 cm-1................................. 50

Figure A-13 C4F8 Calibration Curves Integrated Between 890–1070 cm-1............................... 51

Figure A-14 SF6 Calibration Curves Integrated Between 825–1025 cm-1 ................................ 51

Figure A-15 SO2 Calibration Curves Integrated Between 1260–1430 cm-1.............................. 51

Figure A-16 QMS Calibration Curve with Linear Least Squares Fit to Data From 100–10,000 ppm Range................................................................................................. 52

Figure A-17 QMS Calibration Curve Using Two-Term Polynomial Fit to Data From100–500 ppm Range.............................................................................................. 52

Page 9: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

vii

International SEMATECH Technology Transfer # 99123865B-ENG

List of Tables

Table 1 Device Specifications.............................................................................................. 5

Table 2 Results of CF4/CHF3/Ar Etch DOE Matrix .......................................................... 12

Table 3 Atmospheric Lifetime and GWP100 for Relevant Species*................................... 13

Table 4 CF4/CHF3/Ar Etch Byproduct Distribution at Various Process Conditions ......... 19

Table 5 Medium CF4/Ar Gas Only Flow DRE Results...................................................... 19

Table 6 High CF4/Ar Gas Only Flow Results .................................................................... 20

Table 7 C4F8/Ar Gas Only Flow Results............................................................................ 24

Table 8 SF6/Ar Gas Only Flow Results.............................................................................. 25

Table 9 NF3/Ar Gas Only Flow Results............................................................................. 27

Table 10 FTIR Emission Results for the Nine-Point DOE C4F8/CF4/Ar Etch Process ....... 29

Table 11 Emissions for 5 sccm CF4, 50 sccm CHF3, and 60 sccm Ar, and 8 sccmC4F8, 12 sccm CF4, and 150 sccm Ar Processes as a Function ofAbatement Option (included is fluorine balance) ................................................. 36

Table 12 Percentages of Carbon Equivalent Emissions by Byproduct ................................ 37

Table 13 OES Data Foreline Pressure at Two Valve Settings: 3250 ppm and 3630ppm Water Concentration (measured by FTIR).................................................... 39

Table 14 Emission Summary ............................................................................................... 44

Page 10: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

viii

Technology Transfer # 99123865B-ENG International SEMATECH

Acknowledgements

The authors gratefully acknowledge the following individuals for their resources and efforts insupport of this project:

Motorola Semiconductor Products SectorDan Babbitt, Jeff Rose, Ralph Garza, Phil Crabtree, Terry Sparks, Tom Lii, Fred Huang, JoeMogab, Lisa Sosa, Jinoeva Cuevas, Diane Blomquist, Brad Baker, Ai Koh, Mike Lindell, LloydGonzales, Kathy McCormack, Paul Thomas Brown, Laura Mendicino, Richard Darrington, TamVuong, Phil Pounds, Don Cleveland, Jane Swiderski, Betsy Weitzman, Robert Rock, Rick Barry,Mike Mullins, Roc Blumenthal, Paul Thomas Leigh, Ed Bluestein North and South Facilities

International SEMATECHWalter Worth

Litmas, Inc.Rusty Jewett, Jerry Pearson, Curtis Camus

Massachusetts Institute of TechnologySimon Karecki, Ritwik Chatterjee

Air Products and Chemicals, Inc.Dick Graden, Ken Vales

Leybold InficonMike Platt

Lucent TechnologiesAvi Kornblit

NorcimbusChris Helfrich

Kinetics-Fluid Systems GroupMark McDaniel

BOC EdwardsSteve Andrews, Joe VanGompel, Miguel Diaz, Val Jeter, Robert Conforti

FundingInternational SEMATECH; Motorola, Semiconductor Products Sector; NSF/SCR EngineeringResearch Center for Environmentally Benign Semiconductor Manufacturing

Page 11: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

1

International SEMATECH Technology Transfer # 99123865B-ENG

1 EXECUTIVE SUMMARY

A Litmas, Inc. inductively-coupled, high density plasma device was installed directly on theforeline of a medium density contact/via etcher at Motorola and evaluated for long-term deviceperformance, perfluorocarbon (PFC) abatement, and impact on the manufacturing process andthe pump hardware. The device was evaluated over an 8-month period. At the conclusion oftesting, the device had operated during the processing of more than 11,500 wafers over 270hours of tool chamber plasma-on time. The etch tool, which is used for a variety of silicon nitride(Si3N4), tetraethylorthosilicate (TEOS), and polysilicon etch processes, is representative of anetch tool in commercial production. The abatement device was controlled through existing toolhardware. In addition, the performance impact of increasing the plasma tube inside diameterfrom 1.235 in. to 1.92 in. to reduce foreline pressure was evaluated by determining CF4

destruction and destruction removal efficiency (DRE) using extractive Fourier transform infraredspectroscopy (FTIR). The device demonstrated a 92% to 97% DRE for CF4 in a 9-point designof experiment (DOE) matrix varying CF4, CHF3, and Ar gas flows. Wafer particle data werecontinuously evaluated during the evaluation; no increase in particles attributable to theabatement device or negative impact on the manufacturing process was detected. Experiments tomeasure hydrogen or water vapor backstreaming through the turbomolecular pump wereconducted by optical emission spectroscopy (OES) using a CF4/Ar plasma on a bare Si wafer. Nospectral features attributed to the backstreaming of hydrogen or water vapor were detected.

Diagnostic experiments were conducted to determine the optimum water vapor flow rate asindicated by the CF4 DRE. Given that plasma density decreases as plasma tube diameter isincreased, the effect of abatement power on DRE was measured for the current 1.92-in. i.d.plasma tube. DRE was reduced by a few percent over the 1998 results, which were derived usinga smaller inside diameter plasma tube of 1.235 in. Gas flow experiments were conducted to testthe capability of the device under high gas load conditions as well as to measure the effect onforeline pressure. Experiments were conducted for gas flows of 12.5–50 standard cubiccentimeters per minute (sccm) CF4 and 0–150 sccm Ar using water vapor only and 50–100 sccmCF4 and 0–200 sccm argon using oxygen and water vapor. DREs ranged from 95.2% to 99.8%.Ar was shown to increase the DRE by increasing the electron density.

A 1050-wafer marathon on blanket I-line photoresist wafers with 120-sec. etch steps wasundertaken to test the unit for prolonged and repetitive operation over a 72-hour period. Nodevice failures or negative process impacts occurred. In addition, device performance andbyproduct distribution were determined for C4F8, SF6, and NF3 gas flows using both water vaporand oxygen, and device performance was evaluated for a C4F8/CF4/Ar etch recipe in a 9-pointDOE, varying gas flows.

Fluorine levels were also determined for CF4/CHF3/Ar and C4F8/CF4/Ar etch recipes usingoxygen and water vapor by quadrupole mass spectrometry (QMS). Fluorine levels werecalculated to be between 43.9 and 52.6 ppm for CF4/CHF3/Ar and 71.6 and 121 ppm forC4F8/CF4/Ar. Fluorine levels were lower using water vapor injection compared to oxygeninjection. Baseline levels without abatement were 49.4 ppm for CF4/CHF3/Ar and 74.6 ppm forC4F8/CF4/Ar.

After processing 10,882 wafers over 254 hours of tool chamber plasma-on time, the mechanicalpump was disassembled and examined for effects of prolonged exposure to HF, F2, and H2Ovapor. No discernible effect on the pump hardware was observed; in fact, the pump was

Page 12: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

2

Technology Transfer # 99123865B-ENG International SEMATECH

noticeably cleaner than typical pumps used in equivalent processes, perhaps because F2 and HFeffectively removed organic particulates and deposits from the internal pump surfaces.

2 BACKGROUND

A compelling environmental issue facing the semiconductor industry is the need to reduce theemission of greenhouse gases. PFCs, hydrofluorocarbons (HFCs), and SF6—collectively referredto as fluorocarbons (FCs)—have been identified as potential global warming gases because oftheir strong infrared absorption cross sections and long atmospheric lifetimes, which in the caseof CF4 [1, 2, 3] can be as long as 50,000 years. PFCs such as CF4, C2F6, and C3F8 are used by thesemiconductor industry for plasma enhanced chemical vapor deposition (PECVD) chambercleaning and wafer patterning. Recent studies indicate that atmospheric concentrations of long-lived FCs such as C2F6, CHF3, and CF4 are increasing at rates between 1.3% (CF4) and 5%(CHF3) each year [4]. The increased rate at which these gases are released by the semiconductorindustry parallels the industry's significant growth rate [5].

In 1996, U.S. semiconductor manufacturers signed a memorandum of understanding (MOU)with the Environmental Protection Agency (EPA) to voluntarily reduce PFC emissions industry-wide. Due to the potential long-term impact on the global climate, international efforts such asthe Kyoto Protocol have been undertaken to significantly reduce the rate of emission ofgreenhouse gases (including PFCs) into the atmosphere [6]. Signatory nations agreed to reducetheir total emissions of greenhouse gases by specific amounts by 2008–2012.

Although PFC emissions from the semiconductor industry represented only 0.1% of the totalU.S. output of greenhouse gases on a million-metric ton carbon equivalent (MMTCE) basis in1996, the semiconductor industry is committed to reducing FC emissions to the environment.Recognizing the potential for continued growth in emissions, the World Semiconductor Council(WSC) recently established a uniform global industry goal of reducing absolute FC emissions by10% compared to the 1995 baseline by 2010.

2.1 Strategies for Fluorocarbon Emissions Reduction

Fluorocarbon emissions reduction strategies range from alternative chemistry and processoptimization to capture/concentration/recycle and abatement. Alternative chemistry represents along-term solution to FC emission reduction while abatement is attainable in the short term. Eachstrategy has advantages and disadvantages depending upon both the specific semiconductormanufacturing process and the technology generation to which it is being applied.

While significant progress has been achieved in reducing PFC emissions from CVD chamberclean processes by using alternative gases such as NF3 [7, 8, 9] and C3F8 [10], etch processesremain more problematic to emission reduction strategies because of the stringent processrequirements of dielectric etch [11]. These requirements include the need to attain high etchrates, high aspect ratios, and high selectivity to the oxide layer compared to the mask layer withminimal chamber hardware damage. In addition, the ability to remove deposited films easilyfrom the chamber and to maintain general operator safety is essential [12]. Transparent point-of-use (POU) solutions such as abatement, which are likely to be used in the immediate future toreduce PFC emissions from etch processes, would not require lengthy or expensive processrequalifications. In addition, abatement can easily be applied on a chamber-by-chamber basis,depending on a particular tool’s usage and byproduct emissions.

Page 13: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

3

International SEMATECH Technology Transfer # 99123865B-ENG

2.2 Point-of-Use Plasma Abatement of Etch Exhaust

Among the abatement methods studied to date, most attempt to thermally or catalytically treat atatmospheric pressure the FC-containing exhaust downstream of the dry pump after adding up to50 liters of N2 for pump purge. While end-of-pipe (EOP) abatement downstream of themechanical dry pump makes the abatement system transparent to the process tool, it means that ahighly diluted gas stream must be treated instead of a concentrated stream, requiring moreenergy and increasing capital and utility costs. The plasma abatement device, on the other hand,is located directly in the tool foreline upstream of the mechanical pump. Because a concentratedeffluent stream is easier to treat than a dilute one, significant energy and resources can be savedusing plasma abatement. Furthermore, lower power is required to treat the reduced gas load,lower neutral gas temperatures are observed, and the likelihood of forming NOX byproductspecies is reduced.

POU plasma abatement uses a small, high density plasma (HDP) source (plasma density> 1012cm-3) mounted directly on the foreline of an etch tool between the turbomolecular pumpand the dry pump. The turbomolecular pump makes backstreaming extremely unlikely,considering that typical foreline pressures are typically in the viscous flow regime. Plasmaabatement requires that an additive gas (e.g., O2, H2, or H2O) be introduced upstream of theabatement device to prevent the reformation of C- and F-containing radicals into FCs (e.g.,CHF3, CF4, or C2F6). These additive reaction gases actually promote the formation ofthermodynamically favorable, low molecular weight, water-scrubbable byproducts such as CO,CO2, and HF.

Plasma abatement is an instant on/off technique that is easily integrated into the process tool forautomated control, providing significant energy savings compared to continuous FC removalprocesses. Plasma abatement devices also have a minimal footprint within the sub-fab.

Plasma abatement devices have so far exhibited a negligible process impact and, therefore, areapplicable to new tool installations as well as installed-base retrofits. Since the abatement istransparent to the process, no expensive requalifications are necessary. Also, POU abatementdevices do not require the addition of fuel, air, preheating of the reactant gas mixture, or largevolumes of water and have exhibited low cost of ownership (COO) compared to thermalabatement techniques. Cooling water for the device is obtained from the dry pump chilled watersupply.

Previous experiments conducted at Motorola examined the use of plasma abatement for FCdestruction, focusing primarily on high flow, higher pressure conditions in CVD chamberforelines [13]. However, two recent evaluations of plasma abatement devices—one usingmicrowave excitation surface wave plasma [14, 15] and one using inductively-coupled [16]plasma—are encouraging for FC abatement of oxide etch chemistries. Both devices demonstratehigh DRE and low COO, with annual maintenance and operating costs expected to be less than$500 U.S. The capital costs of the devices are also estimated to be below $20,000 U.S., withvolume discounts likely.

In earlier work, inductively-coupled devices were tested with representative process recipes ofCHF3, CF4, and Ar from a commercial 200 mm medium density plasma contact/etch tool. ThePFC destruction efficiency, byproduct distribution, and etch process impact were demonstratedusing both water vapor and oxygen as additive gases for a variety of PFC flows and abatementinput powers. The device achieved from 96 to >99% DRE for CF4 in representative baseline etchrecipes, with no apparent negative impacts on the manufacturing process.

Page 14: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

4

Technology Transfer # 99123865B-ENG International SEMATECH

3 EXPERIMENTAL

3.1 Device Installation and Specifications

The plasma source used for these studies was a Litmas “Blue” (LB 1200AB) POU abatementdevice shown in Figure 1. Device specifications are outlined in Table 1. The device is 35 cmhigh by 31 cm wide and 14 cm deep, weighing ~16 kg; it uses 90–130 volts AC or 188–253 voltsAC at 1800 watts (W) input power (automatic voltage selection). Maximum power output is1200 W, adjustable in 75 W increments with an inductively-coupled, high density radiofrequency (RF) plasma source using an integrated variable frequency power supply and solidstate matching network. The impedance matching network consists of a bank of fixed capacitorslocated within the power supply that roughly match the impedance of the power supply to that ofthe plasma. Any remaining impedance mismatch is negated through frequency control of thepower supply. The typical time required to generate a sustained plasma is between 25 and100 msec., with a 2-second maximum allowed time. The device uses an 8.5-in. long by 1.92-in.i.d. ceramic (Al2O3) dielectric tube to contain the plasma. Power is coupled to the effluent gasusing a 1.7 to 2.2 MHz variable frequency power supply with inductive RF excitation coilssurrounding the dielectric tube.

Figure 1 Litmas Inductively-Coupled, High Density Plasma System

Page 15: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

5

International SEMATECH Technology Transfer # 99123865B-ENG

Table 1 Device Specifications

Dimensions 347.2 mm high × 307.2 mm wide × 142.8 mm deep, 16 kg weight

User Interface 15 pin D-subminiature

Input Power 90–130 VAC, 1800 VA or 188–253 VAC, 1800 VA single phase + ground

RF Power 75 to 1200 W in 75 W increments

Power Accuracy The greater of ±1.5% or ±6.75 W

Frequency 1.7 to 2.2 MHz, automatic frequency adjustment to optimize load match

Tuning Time 25–100 ms typical, 2 sec. maximum

Matching Range 5-to-1 impedance range plus additional ignition room

Ambient Air Temperature 5–35°C operating; -40–90°C non-operating

Cooling Industrial chilled water, 5–35°C, 3.5 liters per minute (1 gpm) 675 kPa(100 psi) maximum pressure, 0.25 in. NPT

Vacuum Connections NW 63 input and output flanges

Plasma Tube 1.92 in. i.d. × 9 in. Al2O3 dielectric tube

Chamber Pressure 5 mTorr to 500 mTorr high density plasma; up to 20 Torr low density plasma

Process Gases Abated CF4, CHF3, C2F6, C3F8, C4F8, SF6, NF3

For the 1998 SEMATECH screening project, the device was installed on a foreline extension toisolate the chamber from the abatement device in case of catastrophic plasma tube failure.During some test conditions, excessive foreline pressure was encountered because of reducedconductance through the plasma tube, in some cases approaching the 1 Torr (133.3 Pa) trip levelof the turbomolecular pump. This elevated pressure was also partially due to the increased lengthand greater number of bends in the foreline extension as well as the reduced diameter of theforeline section installed for the test. Consequently, the plasma tube was increased from a 1.235-to 1.92-in. i.d. and abatement power was increased from 1050 W to 1200 W to compensate forthe resulting lower plasma density and the expected decrease in performance (plasma densityvaries inversely with the square of the tube radius). Several other device modifications weremade to improve plasma ignition over a greater range of conditions, such as increasing the RFoperating frequency from 2.0 to 2.2 MHz.

To evaluate the effect of the modifications, the device was installed directly on the 4-in. i.d.foreline between the turbomolecular pump and the mechanical pump. Of particular interestduring this phase of testing were device performance during extended operation, the effects ofelevated levels of HF and H2O on foreline surfaces, o-rings, internal mechanical pump hardware,and the effects of repeated temperature cycling on the plasma tube and abatement unit electroniccomponents. Also of interest were the likelihood of negative process impacts from thebackstreaming of low molecular species such as hydrogen or water vapor through theturbomolecular pump as a result of higher foreline pressure and the device’s proximity to thechamber. The actual device installation is illustrated in Figure 2. The device was located ~20 feet(6.1 m) below the tool chamber turbomolecular pump. The foreline pressure ranged from 100–300 mTorr (13.3–40 Pa). Also shown is the water injection system with the 4-liter waterreservoir upstream of the device. Two other auxiliary injection ports were installed on a forelinespool piece upstream of the device for O2 and SF6 experiments. Also shown are the ports for theanalytical FTIR and QMS.

Page 16: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

6

Technology Transfer # 99123865B-ENG International SEMATECH

Dry Pump

Medium DensityOxide Etcher

Power Supplyand Matching

Circuitry

Signal FromTool

Final Valve

Gate Valve

MFC

N2 Purge

45 slm

Tool EffluentTurbomolecularPump

Auxiliary Gas

IsolationValve

MeteringValve

WaterInfusionSystem

CoolingWaterPorts

100–300 mTorr

ToFTIR

N2Purge

IsolationValve

O2 In

ToScrubbedExhaust

MFC

Figure 2 Device Installation (not to scale)

Page 17: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

7

International SEMATECH Technology Transfer # 99123865B-ENG

3.2 Analytical Equipment

A schematic illustrating the process effluent stream and analytical equipment in more detail isshown in Figure 3. The analytical techniques used for this study included FTIR and QMS. Bothsystems were connected to the same sampling point by heated 0.25-in. i.d. polytetrafluor-ethylene (PTFE) extraction lines maintained at 121°C. The mechanical pump N2 purge wasmaintained at 45.0 slm by a 50 slm mass flow controller (MFC). Sampling pressure wasmaintained at 600 Torr (80.0 kPa) ± 2 Torr (±0.3 kPa). The FTIR was equipped with a 10 cmpath length stainless steel cell heated to 12°C to prevent the adsorption of condensable gases onsampling line components, ZnSe windows for resistance to corrosive species, and KBr optics.The sample was pumped by a diaphragm pump with a Teflon head for corrosion resistance. Itwas pumped through the system at ~4.5 standard liters per minute (slm) measured by a massflow meter (MFM), past a capacitance manometer to maintain constant pressure (600 Torr[80.0 kPa]), and directed to the house acid exhaust duct. The FTIR employs a liquid nitrogencooled mercury-cadmium-telluride (MCT) detector for increased sensitivity; it is capable of0.5 cm-1 wavelength resolution. Signal averaging of four scans, to increase the signal-to-noise(S/N), results in one data point being collected every 6.7 sec. Calibration curves were generatedusing certified standards for all species over a three decade range using a dynamic dilutionmanifold that covers the range of 0.01–1% (100–10,000 ppm) with standard references (seerepresentative FTIR reference calibrations in Appendix A). The FTIR software uses a multi-point linear regression routine and the best fit of the data to the standard reference calibrationspectra to calculate analyte concentrations.

The 1–300 Dalton (Da) range QMS uses a closed-ion source; it is capable of rapid sampling at apressure up to 2 atmospheres. The sampling rate depends on the mass range and the “dwell” (i.e.,the time the detector collects data for each mass). The QMS uses a 125°C heated baratron tomeasure the inlet pressure. Sample effluent is pumped at a 3–5 slm flow rate and introduced tothe house acid exhaust duct.

Page 18: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

8

Technology Transfer # 99123865B-ENG International SEMATECH

OESProcess

Chamber

O2 in

PlasmaAbatement

Device

Mechanical Pump

Turbomolecular Pump

N2 PumpPurge

N2 Purge

QMSFTIR

N2 Purge

Flowmeter

Diaphragm Pump

N2 Purge

HeatedExtraction

Lines

ScrubbedExhaust

SF6 in

45.0 slmMFC

MeteringValve

MeteringValve

MeteringValve

Flowmeter

H2O in

Figure 3 Analytical Sampling Methodology

Page 19: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

9

International SEMATECH Technology Transfer # 99123865B-ENG

3.3 Tool Integration and Operation

The plasma abatement device was integrated into the mechanical pump package as shown inFigure 4, obtaining its 1 gallon per minute (3.5 lpm) industrial chilled water (ICW) from thepump supply. The device is small enough to fit into the pump rack without modification.Because the device uses 20 amps (A) single-phase power, there were no special power supplyrequirements. The deionized (DI) water reservoir, shown in Figure 5, was connected to theforeline upstream of the device through a manual shutoff valve and a needle valve to allow fineadjustments for optimal water vapor flow. The 0.25-in. stainless steel line was heat-traced to theforeline connection; the temperature was maintained at 61.5ºC to prevent condensation fromJoule-Thompson cooling as the water vapor expands at low pressure downstream of the valves.Water vapor was continuously injected at 120 to 140 sccm. Flowing water at this rate requiresthe reservoir to be filled approximately every three weeks. Auxiliary ports (Figure 6) wereinstalled on the foreline upstream of the device to allow SF6 and O2 addition. SF6 was injectedusing a 200 sccm MFC. Finally, a 500 sccm O2 MFC was used for experiments evaluatingdestruction efficiency as a function of additive reaction gas.

Figure 4 Litmas Abatement Device Foreline Installation

Page 20: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

10

Technology Transfer # 99123865B-ENG International SEMATECH

Figure 5 Water Vapor Injection Reservoir and Heat-Traced Vacuum LinesMaintained at 61.5

Figure 6 Auxiliary Gas Ports for O2 and SF6 Upstream of Plasma Abatement DeviceAllow H2O/O2 Comparison Experiments and SF6 Evaluation

Page 21: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

11

International SEMATECH Technology Transfer # 99123865B-ENG

The device has several features that enable simple operation (Figure 7a). A low frequency lineAC is rectified and switched to drive an RF amplifier. However, instead of using an output matchto drive a 50 Ω coaxial cable, the output match directly drives the plasma excitation circuit. Afterpassing through the load match, power is deposited into the plasma excitation circuit. Power ismeasured only once instead of twice, as in conventional systems, where it is measured both forthe 50 Ω transmission cable and for the plasma. The information is used to control the RFamplifier gain as well as to tune the system parameters. The resulting system is smaller and moreefficient at delivering power to the plasma with fewer parts.

To test the device under realistic conditions, it was integrated into the tool’s final gas valveoutput circuitry and was remotely triggered when the final valve opened, allowing instant-onwhen any process gas flows from the tool (Figure 7b). Because the tool uses active-low controllogic, current from the final valve closes a relay and sends a 24 volt DC signal to the plasma-ondigital input at the device. Since typical tool recipes use a 5-sec. gas stabilization step before theplasma-on step, the abatement device turns on as soon as gas begins to flow. Incorporated intothis circuitry is a variable RF shutoff delay to allow time for process gases to reach theabatement system. The circuitry allows for a delay of up to 60 sec. between the time the gas flowterminates and the abatement device shuts off. In this case, a 33.5-sec. delay was used to allowresidual gases in the foreline to reach the abatement device. The hardware cost for integrating thedevice with the tool was approximately $20 U.S. for a cable and DB-9 connectors. As plasmaignites when gas flow begins, a typical 120-sec. etch results in the plasma device operating 32%longer than the chamber RF-on time. Thus, for 270 hours of tool chamber plasma-on time, theplasma abatement system would have operated for 357 hours.

AC Line to DCSwitching Supply

FrequencyReference

RFAmplifier

PowerMeasurement

OutputMatch

Plasm

a

User Setpoint

a)

b) to +24 V

to RF On

to LitmasDevice

from ToolFinal Gas Valve

Figure 7 a) Output Match Schematics and b) Device Interface to Tool

Page 22: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

12

Technology Transfer # 99123865B-ENG International SEMATECH

4 RESULTS AND DISCUSSION

4.1 Device Performance with Increased Plasma Tube Diameter

Increasing the plasma tube diameter (and cross sectional area by 2.44X) did not appreciablydegrade device performance. A 9-point experimental matrix measuring CF4 DRE (as determinedby integration of the CF4 absorbing feature at 1283 cm-1) using typical 200 mm wafer CF4 etchrecipes on I-line blanket photoresist wafers was used to compare performance between the1.92-in. plasma tube device operating at 1200 W and the 1.235-in. plasma tube device operatingat 1050 W. The experimental matrix shown in Table 2 was performed using the following etchchamber parameters: 1100 W RF power, 30 G magnetic field, and 225 mTorr (30 Pa) chamberpressure. The tool recipe used a 5-sec. gas stabilization step followed by a 120-sec. etch step.

Table 2 Results of CF4/CHF3/Ar Etch DOE Matrix

MatrixPoint

CF4

Flow(sccm)

CHF3

Flow(sccm)

ArFlow

(sccm)

ForelinePressure(mTorr)

CF4 DRE (%)1.235-in. tube

1050 W

CF4 DRE (%)1.92-in. tube

1200 W

1.92-in.kgCE

Reduction(%)

1 4 40 50 262 99 96.5 97.7

2 4 40 70 265 ~100 92.5 98.6

3 4 60 50 306 99.0 92.3 96.8

4 4 60 70 310 96.0 94.5 98.6

5 6 40 50 270 99.0 96.9 98.7

6 6 40 70 278 96.0 97.5 98.9

7 6 60 50 317 99.0 92.8 97.9

8 6 60 70 317 96.0 93.2 97.9

Centerpoint 5 50 60 300 99.0 95.9 98.8

The reduction in CF4 DRE was a few percent (virtually all CHF3 was destroyed), ranging from92.3 to 97.5% for the 1.92-in. tube at 1200 W compared to 96 to ~100% for the 1.235-in. plasmatube at 1050 W. This represents a reduction of 3–4%, but no change occurred in the byproductsdistribution. The reduction in foreline pressure was nearly 200 mTorr (26.7 Pa); foreline pressuredid not rise significantly above 300 mTorr (40 Pa). The decreased plasma density as a result ofincreasing the plasma tube diameter could be partly compensated for by a reduction in gasvelocity and the resultant increased plasma residence time.

Reduction in kilograms carbon equivalent (kgCE), a metric of global warming gas emissions,remains high because of the virtually total destruction of CHF3. The equation to calculate kgCEis shown below; it is an industry-wide metric used to calculate greenhouse gas emissions:

( )( )( )( )( )∑ −×=PFCs

PFC,100PFC5

PFC GWP4412.W.M1046.4FkgCE

where: FPFC = Flow of PFC in sccm4.46E-5 = moles/sccm (conversion factor)12/44 = atomic weight conversion from CO2 to carbonM.W.PFC = molecular weight of PFC molecule in kg/molGWP100,PFC = global warming potential of PFC (100 year integrated time horizon)

Page 23: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

13

International SEMATECH Technology Transfer # 99123865B-ENG

The atmospheric lifetimes and GWPs for gases of relevance to this study are outlined in Table 3.Typical FTIR spectra of etch emissions are shown in Figure 8 for the centerpoint recipe of5 sccm of CF4, 50 sccm CHF3, and 60 sccm of Ar with no abatement (Figure 8a) indicating134 ppm CF4 concentration. Figure 8b shows the abatement device operating with water vaporinjection, and represents a 97.0% CF4 DRE using 1200 W abatement power with only a trace ofCF4, CO, CO2, and HF emitted. Residual water vapor is visible in Figure 8b since not all watervapor has reacted with fluorine to form HF (note the 2-1/2 fold increase in HF level). There isalso no COF2 detected at 775, 1242, and 1944 cm-1. Foreline pressures for typical etch processgas loads ranged from 200–325 mTorr (26.7–43.3 Pa).

5 sccm CF4

50 sccm CHF3

60 sccm Ar

No Abatement

166 mTorr foreline pressure

Abs

orba

nce

0

.05

0.1

.15

0.2

.25

0.3

4500 4000 3500 3000 2500 2000 1500 1000

134 ppmCF4

HF

CHF3

Wavenumber (cm-1)

5 sccm CF4

50 sccm CHF3

60 sccm Ar

150 sccm H2O

1200 W Abatement Power

300 mTorr Foreline Pressure

Abs

orba

nce

0

0.05

0.1

0.15

0.20

0.25

4500 4000 3500 3000 2500 2000 1500 1000

4 ppmCF4

HF

H2OCO2 CO

Wavenumber (cm-1)

a) No Abatement b) 1200 W Abatement Power Indicating 97% DRE

Figure 8 FTIR Emission Spectra of Blanket Photoresist Wafer Etch Gas Flows

Table 3 Atmospheric Lifetime and GWP100 for Relevant Species*

SpeciesChemicalFormula

AtmosphericLifetime (YRI)

Global Warming Potential(100 year time horizon)

Carbon dioxide CO2 12 1

Trifluoromethane CHF3 264 11,700

Perfluoromethane CF4 50,000 6,500

Perfluorocyclobutane c-C4F8 3,200 8,700

Nitrogen trifluoride NF3 740 10,800

*IPCE 1995.

A profile comparing DRE and abatement power for the centerpoint DOE parameters in Table 2was generated to determine the effect of power on CF4 DRE. The maximum DRE was obtainedat 1200 W applied power (Figure 9). Increased abatement power does not increase electronenergy appreciably. Rather, increased abatement power expands the plasma, counteracting theeffect of reduced residence time because of increased neutral gas velocity. In addition, increasingabatement power increases neutral gas temperature and reduces neutral gas density, resulting ingreater electron-neutral collision energy, thereby increasing DRE.

Page 24: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

14

Technology Transfer # 99123865B-ENG International SEMATECH

CF4 DRE vs. Applied Abatement Power

010

2030

4050

6070

8090

100

400 500 600 700 800 900 1000 1100 1200

Power (Watts)

CF

4 D

RE

(%

)

5 sccm CF4, 50 sccm CHF3, 60 sccm Ar

Figure 9 Effect of Abatement Power on CF4 DRE Using Etch Recipe of 5 sccm CF4,50 sccm CHF3, and 60 sccm Ar

A water vapor flow rate profile was generated as a function of CF4 DRE to optimize water flow(Figure 10). The centerpoint DOE parameters in Table 2 were again used at 1200 W abatementpower using the centerpoint etch recipe of 5 sccm CF4, 50 sccm CHF3, and 60 sccm Ar. Theoptimal water concentration was obtained at a flow of 146.8 sccm or at a concentration ofapproximately 3250 ppm. However, because foreline pressure was also of concern, mostexperiments were conducted with a flow of only 120–130 sccm of water vapor, even though thisresulted in slightly lower CF4 DRE.

Page 25: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

15

International SEMATECH Technology Transfer # 99123865B-ENG

CF4 DRE vs. Water Flow

-60

-40

-20

0

20

40

60

80

100

0 500 1000 1500 2000 2500 3000 3500 4000

Water Concentration (ppm)

CF

4 DR

E (%

)

20.3 sccm H2O flow

40.3

61.3

73.1

102.0

130.1 164.0

146.8

0.0

Figure 10 Effect of Water Concentration on CF4 DRE at 1200 W Abatement PowerUsing Etch Recipe of 5 sccm CF4, 50 sccm CHF3, and 60 sccm Ar

4.2 Modeling Translational and Rotational Energies of Neutral Species in HighDensity Plasmas

Studies performed at the University of California at Berkeley combining modeling and plasmadiagnostic techniques have focused on the effect of neutral gas temperature on abatementefficiency. By using a relatively high-resolution optical spectrometer (FWHM ~0.15 Å),rotational distributions of species in the optical emission spectrum from small amounts of N2

added to the gas stream can be analyzed to estimate the rotational temperature within thedischarge. Because N2 is not created within the discharge but is excited primarily throughelectron impact, its rotational temperature should be a reasonable estimation of the gas kinetictemperature within the discharge. Measurements indicate that neutral gas temperatures are higherthan predicted by assuming ambient thermal equilibrium and are, perhaps, as high as 2000–2500°C. This could explain why DRE is higher than expected based on bond strength andelectron energy calculations alone. Significantly elevated neutral gas temperatures wouldincrease DRE values by increasing electron energy (by a decreased neutral density) and bylowering reaction activation energies (by vibrational excitation of molecular species in thedischarge). An understanding of energy partition pathways within the plasma is required tomodel the fundamental processes in high density plasma. Perhaps more importantly, thisunderstanding could be extended to other high density plasmas such as those found ininductively-coupled plasma etchers.

Neutral temperature as a function of axial and radial position in an idealized plasma reactor isshown in Figure 11. Radially, neutral temperature drops toward the chamber walls as itapproaches the ambient wall temperature. Axially, the neutral temperature drops away from thecenter of the plasma. Note that the neutral temperature is nearly 1500°C at the center of the tubefor 50 sccm CF4 and 100 sccm O2 at 1200 W power and 100 mTorr (13.3 Pa) pressure. In the

Page 26: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

16

Technology Transfer # 99123865B-ENG International SEMATECH

model, neutral gas heating is partitioned among several mechanisms. Franck-Condon heating, orthe binding energy released when dissociation occurs, accounts for ~40% of the contribution.Another 40% is partitioned into ion-neutral impact, which transfers significant energy to theneutral species. The remaining 20% is partitioned into vibrational excitation resulting fromelectron impact (10%) and neutral-neutral collisions (10%).

Modeling results also suggest closer agreement of the non-isothermal simulation to experimentalresults as shown in Figure 12. The isothermal simulation results in only 65% CF4 DRE comparedto 95% obtained experimentally at 750 W of abatement power. The non-isothermal simulation issignificantly closer to the experimental result at 80% at 750 W of abatement power. Electrontemperature is also 0.75 eV higher for non-isothermal compared to the thermal simulation.

50/100 sccm CF /O2Power = 1200 WPressure = 100 mtorr

Neutral Temperature

K)

Z (cm)

R (cm)

Neutral Heating Mechanisms•Frank-Condon heatingduring dissociation (40%)•Ion-neutral impact (40%)•Electron impactvibrational excitation(10%)•Neutral-neutral collisions(10%)

50/100 sccm CF4/O2Power = 1200 WPressure = 100 mTorr

Neutral Heating Mechanisms

• Frank-Condon heating duringdissociation (40%)

• Ion-neutral impact (40%)• Electron impact vibrational

excitation (10%)• Neutral-neutral collisions (10%)

Figure 11 Modeling Results for Neutral Temperature as a Function of Axial (Z) andRadial (R) Position in Plasma Region of Abatement Device on ParametersShown (contribution of various neutral heating mechanisms also shown)

Page 27: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

17

International SEMATECH Technology Transfer # 99123865B-ENG

50/100 sccm CF4/O2Pressure = 100 mtorr

500 750 1000 12500

10

20

30

40

50

60

70

80

90

100

Effect of neutral energy balance implementation onsimulation agreement with experiment

Experiment Isothermal Simulation Nonisothermal Simulation

CF

4 D

estr

uctio

n E

ffici

ency

(%

)

Input Power (W)500 750 1000 1250

0

1

2

3

4

5

Effect of neutral energy balance implementation on electron temperature

Isothermal Simulation Nonisothermal Simulation

Ele

ctro

n T

empe

ratu

re (

eV)

Input Power (W)

50/100 sccm CF4/O2Pressure = 100 mTorr

Figure 12 Modeling Results Showing (left) Improved Agreement of Non-IsothermalSimulation to Experimental Results of DRE vs. Applied Input Power and(right) Higher Electron Temperature with Non-Isothermal Simulation forthe Parameters Shown

The phenomena hypothesized to occur in the plasma can be understood by their impact onabatement efficiency. Reductions in gas density by lowering foreline pressures increase electronenergy and electron impact dissociation rates. Conversely, as foreline pressure increases, DRE isreduced as the neutral number density increases and the electron-neutral collision energydecreases. The dependence of destruction efficiency on foreline pressure is shown in Figure 13for the experiment matrix in Table 2 at constant abatement power. An added benefit ofimproving conductance by increasing the plasma tube diameter is that collisions become moreenergetic and ionization and radical formation increase, thus increasing abatement efficiency.This is analogous to the high density plasma etch tools achieving greater utilization ratescompared to medium density tools by operating at lower pressure.

Page 28: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

18

Technology Transfer # 99123865B-ENG International SEMATECH

Effect of Foreline Pressure onAbatement Performance

240

250

260

270

280

290

300

310

320

330

1 2 3 4 5 6 7 8 9

Matrix Number

For

elin

e P

ress

ure

(mT

orr)

95.5

96

96.5

97

97.5

98

98.5

99

99.5

kgCE

Reduction (%

)Foreline Pressure (mTorr)kgCE Reduction

Note: As pressure increases, DRE decreases due to reduction in mean free path and lower electron collision energy.

Figure 13 Effect of Foreline Pressure on CF4 DRE

Byproduct concentrations in various operational scenarios are shown in Table 4. Operating theabatement system with water vapor injection increases the amount of HF emitted 2-1/2 timescompared to an unabated etch process. With H2O as the hydrogen source, F-containingcompounds (e.g., SiF4, CF4, and CHF3) are converted to HF, CO, and CO2. Owing to the relativeease with which it undergoes electron impact dissociation, CHF3 is nearly always completelydestroyed by the abatement device (the CF bond dissociation energy in CHF3 is 4.68 eV) [17].This contrasts with the average bond dissociation energy in CF4 of 5.68 eV [18]. As thepredominant O-containing species, CO is much more abundant than CO2 when water vapor isused as the additive reaction gas compared to oxygen. CO forms readily in a stoichiometricallyoxygen-deficient environment and is thermodynamically favored compared to CO2, as the energyof formation is 11.2 eV compared to 5.5 eV for CO2. Some COF2 is also formed, and NO2 isemitted in small amounts from the etch process but is destroyed in the plasma, with the nitrogenprobably recombining as highly stable N2, which has a bond dissociation energy of 9.89 eV [19](the high bond strength of N2 makes formation of a nitrogen plasma difficult). Interestingly, ifthe abatement device is operated without any additive reaction gas, the concentration of CF4

increases 35% compared to untreated exhaust. This is a result of the plasma dissociating CHF3

and CF4, with the resulting CF3 and F radicals recombining in the absence of H and O to formthe most thermodynamically favorable product, CF4.

Page 29: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

19

International SEMATECH Technology Transfer # 99123865B-ENG

Table 4 CF4/CHF3/Ar Etch Byproduct Distribution at Various Process Conditions

ProcessSiF4

(ppm)H2O

(ppm)HF

(ppm)NO2

(ppm)COF2

(ppm)CO

(ppm)CO2

(ppm)CHF3

(ppm)CF4

(ppm)

Gas Only with H2O 0 2958 26 3 10 0 10 973 100

Etch Exhaust with H2O 41 2545 1572 0 6 0 0 138 134

Etch Exhaust without H2O 69 0 1497 11 43 46 8 118 136

Abated Exhaust with H2O 93 400 3977 5 3 895 50 0 5

Abated Exhaust without H2O 61 0 1873 0 35 40 0 0 184

4.3 Medium Gas Flow Performance

To determine the extendibility of this technology to the gas flows associated with next-generation 300 mm etch tools, experiments were undertaken to determine device performancewith high CF4 gas flows. The results of an experimental matrix of CF4 DRE as a function of 0–50 sccm CF4 and 0–200 sccm Ar gas flow using water vapor injection are shown in Table 5. CF4

DRE ranged from 95.2% for 50 sccm CF4 and no Ar to 99.8% for 12 sccm CF4 and 150 sccm Ar.The reduction in kgCE followed the same trends. Interestingly, for a given flow of CF4, the DREincreases with Ar due to additional electrons liberated when the electropositive Ar is ionized toAr+, increasing electron density and assisting ionization of CF4. The positive effect of Ar ondestruction efficiency was observed in most experiments.

Table 5 Medium CF4/Ar Gas Only Flow DRE Results

Matrix PointCF4 Flow

(sccm)Ar Flow(sccm)

ForelinePressure(mTorr)

CF4 DRE(%)

kgCEReduction

(%)

1 0 0 126 N/A N/A

2 12 0 199 97.4 96.6

3 12 50 195 99.0 99.0

4 12 100 209 99.5 99.7

5 12 150 231 99.8 99.8

6 25 0 243 96.7 96.7

7 25 50 234 98.2 98.2

8 25 100 248 98.9 99.0

9 25 150 267 99.3 99.0

10 37 0 266 95.9 96.0

11 37 50 256 97.4 98.3

12 37 100 268 98.8 98.7

13 37 150 286 99.0 99.0

14 50 0 301 95.2 94.8

15 50 50 294 96.2 96.2

16 50 100 301 97.3 97.3

17 50 150 314 99.7 99.7

Centerpoint 25 75 238 98.6 98.2

Page 30: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

20

Technology Transfer # 99123865B-ENG International SEMATECH

4.4 High Gas Flow Performance

Performance for even higher CF4 flows from 50–100 sccm and Ar flows from 0–200 sccm wassignificantly degraded, especially above 75 sccm of CF4 as shown in Table 6. However this may,in part, be due to the increase in the carbon-to-oxygen ratio above the optimal 1-to-2stoichiometric ratio for CO2 formation because the water vapor flow could not be significantlyincreased without over-pressuring the foreline (note that foreline pressure exceeded 350 mTorrfor both water vapor and O2 injection). CF4 DRE ranged from 84.6% for 100 sccm CF4 and0 sccm Ar to 98.3% for 50 sccm CF4 and 200 sccm Ar. The increased CF4 gas load may have hadless effect for the oxygen additive reaction gas case because the oxygen-to-carbon ratio remainedsufficiently high. FTIR emission spectra of 50 sccm CF4 and 150 sccm Ar with 150 sccm of H2Ovapor are shown in Figure 14a (without abatement) and Figure 14b (with abatement), indicating97.4% CF4 DRE. Note that the CF4 peak has saturated the FTIR detector, reducing energytransmission to the detector for a linear response range.

Table 6 High CF4/Ar Gas Only Flow Results

Species H2O (150 sccm) O2 (100 sccm)

CF4 Flow(sccm)

Ar Flow(sccm)

CF4 DRE(%)

Foreline Pressure(mTorr)

CF4 DRE(%)

Foreline Pressure(mTorr)

0 0 109 165

0 93.3 272 95.5 302

50 95.1 268 97.1 291

100 96.8 280 98.3 298

150 97.7 297 98.8 313

50

200 98.3 313 99.1 328

0 91.5 311 94.4 319

50 93.6 307 96.2 313

100 95.4 316 97.5 321

150 96.6 330 98.2 333

62

200 97.4 345 98.6 348

0 89.7 331 93.1 340

50 91.7 327 94.9 337

100 93.5 336 96.5 343

150 94.9 348 97.5 355

75

200 96 362 98 367

0 88.1 346 92.2 358

50 90 344 93.8 354

100 91.8 352 95.3 359

150 93.4 364 96.3 370

87

200 94.3 375 97 382

0 84.6 358 90.7 374

50 85.1 356 92.3 371

100 85.7 363 93.8 376

150 85.8 373 94.9 385

100

200 85.8 384 95.7 397

Page 31: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

21

International SEMATECH Technology Transfer # 99123865B-ENG

0

0.2

0.4

0.6

0.8

1

4500 4000 3500 3000 2500 2000 1500 1000

50 sccm CF4

150 sccm Ar

150 sccm H2O

No Abatement

Abs

orba

nce

CF4

H2OH2O

Wavenumber (cm-1) Wavenumber (cm-1)

-0.05

0

0.05

0.1

0.15

4500 4000 3500 3000 2500 2000 1500 1000

50 sccm CF4

150 sccm Ar

150 sccm H2O

1200 W Abatement Power

99.7% DRE

Abs

orba

nce

CF4

HF

CO2 COH2O

H2O

a) No Abatement b) Abatement Using 150 sccm Water Vapor

Figure 14 FTIR Emissions Spectra of a 50 sccm CF4 and 150 sccm Ar Gas Flow OnlyRecipe

4.5 Prolonged Device Performance and Process Impact

Additionally, a 1050-wafer marathon was performed to determine the effect of prolongedcontinuous device operation. The duty cycle of the device during the 72-hour test wasapproximately 80%. Wafers coated with I-line resist were etched for 120 sec. using thecenterpoint recipe in the experiment matrix shown in Table 2. FTIR spectra were collected threetimes during the marathon to verify consistent system performance. Representative FTIR spectraobtained during the marathon are shown in Figure 15, with HF emitted at a concentration of4000 parts per million by volume (ppmv). The water concentration in the emissions increasesimmediately between wafers because the abatement device shuts off for ~28 sec. betweenwafers.

Page 32: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

22

Technology Transfer # 99123865B-ENG International SEMATECH

0

500

1000

1500

2000

2500

3000

3500

4000

4500

2:01

:20

2:01

:47

2:02

:14

2:02

:41

2:03

:08

2:03

:34

2:04

:01

2:04

:28

2:04

:55

2:05

:22

2:05

:49

2:06

:16

2:06

:43

2:07

:10

2:07

:37

2:08

:04

2:08

:30

2:08

:57

2:09

:24

2:09

:51

2:10

:18

2:10

:45

2:11

:12

2:11

:39

2:12

:06

2:12

:33

2:13

:00

2:13

:26

2:13

:53

2:14

:20

Time

Exh

aust

Con

cen

trat

ion

(p

pm HF

H2O

CO

CO2

1050 wafers, 2 min. etch 5 sccm CF4, 50 sccm CHF3, 60 sccm Ar3000 ppm (120 sccm) H2O

1200 Watts abatement power33.5 sec. shut-off delay, 28 sec. between wafers

Figure 15 FTIR Byproduct Emission Profiles During 1050-Wafer Blanket PhotoresistMarathon

Chamber baseline qualifying tests—including etch rate, etch uniformity, and particle tests—wereconducted at frequent intervals to detect process drift and chamber contamination. The (Figure 16a) and before (Figure 16b) and after (Figure 16c) device installation; the slight upwardtrend is within acceptable limits. The pre-installation trend is higher than the post-installationtrend, indicating that the device did not increase particle counts. Neither the etch rate nor theuniformity tests ever indicated any negative impact due to the operation of the device. Becausedifferent products are processed in the tool, parametric data are frequently obtained; none everindicated that the abatement device was responsible for a process issue.

Page 33: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

23

International SEMATECH Technology Transfer # 99123865B-ENG

Cumulative Particle History

Trend Line: y = 0.0003x + 0.177

-0.2

0.00.2

0.4

0.6

0.8

1.0

0 50 100 150 200 250 300

Data Point

No

rmal

ized

Par

ticl

eD

elta

Test Begins

Pre-Installation Particle HistoryTrend Line: y = 0.0006x + 0.158

-0.2

0.0

0.2

0.4

0.6

0.8

1.0

0 20 40 60 80 100 120

Data Point

No

rmal

ized

Par

ticl

eD

elta

Test Begins

a) Entire Year b) Pre-Installation

Post-Installation Particle History

Trend Line: y = 0.0003x + 0.177

-0.2

0.0

0.2

0.4

0.6

0.8

1.0

100 150 200 250 300

Data Point

No

rmal

ized

Par

ticl

eD

elta

Test Begins

c) Post-Installation

Note: Overall defect frequency decreased after installation.

Figure 16 Etch Tool Defect History Plots and Trend Lines

4.6 Additional Abatement Testing of C4F8, SF6, and NF3

Additional testing was conducted to determine DRE for C4F8, SF6, and NF3 using both O2 andH2O injection as a function of gas flow. The results and spectra are summarized in Table 7through Table 9 and Figure 17 through Figure 19. C4F8 was primarily tested to determine theeffect on DRE for a longer chain PFC, as no gas larger than CF4 had been tested on the etcher todate. In addition, a comparison of HF and F2 emissions could be made between C4F8 and CF4.

Octafluorocyclobutane (C4F8) performance with H2O injection was considerably better than withO2 as shown by the residual CF4 in Table 7. Foreline pressures were also lower with H2O. Aswith CF4, significantly less COF2 was produced using water vapor injection than with O2 asshown in Figure 17a and Figure 17b, but much more HF was produced. Much more CO2 isproduced with O2 in the oxygen-rich environment compared to H2O injection. Interestingly, nobyproducts of higher chain PFCs were produced, as they have significantly lower C-F bonddissociation energies than CF4.

Page 34: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

24

Technology Transfer # 99123865B-ENG International SEMATECH

Abs

orba

nce

0

0.05

0.1

0.15

4500 4000 3500 3000 2500 2000 1500 1000

Wavenumber (cm-1)

CO2

CO

COF2

CO2

HF

Emissions ppm

CF4 21.7

CO 398

CO2 750

COF2 668

HF 309

16 sccm C4F8

100 sccm O2

550 mTorr pressure

1200 W power

CF4

0

0.05

0.1

0.15

0.2

4500 4000 3500 3000 2500 2000 1500 1000

20 sccm C4F8

130 sccm H2O

383 mTorr pressure

1200 W power

Wavenumber (cm-1)

Abs

orba

nce

Emissions ppmCF4 10CO 1157COF2 125HF 2810

COF2COCO2HF CF4

a) 100 sccm O2 b) 130 sccm Water Vapor

Figure 17 FTIR Emissions for C4F8 Gas Flow with Abatement

Table 7 C4F8/Ar Gas Only Flow Results

Species H2O (130 sccm) O2 (100 sccm)

C4F8 Flow(sccm)

Ar Flow(sccm)

C4F8

DRE(%)

CF4

ppm

ForelinePressure(mTorr)

C4F8

DRE(%)

CF4

ppm

ForelinePressure(mTorr)

0 0 155

12 0 >99.5 0 302 >99.5 16.8 382

12 50 >99.5 10.2 317

12 100 >99.5 8.1 306

12 150 >99.5 6.7 313

16 0 >99.5 4 345 >99.5 21.7 550

16 50 >99.5 3.4 295 >99.5 15.9 347

16 100 >99.5 4.7 288 >99.5 12.8 331

16 150 >99.5 4.7 296 >99.5 21.0 309

20 0 >99.5 9.8 383

20 50 >99.5 5.5 328

20 100 >99.5 8.7 319

20 150 >99.5 4 323

Page 35: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

25

International SEMATECH Technology Transfer # 99123865B-ENG

Sulfur hexafluoride (SF6), a stable molecule (bond dissociation energy of 3.40 eV [20])especially to hydrolysis, owes its low reactivity primarily to the coordinated saturation offluorine and the steric hindrance of sulfur, which is enhanced because of the low polarity of themolecule [21]. Table 8 outlines the results with SF6; high SF6 DRE was achieved using watervapor injection—95.4% destruction efficiency at 50 sccm SF6 and 0 sccm Ar, but only 84.7%DRE with O2 for the same conditions. Interestingly, as SF6 undergoes varying degrees ofoxidation, it forms products having different amounts of oxygen. SO2, SOF2 (thionyl fluoride),and SO2F2 (sulphuryl fluoride) are formed in the reaction of 50 sccm SF6 with oxygen(Figure 18a) but not with water at 12.5 sccm as shown in Figure 18b. At higher SF6 levels(50 sccm), the same byproducts form as shown in Figure 18c. Argon addition did not increaseSF6 DRE. The high level of HF emitted when water vapor is used as a reaction gas results fromthe following reaction:

SF6 + 2H2O → SO2 + 4HF

Table 8 SF6/Ar Gas Only Flow Results

Species H2O (140 sccm) O2 (varied flow)SF6 Flow

(sccm)Ar Flow(sccm)

SF6 DRE(%)

Foreline Pressure(mTorr)

SF6 DRE(%)

O2

(sccm)Foreline Pressure

(mTorr)

12.5 0 >99 186 0 108

12.5 0 >99 125 201

12.5 50 >99 185 >99 125 197

12.5 100 >99 198

12.5 150 >99 211

25 0 >99 221 >99 125 230

25 50 >99 210 >99 125 212

25 100 >99 227

25 150 >99 248

37.5 0 >99 246 >99 125 255

37.5 50 >99 224

37.5 75 >99 230

37.5 100 >99 237

37.5 150 257

50 0 95.4 253 14.1 0 158

50 0 84.7 125 250

50 0 82.8 200 278

50 50 94.8 237 81.8 125 202

50 100 95.4 252

50 150 95.4 271

50 50 81.8 150 230

*Added Ar did not increase DRE significantly with H2O or O2.

Page 36: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

26

Technology Transfer # 99123865B-ENG International SEMATECH

Wavenumber (cm-1)

0

0.05

0.1

0.15

4500 4000 3500 3000 2500 2000 1500 1000

Abs

orba

nce

HFSO2

Emissions ppmSF6 0SO2 28HF 347

50 sccm SF6

100 sccm O2

1200 W abatement power

SO2F2

SO2F2

SOF2

a) Abatement Using 50 sccm SF6 and 100 sccm O2

0

0.05

0.1

0.15

4500 4000 3500 3000 2500 2000 1500 1000

Abs

orba

nce

Wavenumber (cm-1)

12.5 sccm SF6

140 sccm H2O1200 W abatement power

HF

H2O

H2O

Emissions ppmSF6 0

SO2 293

HF 1426H2O 1386

b) Abatement Using 12.5 sccm SF6 and 140 sccm H2O

0

0.05

0.1

0.15

0.2

0.25

4500 4000 3500 3000 2500 2000 1500 1000

Abs

orba

nce

Wavenumber (cm-1)

HF

50 sccm SF6

140 sccm H2O1200 W abatement power

SO2F2

SO2

SF6

SOF2

Emissions ppm

SF6 50SO2 428HF 2401H2O 177

SO2F2

c) Abatement Using 50 sccm SF6 and 140 sccm H2O

Figure 18 FTIR Spectra for SF6

Page 37: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

27

International SEMATECH Technology Transfer # 99123865B-ENG

Nitrogen trifluoride (NF3) results are outlined in Table 9. NF3 was tested to evaluate theperformance of plasma abatement with NF3, because NF3 and hydrocarbons, such as acetylene(C2H2) or ethylene (C2H4), may find future application as etchants with reduced global warmingemissions [22]. Owing to its low average bond disassociation energy (2.88 eV) [23], NF3 wasdestroyed to >99% with water vapor up to 100 sccm, forming high levels of F2 and HF.Somewhat lower performance (92.7% NF3 DRE) was achieved using O2. Argon did increase NF3

DRE for 87 and 100 sccm NF3 flows with O2 injection. FTIR spectra are shown for no abatement(Figure 19a), O2 injection (Figure 19b), and the remarkably simple spectrum with water vaporinjection (Figure 19c). Some NO forms with 75 sccm NF3 and 100 sccm O2 in Figure 19b. Theabsence of nitrogen-containing species in the water injection effluent in Figure 19c suggests thatN2 and O2 are byproducts, both of which are infrared inactive, possibly undergoing formationaccording to the following overall equation:

2NF3 + H2O → 2HF + N2 + ½ O2 + 2F2

NF3 + e– → NF2 + FNF2 + e– → NF + FNF + e– → N + FN + N → N2

H2O + e– → H + OHH + F → HFF + F → F2

O + O → O2

QMS follow-up tests could be performed to confirm this reaction pathway.

Table 9 NF3/Ar Gas Only Flow Results

Species H2O (120 sccm) O2 (100 sccm)NF3

(sccm)Ar

(sccm)NF3 DRE

(%)Foreline Pressure

(mTorr)NF3 DRE

(%)Foreline Pressure

(mTorr)

0 >99 175 >99 1150 >99 198

50 >99 178100 >99 191

12

150 >99 21025 0 >99 33537 0 >99 226 >99 26450 0 >99 261 >99 293

0 >99 31762

0 >99 29175 0 >99 318 >99 339

0 >99 339 95.4 30750 96.7 307

100 97.6 31787

150 98.5 3310 >99 364 92.7 328

50 94.3 328100 95.5 336

100

150 96.2 348

Page 38: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

28

Technology Transfer # 99123865B-ENG International SEMATECH

Abs

orba

nce

50 sccm NF3no abatement

NF3

Emissions ppmNF3 1109

Wavenumber (cm-1)

0

0.05

0.1

0.15

4500 4000 3500 3000 2500 2000 1500 1000

a) No Abatement

- 0.02

0

0.02

0.04

0.06

4500 4000 3500 3000 2500 2000 1500 1000

HF

Emissions ppmHF 290NF3 155SiF4 103

75 sccm NF3

100 sccm O2

1200 W power

NONF3

Wavenumber (cm-1)

Abs

orba

nce

SiF4

b) Abatement Using 100 sccm O2

Wavenumber (cm-1)

Abs

orba

nce

HF

0

0.05

0.10

0.15

0.20

0.25

4500 4000 3500 3000 2500 2000 1500 1000

75 sccm NF3

120 sccm H2O1200 W power

Emissions ppmHF 4064NF3 10

c) Abatement Using 120 sccm Water Vapor

Figure 19 FTIR Spectra of NF3

Page 39: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

29

International SEMATECH Technology Transfer # 99123865B-ENG

4.7 Evaluation of Abatement Performance with Etch Studies of C4F8/CHF3/Ar

Additional FTIR and QMS studies were undertaken to determine device performance onC4F8-based etch processes, which are increasingly being used for high aspect ratio via etchapplications. A 9-point DOE varying C4F8, CF4, and Ar gas flows determined CF4 DRE andemissions reduction using O2 and H2O abatement. The process variables are given in Table 10with the following chamber conditions: 20 Gauss magnetic field, 200 mTorr (26.7 Pa) chamberpressure, and 1100 W RF power. Foreline pressure remained near 300 mTorr (40 Pa) for both O2

and H2O abatement. The reduction in global warming carbon equivalent emissions ranged from92.4–96.9% for O2 abatement and 96.6–97.8% for H2O abatement. FTIR spectra of etchbyproducts shown for no abatement (Figure 20a), O2 injection (Figure 20b), and H2O injection(Figure 20c). Nearly a nine-fold increase in HF concentration occurs with water vapor as a resultof the much higher overall fluorine content in C4F8 compared to CF4. However, higher levels ofCO2 and COF2 occur with O2 injection. Time-resolved FTIR and QMS emission profiles areshown in Figure 21 and Figure 22. The FTIR profile for the unabated emissions indicates highCO and CF4 levels. Note that CF4 levels are higher at the beginning of the spectrum and leveloff, possibly indicating increased utilization as the gas heats within the plasma, thereby reducingthe electron impact dissociation threshold. The oxidized species (CO2, CO, and COF2) arepredominant in the oxygen injection FTIR spectrum; with water injection, HF and CO levels arehighest. For the QMS spectrum with O2 injection, Ar and O2 can be seen, which are not observedin the IR. The O2 concentration decreases as it is used in reactions and ionized in the plasma. HFand its isobaric counterpart, Ar2+, are also observed. In the H2O injection spectrum, the waterconcentration is reduced immediately after the etch process begins.

Table 10 FTIR Emission Results for the Nine-Point DOE C4F8/CF4/Ar Etch Process

Parameter O2 Abatement (100 sccm) H2O Abatement (140 sccm)

MatrixPoint

C4F8

Flow(sccm)

CF4

Flow(sccm)

ArFlow

(sccm)

UnabatedOutputkgCE

AbatedOutputkgCE

kgCEReduction

(%)

ForelinePressure(mTorr)

AbatedOutputkgCE

kgCEReduction

(%)

ForelinePressure(mTorr)

1 6 10 125 0.144 0.0065 95.5 286 0.0041 97.2 266

2 10 14 175 0.241 0.0085 96.5 328 0.0063 97.4 308

3 10 10 175 0.215 0.0078 96.4 318 0.0047 97.8 300

4 6 14 125 0.168 0.0128 92.4 304 0.0053 96.8 277

5 10 10 125 0.180 0.0063 96.5 303 0.0062 96.6 284

6 6 14 175 0.168 0.0056 96.7 310 0.0040 97.6 294

7 6 10 175 0.143 0.0050 96.5 300 0.0044 96.9 285

8 10 14 125 0.238 0.0078 96.7 315 0.0062 97.4 290

Centerpoint 8 12 150 0.189 0.0059 96.9 308 0.0052 97.2 286

Page 40: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

30

Technology Transfer # 99123865B-ENG International SEMATECH

Abs

orba

nce

CF4

C4F8

CO

Emissions ppmC4F8 49C3F8 17C2F6 40CF4 186CO 770SiF4 10

Wavenumber (cm-1)

HF

SiF4

0

0.1

0.2

0.3

0.4

4500 4000 3500 3000 2500 2000 1500 1000

C2F6

8 sccm C4F8

12 sccm CF4

no abatement

a) No Abatement

8 sccm C4F812 sccm CF4

100 sccm O2308 mTorr pressure

1200 W power

0

0.05

0.10

0.15

4500 4000 3500 3000 2500 2000 1500 1000

Wavenumber (cm-1)

Abs

orba

nce

Emissions ppmCF4 11CO 447CO2 774

COF2 400HF 262SiF4 33

CO2

COF2

CO2

COF2

SiF4

CO

CF4

HF

b) Abatement Using 100 sccm O2

0

0.05

0.10

0.15

0.20

4500 4000 3500 3000 2500 2000 1500 1000

Wavenumber (cm-1)

Abs

orba

nce

CO

CO2

HF

Emissions ppmCF4 9.7CO 1702CO2 97

COF2 13H2O 529HF 2408SiF4 12

CF4H2O

SiF4

8 sccm C4F8

12 sccm CF4

140 sccm H2O 286 mTorr pressure

1200 W power

c) Abatement Using 140 sccm Water Vapor

Figure 20 C4F8/CF4/Ar Etch Emission FTIR Spectra

Page 41: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

31

International SEMATECH Technology Transfer # 99123865B-ENG

0

100

200

300

400

500

600

700

800

900

56:5

5.7

57:1

3.0

57:3

0.2

57:4

7.5

58:0

4.8

58:2

2.1

58:3

9.4

58:5

6.6

59:1

3.9

59:3

1.2

59:4

8.5

00:0

5.8

Time

Con

cent

rati

on (p

pm)

C2F4 C2F6

C3F8 C4F8

CF4 CHF3

CO CO2

COF2 H2O

HF SiF4

FTIR Spectrum of Centerpoint C4F8/CF4/Ar Process-Unabated

CF4+

HF+C2F4

+

CO+

C4F8

FTIR Spectrum of Centerpoint C4F8/CF4/Ar Process with O2

0

100

200

300

400

500

600

700

800

0:02

:53

0:03

:36

0:04

:19

0:05

:02

0:05

:46

0:06

:29

Time

Con

cent

rati

on (p

pm)

C2F4 C2F6

C3F8 C4F8

CF4 CHF3

CO CO2

COF2 H2O

HF SiF4

CO2

CO

COF2

HF

HF

CO

FTIR Spectrum of Centerpoint C4F8/CF4/Ar Process with O2

COF2

a) No Abatement b) Abatement with O2

FTIR Spectrum of Centerpoint C4F8 /CF4/Ar Process with H2O

0

500

1000

1500

2000

2500

3000

3500

0:56

:10

0:56

:53

0:57

:36

0:58

:19

0:59

:02

0:59

:46

1:00

:29

Time

Con

cent

rati

on (p

pm)

C2F4 C2F6

C3F8 C4F8

CF4 CHF3

CO CO2

COF2 H2O

HF SiF4

HF

CO

H2O

c) Abatement with H2O

Figure 21 FTIR Spectrum of Centerpoint C4F8/CF4/Ar Etch Emissions

C4F8/CF4/Ar Etch Emissions With O2

1.E-14

1.E-09

2.E-09

3.E-09

4.E-09

5.E-09

6.E-09

7.E-09

8.E-09

00:0

0.0

00:4

3.2

01:2

6.4

02:0

9.6

02:5

2.8

03:3

6.0

04:1

9.2

05:0

2.4

Time (min:sec)

Ion

Cur

ren

t (A

mps

)

H2+ He+ O+ H2O+ F+

HF/Ar2+ CF+ O2+ F2+ Ar+

CO2+ CF2+ COF2+ CF3+ C2F3+

SiF3+ C2F4+ C2F5+ C2F6+ C3F6+

C3F7+ C4F8+

Ar+

CO2+

HF/Ar2+

O2+

C4F8/CF4/Ar Etch Emissions with H2O C4F8/CF4/Ar Etch Emissions with H2O

1.E-12

2.E-09

4.E-09

6.E-09

8.E-09

1.E-08

00:0

0.0

00:4

3.2

01:2

6.4

02:0

9.6

02:5

2.8

03:3

6.0

04:1

9.2

Time (min:sec)

Ion

Cur

rent

(A

mp

s)

H2+ He+ O+

H2O+ F+ HF+/Ar2+

CF+ O2+ F2+

Ar+ CO2+ SiF+

CF2+ SiF2+ CF3+

C2F3+ SiF3+ C2F4+

C2F5+ C2F6+ C3F6+

C3F7+ C4F8+

Ar+

HF+/Ar2+

H2O+

H2+

a) O2 Injection b) H2O Injection

Figure 22 QMS Spectrum of Centerpoint C4F8/CF4/Ar Etch Emissions

Page 42: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

32

Technology Transfer # 99123865B-ENG International SEMATECH

4.8 Fluorine Emission Measurement by QMS

Experiments were performed by QMS to determine fluorine levels emitted from CF4/CHF3/Arand C4F8/CF4/Ar etch processes on blanket resist wafers from the centerpoint recipes in the DOEmatrices listed in Table 2 and Table 10. Using an exhausted gas cabinet containing an internaldynamic dilution manifold (Figure 23), the QMS was calibrated immediately after collecting theemission data by transferring the heated extraction line from the process tool to the cabinetoutlet. A quick-disconnect was used to prevent ambient air exposure to the sampling line. A1% v/v F2 certified standard was used to calibrate the QMS, and a calibration curve wasgenerated covering the range from 100–10,000 ppm. Before collecting data, a 45 minute fluorinepassivation procedure was undertaken to expose all wetted surfaces in the cabinet and transferlines to fluorine. As metal fluorides form on all the surfaces, a constant level of fluorineconcentration is maintained. A two-point polynomial equation was used to fit the data at thelower concentration range between 100 and 500 ppm with good agreement (see F2 calibrationplots in Appendix A). The results of the calibration shown in Figure 24 indicate higher F2

emissions for O2 injection than with H2O injection for both CF4/CHF3/Ar (52.6 vs. 43.9 ppm)and C4F8/CF4/Ar processes (121.0 vs. 71.6 ppm) in 45.0 liters of pump purge. Not surprisingly,the F2 emissions from the C4F8/CF4/Ar processes are significantly higher than from theCF4/CHF3/Ar processes because of the higher fluorine and lower hydrogen content in the gasmolecules.

Figure 23 Portable Exhausted Gas Cabinet for QMS F2 Quantitation with IntegralDynamic Dilution Manifold and Multiple Gas Panels

Page 43: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

33

International SEMATECH Technology Transfer # 99123865B-ENG

49.4 52.643.9

74.6

121

71.6

0

20

40

60

80

100

120

140

No Abatement With Abatement andO2 Addition

With Abatement andH2O Addition

Process

F2

Em

issi

on

s (p

pm

)

CF4/CHF3/Ar

C4F8/CF4/Ar

Medium Density Plasma Etch F2 Emissions by Process

Figure 24 QMS F2 Emissions by Process on Medium Density Oxide Etch Tool forCF4/CHF3 and C4F8/CF4 Etch Processes

4.9 Emissions Summary and Fluorine Balance

Summarized in Table 11 are emission byproducts for the centerpoint etch recipes from Table 2and Table 10 using CF4/CHF3/Ar and C4F8/CF4/Ar processes, respectively, and the two additivereaction gas options (O2 and H2O). Flows are in standard cubic centimeters (scc); included is thefluorine mass balance that accounts for all the fluorine-containing species obtained by FTIR andQMS. The data indicate significant levels of tetrafluorethylene (C2F4) and CF4 with theC4F8/CF4/Ar etch chemistry. Because of the symmetry of the C4F8 cyclic molecule, significantamounts of C2F4 can be produced downstream by recombining two CF2 radicals. A complexarray of possible breakdown product reactions (not a complete list) is illustrated below for C4F8;the reactions are typical for a large molecule.

F2C

F2C CF2

CF2

C4F8 + e- → C4F7 + FC4F7 + e- → C4F6 + FC4F7 + e- → C3F6 + CFC3F6 + e- → C2F5 + CFC3F6 + e- → C2F4 + CF2

C4F8 + e- → C4F6 + 2FC4F6 + e- → C3F5 + FC3F5 + e- → C3F4 + FC4F8 + e- → 2C2F4

C2F4 + e- → C2F3 + F

Page 44: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

34

Technology Transfer # 99123865B-ENG International SEMATECH

C4F8 + e- → C3F6 + CF2

C3F6 + F → C3F7

C3F7 + e- → C2F5 + CF2

C2F5 + F → 2CF3

C2F5 + CF3 → C3F8

CF2 + CF → C2F3

C3F6 + F → C3F7

C2F4 + e- → 2CF2

C2F4 + F → CF2 + CF3

CF2 + CF2 → C2F4

C2F4 + CF2 → C3F6

CF2 + F → CF3

CF2 + CF3 → C2F5

CF3 + e- → CF2 + FCF2 + e- → CF + FCF4 → CF2 + 2FCF3 + CF3 → C2F6

C2F6 + e- → C2F5 + FC2F5 + CF3 → C3F8

C3F8 + e- → C3F7

CF3 + O → COF2 + FCOF2 + e- → COF + FCOF + O → CO2 + FF + F → F2

CO2 + e- → CO + OO + O → O2

CO + O → CO2

The fluorine output-to-input ratio (a mass balance parameter used to indicate that all species havebeen accounted for) is significantly above unity in two of six cases; the other four are within25% of unity. Two of the three C4F8/ CF4/Ar ratios are significantly above unity—one being a1.66 ratio with no abatement and the other being a 2.67 ratio for water injection. Why such alarge deviation should occur is not apparent. Because the same FTIR method was used toquantify the emissions in all experiments, there does not seem to be a systematic errorattributable to the method. However, because HF is the largest emissions component, smallerrors in HF concentration on the order of a few percent, which are possible at such high levels(3000–4000 ppm), would induce large changes in the fluorine output-to-input ratio. As HFoutput is high in each case where the mass balance was poor, it is possible that the FTIR methodused to quantify the emissions was inaccurate for HF at these concentrations (HF is very non-linear in the concentration range above 300 ppm—see Appendix A). In any case, the quantifyingsoftware is usually successful at compensating for non-linearities. In fact, the CF4/CHF3/Ar withH2O injection also had a high concentration of emitted HF, but had a fluorine output-to-inputratio of 1.22. Because FTIR and QMS data used in the mass balance were not collectedsimultaneously, perhaps the plasma conditions or wall temperatures were not identical, resultingin different byproduct distributions.

Page 45: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

35

International SEMATECH Technology Transfer # 99123865B-ENG

A summary of the carbon equivalent emissions at the 100-year integrated time horizon byspecies for CF4/CHF3/Ar and C4F8/C/Ar processes (DOE centerpoint parameters) is shown inTable 11. Note that except for CHF3 in the unabated CF4/CHF3/Ar process recipe, CF4 remainsthe predominant global warming byproduct emitted as shown by the percentage of output.

Page 46: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

Technology Transfer # 99123865B-ENG International SEMATECH 36

Table 11 Emissions for 5 sccm CF4, 50 sccm CHF3, and 60 sccm Ar, and 8 sccm C4F8, 12 sccm CF4, and 150 sccm ArProcesses as a Function of Abatement Option (included is fluorine balance)

Process/Abatement OptionC2F4

(scc)C2F6

(scc)C3F8

(scc)C4F8

(scc)CF4

(scc)CHF3

(scc)CO(scc)

CO2

(scc)COF2

(scc)H2O(scc)

HF(scc)

SiF4

(scc)F2

(scc)F-Balance

(sccout/sccin)

CF4/CHF3/Ar-no abatement 8.05 2.74 0.49 0.00 13.68 19.78 2.65 0.39 1.40 4.54 108.77 0.81 3.99 0.82

CF4/CHF3/Ar-O2 addition 1.24 0.01 0.07 0.00 0.58 0.11 15.18 63.15 21.20 0.41 210.37 2.35 4.32 0.79

CF4/CHF3/Ar-H2O addition 0.20 0.04 0.01 0.01 0.58 0.13 99.48 5.54 1.01 103.26 411.06 0.80 5.29 1.22

C4F8/CF4/Ar-no abatement 10.86 2.94 0.72 3.82 17.49 1.73 5.40 0.21 1.31 2.93 5.62 1.46 7.42 1.66

C4F8/CF4/Ar-O2 addition 0.89 0.03 0.03 0.00 0.33 0.04 9.46 59.47 23.22 0.61 46.64 2.84 12.86 1.12

C4F8/CF4/Ar-H2O addition 0.11 0.04 0.02 0.00 0.25 0.10 99.38 6.12 1.04 96.36 298.42 0.98 8.53 2.67

Note: For the CF4/CHF3/Ar recipe, the gases flowed for 125 sec.; for the C4F8/CF4/Ar recipe, the gases flowed for 65 sec.

Page 47: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

37

International SEMATECH Technology Transfer # 99123865B-ENG

Table 12 Percentages of Carbon Equivalent Emissions by Byproduct

Species/Abatement Option%

C2F4

%C2F6

%C3F8

%C4F8

%CF4

%CHF3

%CO2

%Total

Total Emissions(kg CE, GWP100)

CF4/CHF3/Ar-no abatement 0.3 12.3 2.3 0.0 27.7 57.4 0.0 100.0 0.3437

CF4/CHF3/Ar-O2 addition 2.3 2.1 16.2 1.0 60.7 17.1 0.5 100.0 0.0066

CF4/CHF3/Ar-H2O addition 0.4 9.5 2.0 3.2 63.6 21.2 0.0 100.0 0.0063

C4F8/CF4/Ar-no abatement 0.5 16.3 4.1 29.1 43.8 6.2 0.0 100.0 0.2782

C4F8/CF4/Ar-O2 addition 2.8 13.9 12.2 0.0 59.8 10.4 0.8 100.0 0.0038

C4F8/CF4/Ar-H2O addition 0.4 15.5 7.4 0.3 48.4 27.9 0.1 100.0 0.0036

4.10 Hydrogen Backstreaming Through the Turbomolecular Pump

Hydrogen backstreaming through the turbomolecular pump is a legitimate concern, as hydrogenis a reactive gas that could affect process performance. Because the translational velocityincreases with lower mass, hydrogen and helium can pass through a turbomolecular pumpwithout ever contacting the turbine blades [24]. (For a rotor travelling at 60,000 revolutions perminute [rpm] and a blade diameter of 7.5 cm, the blade tip speed is 236 m/s; hydrogen travels at1900 m/s at 20ºC.) This is sufficient reason to avoid using hydrogen as a reactive gas.

While the plasma abatement device is operating, the pressure in the foreline ranges fromapproximately 200–300 mTorr (26.7–40.0 Pa) depending on the process, gas load, and amount ofreaction gas injected. The mean free path can be calculated according to the following equation:

nd2

120

2/1 π=λ

where: d is the molecular diameter in meters and n is the gas molecule density in one cubicmeter [25].

The mean free path for a foreline principally composed of hydrogen at this pressure range isapproximately 0.04–0.06 cm, which is smaller than foreline dimensions and the gas flow istherefore in the viscous flow regime. Because mean free path decreases with an increase inmolecular cross section, water vapor would reduce the mean free path compared to hydrogen by65%. In viscous flow, gas flow is dominated by collisions between the gas molecules rather thanby collisions between the gas molecules and the wall surfaces as in molecular flow. Gas speciesare less likely to backstream from a source far removed from the chamber.

The Peclet number was calculated to determine the likelihood of a low molecular weight speciessuch as hydrogen backstreaming. The Peclet number is the ratio of convective flow to diffusiveflow. Given the foreline pressure, tube length, flow rate through the foreline, cross-sectional areaof the foreline, gas temperature, number density and mass of the gas, and collisional crosssection of the gas molecule, the ratio of convective velocity flow through the foreline to diffusion(backstreaming) is ~36,250. A ratio of 1 would indicate equal probability for convective flowand diffusive flow.

Furthermore, data from the pump manufacturer indicate that the hydrogen compression ratio isapproximately 2500. Given a foreline pressure of 300 mTorr (40 Pa) of hydrogen, the partialpressure due to hydrogen in the chamber would be 300 mTorr/ 2500 or 1.24 × 10-4 Torr

Page 48: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

38

Technology Transfer # 99123865B-ENG International SEMATECH

(0.017 Pa), which is ~0.055% of the typical 225 mTorr chamber pressure during an etch process.Of course, the foreline is not filled with hydrogen but with water vapor, but this would representan upper limit for backstreaming. Although the pump manufacturer does not provide thecompression ratio for water, it is estimated to be on the order of 108, which would represent achamber partial pressure of 3 × 10-9 Torr (4 × 10-7 Pa) due to water vapor.

4.11 Chamber OES Data for Backstreaming Detection

Quadrupole mass spectrometry is not the optimal technique for hydrogen detection because ofthe possibility of “zero blast” (ions streaming through to the detector at the beginning of the RFscan when minimal voltage is imposed on the quadrupole rods) and hydrogen outgassing fromthe QMS vacuum chamber. OES can detect changes in the plasma from the contribution of wateror H- or OH-containing species and is better suited because of the greater sensitivity of theoptical technique and the ability to remove background signals.

Optical emission spectra were collected during the etching of bare Si wafers using 5 scm CF4 and60 sccm Ar and 1100 W RF power and 225 mTorr (30 Pa) chamber pressure. Spectra wereobtained while the abatement system was operating with water vapor flowing to the foreline;these spectra were compared to spectra obtained with the water delivery system shut off to detectsubtle changes in H or OH. In addition, two different water flows were used—one at 130 sccmand one at 145 sccm. Two different types of spectrometers were used to collect the data: a highresolution single-shot system and a lower resolution continuous scanning system. Backstreamingwould result in increased emission intensity for predominately H and OH or other OH- and H-containing species.

Water vapor injection valve adjustments were made to increase the foreline pressure, normally ata baseline of 25–30 mTorr (3.3–4.0 Pa) to 100 mTorr (13.5 Pa). By operating in servo mode, thethrottle valve maintains constant chamber pressure regardless of the foreline pressure. An etchrecipe using only CF4 and Ar was used to etch bare Si wafers in the chamber to simplify theresulting spectral data. An argon-only plasma was not used since this would have been moredestructive on the chamber hardware.

The high resolution single-shot OES uses a high performance charge-coupled device (CCD) witha movable grating for multiple wavelength ranges. This system was used to collect multiplespectra of the plasma during the etch process by creating a collection macro to acquire“snapshots” of the plasma from 200–900 nm (Figure 25). The collected spectra were reviewedfor small changes in the plasma that would indicate the presence of water vapor or other OH- orH-containing species. This spectrometer is capable of wavelength resolution to 0.1 nm, whichaids identification of changing species. A limiting factor in this approach is the inability tocollect time-resolved spectra. To obtain these data, a second OES system was used with a fixedgrating system and a wavelength range from 236–856 nm and a resolution of 1.2 nm (Figure 26).Data were collected and stored as rapidly as in 10 msec increments and were analyzed forintensity changes at specific wavelengths extending across the entire spectrum. Three data pointswere collected: the valve closed and the valve adjusted to flow 130 sccm (7 turns open) and145 sccm (8 turns open) of water vapor, with a difference of approximately 10 mTorr (1.3 Pa)between these two valve positions (Table 13).

Page 49: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

39

International SEMATECH Technology Transfer # 99123865B-ENG

High Resolution Charge-Coupled Device (CCD) OES Spectrum

0

10000

20000

30000

40000

50000

60000

70000

200 300 400 500 600 700 800 900

Wavelength (nm)

Sig

nal C

ou

nts

Figure 25 High Resolution OES Spectrum of CF4/Ar Plasma During H2OBackstreaming Tests from 200–900 nm in 300 nm Increments and aResolution of 0.1 nm

Scanning OES Spectrum

0

500

1000

1500

2000

2500

3000

3500

4000

4500

236 336 436 536 636 736 836

Wavelength (nm)

Sign

al C

ount

s

Figure 26 Low Resolution, Continuous Scan OES Spectrum of CF4/Ar Plasma DuringH2O Backstreaming Tests Using a Fixed Grating System with a WavelengthRange from 236–856 nm and a Resolution of 1.2 nm

Table 13 OES Data Foreline Pressure at Two Valve Settings: 3250 ppm and 3630 ppmWater Concentration (measured by FTIR)

Foreline Pressure – No Plasma(mTorr)

Foreline Pressure – Plasma On(mTorr)Water

Concentration(ppm)

High ResolutionOES

ScanningOES

High ResolutionOES

ScanningOES

0 53 53 150 148

3250 89 91 194 195

3630 101 102 206 206

Page 50: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

40

Technology Transfer # 99123865B-ENG International SEMATECH

The spectral data were analyzed at wavelengths indicative of the components of water vapor.Special attention was given to OH at 281.1, 281.6, 281.9, 282.9, 306.4, 306.7, 307.8, 308.9, and356.5 nm (Figure 28). The spectral lines pertaining to H appear at 397.0, 410.2, 434.0, 486.1(Figure 29), and 656.3 nm (Figure 30). Data from both OES systems were exported into aspreadsheet for further analysis. Valve-closed data were subtracted from valve-open data(Figure 28 through Figure 30) for the entire spectral range of 275–675 nm.

OES Spectral Subtraction Overlay Plot

0

20

40

60

80

100

120

140

275 325 375 425 475 525 575 625

Wavelength (nm)

Sig

nal

Cou

nts

Open 8 -Open 7 Open 8 - closed Open 7 - closed

Figure 27 OES Spectral Subtraction Plots from 275–660 nm

OES Spectral Subtraction Overlay Plot

0

10

20

30

40

50

60

70

80

90

100

275 285 295 305 315 325 335 345 355

Wavelength (nm)

Sign

al C

ount

s

Open 8 -Open 7 Open 8 - closed Open 7 - closed

Figure 28 OES Spectral Subtraction Plots Between Valve Opened and Closed PositionsFrom 275–360 nm Showing No Discernible Differences in spectral FeaturesAttributed to OH (281.1, 281.6, 281.9, 282.9, 306.4, 306.7, 307.8, 308.9, and356.5 nm)

Page 51: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

41

International SEMATECH Technology Transfer # 99123865B-ENG

OES Spectral Subtraction Overlay Plot

0

10

20

30

40

50

60

70

80

90

100

390 400 410 420 430 440 450 460 470 480 490

Wavelength (nm)

Sig

nal

Cou

nts

Open 8 -Open 7 Open 8 - closed Open 7 - closed

Figure 29 OES Spectral Subtraction Plots from 390–490 nm Showing No SpectralFeatures Attributed to H (397.0, 410.2, 434.0, and 486.1 nm)

OES Spectral Subtraction Overlay Plot

0

10

20

30

40

50

60

70

80

90

100

640 645 650 655 660 665 670 675

Wavelength (nm)

Sig

nal

Cou

nts

Open 8 -Open 7 Open 8 - closed Open 7 - closed

Figure 30 OES Spectral Subtraction Plots From 640–675 nm Showing No SpectralFeatures Attributed to H at 656.3 nm

There were no significant spectral features attributed to OH and H after peak subtraction. Theonly significant features were caused by slight differences in peak widths attributed todifferences in plasma brightness between the runs. There were also no significant changes inintensity over the course of the etch process. If water vapor backstreamed into the chamberbetween wafers when the plasma was off, the OH and H signals would change during the etchprocess as water is removed from the etch chamber. Figure 31 is a time vs. intensity plot for

Page 52: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

42

Technology Transfer # 99123865B-ENG International SEMATECH

H and OH species during the 200-second etch step. It is evident that no changes occur during theetch process.

OES H and OH Signal Intensity vs. Time

0

100

200

300

400

500

600

700

800

0 50 100 150 200 250

Time (Seconds)

Sign

al C

ount

sH-656 nm

H-397 nm

H-410 nm

H-434 nm

H-486 nm

OH-282 nm

OH-306 nm

OH-307 nm

OH-308 nm

OH-356 nm

Figure 31 Time-Resolved OES Spectra for Various Wavelengths Containing H or OHSpecies

To summarize, spectral data from two spectrometers did not show significant differences in thewater vapor fingerprint beyond normal run-to-run statistical variation. Within the detection limitsof the analytical equipment, the data support the conclusion that no water vapor from theabatement system backstreams to the plasma etch chamber.

4.12 Internal Pump Component Inspection

After approximately 8 months of processing 10,882 wafers during 254 chamber RF hours, themechanical pump was removed and shipped to the manufacturer for inspection and refurbish-ment. The pump was disassembled and examined for any effects of prolonged exposure to HF,F2, and H2O vapor; this included measuring internal components for comparison with factoryspecifications. Neither corrosion in the intake manifold nor any O-ring deterioration wereobserved. No particulate matter such as SiO2 was observed in the silencer or in the forelinedownstream of the abatement device. When the inlet to the silencer was removed, only normalTeflon buildup resulting from claw rotor wear (Figure 32) was seen. When the bearinginspection cover was removed, the Krytox grease appeared normal, with no indication of bearingseal failure (Figure 33). The claw rotors in all four stages were all within normal factoryspecifications and did not undergo any discernible corrosion (Figure 34). The lobes at the pumpinlet and the stator appeared to be extremely clean and corrosion-free and were within factoryspecifications for a new pump (Figure 35 and Figure 36). The disassembly supervisor noted thatthe pump appeared as clean as a loadlock pump. Given the gases to which the pump had beenexposed, he was surprised at its appearance.

Page 53: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

43

International SEMATECH Technology Transfer # 99123865B-ENG

Figure 32 Dry Pump Silencer InletShowing Normal Teflon BuildupFrom Claw Wear

Figure 33 Clean Krytok Gear GreaseIndicates No Pump SealFailure

Figure 34 Claw Indicating No TeflonDegradation From High HFLevels

Figure 35 Clean Lobes and StatorIndicate No Breakdown ofInternal Pump Components

Figure 36 Close-up of Lobes and Stator Surface Showing No Abrasion or Film Buildup

Page 54: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

44

Technology Transfer # 99123865B-ENG International SEMATECH

5 SUMMARY AND FUTURE PLANS

The device has demonstrated satisfactory performance during the 8 months of its operation, witha CF4 DRE similar to the levels obtained using a smaller diameter plasma tube, but with asignificant reduction in foreline pressure. The device was successfully integrated into theexisting tool control hardware, and a shut-off delay was implemented. The device hasdemonstrated excellent COO during the evaluation, with the only required periodic maintenancebeing refilling the DI water reservoir.

The device has operated during the processing of more than 11,500 wafers over 270 hours of toolchamber plasma-on time. Tests were conducted to evaluate performance under a variety ofconditions using FTIR and QMS to quantify emissions. Experiments included a 1050-wafermarathon, high CF4/Ar gas flow experiments to simulate 300 mm wafer gas flows, CF4/CHF3/Arand C4F8/CF4/Ar etch process DOEs as a function of etchant gas flow, and gas flow evaluationswith C4F8, SF6, and NF3. Oxygen and water vapor injection was evaluated by determining DREand byproduct distribution differences. The results are summarized in Table 14.

Table 14 Emission Summary

O2 Injection H2O Injection

PrincipleGas

Experiment GasFlow DOE Etch DOE

DRE(%)

kgCE (GWP100)Reduction (%)

DRE(%)

kgCE (GWP100)Reduction (%)

0–50 sccm CF4

0–150 sccm Ar95.2–99.7

(CF4)94.8–99.8

50–100 sccm CF4

0–200 sccm Ar90.7–99.1

(CF4)84.6–98.3

(CF4)CF4

4–6 sccm CF4

40–60 sccm CHF3

50–70 sccm Ar

92.3–97.5(CF4)

96.8–98.9

0–20 sccm C4F8

0–150 sccm Ar>98

(CF4)>99

(CF4)

C4F8 6–10 sccm C4F8

10–14 sccm CF4

0–150 sccm Ar

92.4–96.9 96.6–97.8

SF612.5–50 sccm SF6

0–150 sccm Ar14.1–>99

(SF6)14.1–>99 95.4–>99

(SF6)95.4–>99

NF312.5–100 sccm NF3

0–150 sccm Ar92.7–>99

(NF3)92.7–>99 >99

(NF3)>99

No device failures or interruptions have occurred, and there have been no negative impacts onetch process performance attributed to the device. When the mechanical pump was disassembledand the internal components inspected for corrosion, no discernible effects on the pump lobes,claws, or stator were observed in spite of the prolonged exposure to H2O and HF. Plans are tocontinue operating the device indefinitely and to periodically test the unit for DRE and byproductformation. When the device is removed, the foreline will be examined for deposits and theceramic plasma tube measured for erosion.

Page 55: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

45

International SEMATECH Technology Transfer # 99123865B-ENG

6 REFERENCES[1] A. Ravishankara, S. Solomon, A. Turnipseed, R. Warren, “Atmospheric Lifetimes of

Long-Lived Halogenated Species,” Science, Vol. 259, 194, 1993.

[2] J. Houghton, L. Meira Filho, B. Callander, N. Harris editors, Climate Change 1995, TheScience of Climate Change, Cambridge University Press, NY, 1996.

[3] Climate Change 1994, Radiative Forcing of Climate Change, eds. J. Houghton et al,Cambridge University Press, NY, NY (1995).

[4] D. Wuebbles, The Effects of Perfluorocompounds on the Global Environment, U.S. EPAConference on Perfluorocompound Emissions Control.

[5] U.S. EPA Report of PFC Emissions 1990-1996.

[6] Framework Convention on Climate Change, Conference of the Parties, Third Session.Agenda Item 5, Kyoto, December 1–10 (1997).

[7] H. Denton, An Effective In Situ Clean for PECVD to Reduce PFC Emissions, presented atA Partnership for PFC Emission Reductions, SEMICON Southwest, October 1996.

[8] L. Mendicino, S. Filipiak, P. Brown, J. Langan, R. Ridgeway, A. Johnson, R. Pierce, P.Maroulis, A. Atherton, Evaluation of the Applied Materials Micro Clean Technology forDxZ Chamber Clean for Perfluorocompound (PFC) Emissions Reduction, SEMATECHTechnology Transfer #98083547B-TR, 1998.

[9] L. Mendicino, P. Brown, S. Filipiak, L. Beu, A. Johnson, R. Pierce, P. Maroulis, R.Basnett, W. Holber, Motorola Evaluation of Applied Science and Technology, Inc.(ASTEX) Astron Technology for PFC Emissions Reductions on Applied Materials DxLCVD Chamber, SEMATECH Technology Transfer #99033697A-TR, 1999.

[10] A. Chang, and M. Daniels, Evaluation Of C3F8 and TFAA as Chamber Cleaning Gases inan Applied Materials 5200 TEOS Deposition Tool, SEMATECH Technology Transfer#98063537A-ENG, 1998.

[11] L. Zazzera, W. Reagan, A. Cheng, J. Electrochem. Soc. 144 (10), 3597 (1997).

[12] S. Wolf, R. Tauber, “Silicon Processing for the VLSI Era,” Vol. 1-Process Technology,2nd ed., Lattice Press, Sunset Beach, CA, 2000.

[13] V. Mohindra, H. Chae, H. Sawin, and M. Mocella, IEEE Trans. Semi. Mfg. 10 (3), 399(1997).

[14] C. Hartz, J. Bevan, M. Jackson, B. Wofford, “Innovative Surface Wave Plasma ReactorTechnique for PFC Abatement,” Env. Sci. and Tech., 32 (5), 685, 1998.

[15] V. Vartanian, L. Beu, T. Lii, B. Wofford, C. Hartz, J. Bevan, Evaluation of RFEnvironmental Systems/Texas A&M University Surface Wave Plasma Device forAbatement of Perfluorocompound (PFC) Emissions, SEMATECH Technology Transfer#98093561A-ENG, 1998.

[16] E. Tonnis, V. Vartanian, L. Beu, T. Lii, R. Jewett, D. Graves, Performance Evaluation ofa Litmas “Blue” Point-of-Use Plasma Abatement Device for PFC Destruction,SEMATECH Technology Transfer #98123605A-ENG, 1998.

[17] Handbook of Chemistry and Physics, 71st Edition, CRC Press, Boca Raton FL, 1990.

[18] D. McMillen, D. Golden, Ann. Rev. Phys. Chem, 33, 493, 1982.

[19] K. Hubert, G. Herzberg, Molecular Spectra and Molecular Structure Constants ofDiatomic Molecules, Van Nostrand, New York, 1979.

Page 56: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

46

Technology Transfer # 99123865B-ENG International SEMATECH

[20] H. Emelius, “The Chemistry of Fluorine and Its Compounds,” Academic Press, NewYork, 1969.

[21] F. Cotton, G. Wilkinson, “Advanced Inorganic Chemistry,” 4th ed. John Wiley and Sons,New York, 1980.

[22] L. Pruette, S. Karecki, R. Chatterjee, R. Reif, T. Sparks, V. Vartanian, NF3-Based OxideEtch Processes for Perfluorocompound (PFC) Emissions Reduction Feasibility Study,SEMATECH Technology Transfer #99113851A-TR, December 15, 1999.

[23] M. Stacey, J. Tatlow, A. Sharpe, Advances in Fluorine Chemistry, Vol. 3, Butterworths,Washington DC (1961).

[24] N. Harris, Modern Vacuum Practice, McGraw Hill, London, 1989.

[25] J. O’Hanlon, “A User’s Guide to Vacuum Technology,” 2nd edition, Wiley Interscience,New York, 1989.

Page 57: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

47

International SEMATECH Technology Transfer # 99123865B-ENG

APPENDIX AFTIR and QMS Calibration Curves

CF4 0.972% 10 cm 121°C

0.00

5.00

10.00

15.00

20.00

25.00

30.00

35.00

40.00

0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Area (adj.)

Height (adj.)

CF4 0.972% 10 cm 121°C

y = 1658.4xR2 = 0.8813y = 12424x

R2 = 0.99360.00

1.00

2.00

3.00

4.00

5.00

6.00

7.00

0.00% 0.01% 0.02% 0.03% 0.04% 0.05% 0.06%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

CF4 0.972% 10 cm 121°C

y = 2128.5x

R2 = 0.9762

y = 13423x

R2 = 0.9973

0.00

0.50

1.00

1.50

2.00

2.50

3.00

0.00% 0.01% 0.01% 0.02% 0.02% 0.03%Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6Series3

Series4

Linear H

Linear A

Figure A-1 CF4 Calibration Curves Integrated Between 1200–1350 cm-1

CHF 3 0.996% 10 cm 121°C

0.00

5.00

10.00

15.00

20.00

25.00

30.00

35.00

40.00

0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Area (adj.)

Height (adj.)

CHF 3 0.996% 10 cm 121°C

y = 366.54x

R2 = 0.9953

y = 7405.3x

R2 = 0.9983

0.00

1.00

2.00

3.00

4.00

5.00

6.00

0.00% 0.01% 0.02% 0.03% 0.04% 0.05% 0.06% 0.07% 0.08%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

Figure A-2 CHF3 Calibration Curves Integrated Between 1060–1290 cm-1

Page 58: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

48

Technology Transfer # 99123865B-ENG International SEMATECH

C3F8 0.997% 10 cm 121°C

y = 538.92x

R2 = 0.9946

y = 17309x

R2 = 0.9994

0.00

2.00

4.00

6.00

8.00

10.00

12.00

14.00

0.00% 0.01% 0.02% 0.03% 0.04% 0.05% 0.06% 0.07% 0.08%

Actual Conc. (%)A

. U.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

Figure A-3 C3F8 Calibration Curves Integrated Between 900–1500 cm-1

SiF4 1.10% 10 cm 121°C

y = 508.01x

R2 = 0.9502

y = 9097.3xR2 = 0.9913

0.00

2.00

4.00

6.00

8.00

10.00

12.00

0.00% 0.02% 0.04% 0.06% 0.08% 0.10% 0.12%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

COF2 0.997% 10 cm 121°C

y = 85.323x

R2 = 0.9976

y = 4288x

R2 = 0.9986

0.00

5.00

10.00

15.00

20.00

25.00

0.00% 0.10% 0.20% 0.30% 0.40% 0.50% 0.60%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

Figure A-4 SiF4 Calibration CurvesIntegrated Between 930–1110 cm-1

Figure A-5 COF2 Calibration CurvesIntegrated Between 1120–1340 cm-1

Page 59: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

49

International SEMATECH Technology Transfer # 99123865B-ENG

HF 0.993% 10 cm 121°C

0.00

0.05

0.10

0.15

0.20

0.25

0.30

0.35

0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

HF 0.993% 10 cm 121°C

y = 68.345x

R2 = 0.9688

y = 54.423x

R2 = 0.9574

0.00

0.02

0.04

0.06

0.08

0.10

0.12

0.14

0.16

0.18

0.00% 0.05% 0.10% 0.15% 0.20% 0.25% 0.30% 0.35%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear (Series4)

Linear (Series3)

HF 0.993% 10 cm 121°C

y = 98.225x

R2 = 0.9973

y = 93.31x

R2 = 0.8843

0.00

0.01

0.01

0.02

0.02

0.03

0.03

0.00% 0.01% 0.01% 0.02% 0.02% 0.03% 0.03% 0.04%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear (Series4)

Linear (Series3)

Figure A-6 HF Calibration Curves Integrated Between 4060–4090 cm-1

CO 1.00% 10 cm 121°C

0.00

0.50

1.00

1.50

2.00

2.50

3.00

3.50

4.00

4.50

0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

CO 1.00% 10 cm 121°C

y = 33.134x

R2 = 0.9962

y = 590.36x

R2 = 0.9983

0.00

0.20

0.40

0.60

0.80

1.00

1.20

1.40

0.00% 0.05% 0.10% 0.15% 0.20% 0.25%

Actual Conc. (%)

A. U

.

0

0.01

0.02

0.03

0.04

0.05

0.06

0.07

0.08

0.09

0.1

Series3

Series4

Linear H

Linear A

Figure A-7 CO Calibration Curves Integrated Between 2000–2250 cm-1

Page 60: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

50

Technology Transfer # 99123865B-ENG International SEMATECH

CO2 1.00% 10 cm 121°C

0.00

5.00

10.00

15.00

20.00

25.00

30.00

0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

CO2 1.00% 10 cm 121°C

y = 232.02x

R2 = 0.99

y = 5570.3x

R2 = 0.9975

0.00

0.50

1.00

1.50

2.00

2.50

3.00

0.00% 0.01% 0.02% 0.03% 0.04% 0.05% 0.06%

Actual Conc. (%)

A. U

.

0

0.05

0.1

0.15

0.2

0.25

0.3

0.35

0.4Series3

Series4

Linear H

Linear A

Figure A-8 CO2 Calibration Curves Integrated Between 2200–2450 cm-1

NO2 1.01% 10 cm 121°C

y = 58.876x

R2 = 0.9929

y = 2378.4x

R2 = 0.9968

0.00

5.00

10.00

15.00

20.00

25.00

30.00

0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

H2O 0.3050% 10 cm 121°C

y = 10.755xR2 = 0.9989

y = 10.656xR2 = 0.9962

0.00

0.01

0.01

0.02

0.02

0.03

0.03

0.04

0.00% 0.05% 0.10% 0.15% 0.20% 0.25% 0.30% 0.35%

Actual Conc. (%)

A. U

.

0

0.01

0.02

0.03

0.04

0.05

0.06

0.07

0.08

0.09

0.1

Series3

Series4

Linear H

Linear A

Figure A-9 NO2 Calibration CurvesIntegrated Between 1500–1680 cm-1

Figure A-10 H2O Calibration CurvesIntegrated Between 3300–4130 cm-1

C2F4 1.00% 10 cm 121°C

y = 130.71x

R2 = 0.9995

y = 4044.6x

R2 = 0.9996

0.00

2.00

4.00

6.00

8.00

10.00

12.00

14.00

0.00% 0.05% 0.10% 0.15% 0.20% 0.25% 0.30% 0.35%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

NF3 0.995% 10 cm 121°C

y = 170.58x

R2 = 0.998

y = 3887.6x

R2 = 0.9997

0.00

1.00

2.00

3.00

4.00

5.00

6.00

7.00

8.00

9.00

0.00% 0.05% 0.10% 0.15% 0.20% 0.25%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

Figure A-11 C2F4 Calibration CurvesIntegrated Between 1260–1380 cm-1

Figure A-12 NF3 Calibration CurvesIntegrated Between 800–965 cm-1

Page 61: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

51

International SEMATECH Technology Transfer # 99123865B-ENG

C4F8 1.00% 10 cm 121°C

0.00

5.00

10.00

15.00

20.00

25.00

30.00

0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

C4F8 1.00% 10 cm 121°C

y = 211.8x

R2 = 0.9983

y = 3883x

R2 = 0.9993

0.00

1.00

2.00

3.00

4.00

5.00

6.00

7.00

8.00

9.00

0.00% 0.05% 0.10% 0.15% 0.20% 0.25%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6Series3

Series4

Linear H

Linear A

Figure A-13 C4F8 Calibration Curves Integrated Between 890–1070 cm-1

SF6 0.99% 10 cm 121°C

0.00

2.00

4.00

6.00

8.00

10.00

12.00

14.00

16.00

18.00

0.00% 0.05% 0.10% 0.15% 0.20% 0.25%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

SF6 0.99% 10 cm 121°C

y = 1034.7x

R2 = 0.9922

y = 11633x

R2 = 0.9955

0.00

1.00

2.00

3.00

4.00

5.00

6.00

7.00

0.00% 0.01% 0.02% 0.03% 0.04% 0.05% 0.06%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

Figure A-14 SF6 Calibration Curves Integrated Between 825–1025 cm-1

SO2 1.00% 10 cm 121°C

y = 43.349x

R2 = 0.999

y = 1772.2x

R2 = 0.9995

0.00

2.00

4.00

6.00

8.00

10.00

12.00

14.00

16.00

18.00

20.00

0.00% 0.20% 0.40% 0.60% 0.80% 1.00% 1.20%

Actual Conc. (%)

A. U

.

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Series3

Series4

Linear H

Linear A

Figure A-15 SO2 Calibration Curves Integrated Between 1260–1430 cm-1

Page 62: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

52

Technology Transfer # 99123865B-ENG International SEMATECH

QMS F2 Calibration Curve

y = 2.28E-13xR2 = 9.95E-01

0.0E+00

5.0E-10

1.0E-09

1.5E-09

2.0E-09

2.5E-09

0 2000 4000 6000 8000 10000 12000

F2 Concentration in N2 (ppm)

Ion

Cu

rren

t (A

mp

s)

Figure A-16 QMS Calibration Curve with Linear Least Squares Fit to Data From100–10,000 ppm Range

QMS F2 Calibration Curve

y = 2.51E-16x2 + 6.34E-14xR2 = 9.98E-01

0.0E+00

1.0E-11

2.0E-11

3.0E-11

4.0E-11

5.0E-11

6.0E-11

7.0E-11

8.0E-11

9.0E-11

1.0E-10

0 100 200 300 400 500 600

F2 Concentration in N2 (ppm)

Ion

Cu

rren

t (A

mp

s)

Figure A-17 QMS Calibration Curve Using Two-Term Polynomial Fit to Data From100–500 ppm Range

Page 63: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel
Page 64: Long-Term Evaluation of the Litmas Blue Plasma Device … (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... (POU) Perfluorocompound and Hydrofluorocarbon Abatement ... Miguel

International SEMATECH Technology Transfer2706 Montopolis Drive

Austin, TX 78741

http://www.sematech.orge-mail: [email protected]