22
Light Control Challenges and Solutions Backside Illuminated CMOS Image Sensors Russ Perry Applied Materials Japan Technical Symposium December 7 th , 2012

Light Control Challenges and Solutions

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Light Control Challenges and Solutions Backside Illuminated CMOS Image Sensors

Russ Perry Applied Materials

Japan Technical Symposium December 7th, 2012

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Outline Reflectivity and light control challenges in BSI CIS Emerging Anti Reflective Coating requirements

– Top ARC for lens coating – Bottom ARC – Graded ARC concepts

Light shielding and light reflecting requirements Summary

2

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Scaling Trend in CIS Pixel Size

Pixel size shrink drives CIS technology node; FSI will transfer to BSI for smaller pixel (< 1.4µm) and high performance sensor

Source: http://www.imagesensors.org/Past%20Workshops/2009%20Workshop/2009%20Papers/BSI%2005_Wuu.pdf iPhone 5 Lumia 920 Galaxy SIII

3

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Mobile Era Image Sensor Revolution

Higher resolution, smaller size camera modules

Ligh

t sen

sitiv

ity

Impr

oved

low

ligh

t im

age

qual

ity

73% more captured light*

Apple iPhone 5

Apple iPhone 3GS

Shrinking pixel size

4

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Transition to BSI Increases Light Collection Frontside illumination Backside illumination

BSI enables wider Chief Ray Angles (CRAs); Metal layers in FSI form an aperture, limiting the pixel’s acceptance angle

Interconnect

Microlens

Photodiode

Interconnect Color Filter

Photodiode Pixel

Microlens

R G B

5

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only 6

Reflectivity Control Challenges in BSI

Bonding

Bonding

Glass

Silicon

Cu

TSV

Bump

Polymer PVD UBM

Cu

TSV

Challenges in Microlens Area Reflection loss at air/microlens

interface In-situ O2 plasma in

conventional CVD technique causes damage to microlens

Solution Advanced CVD ARC film with

lower RI than typical oxide O2 plasma free

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only 7

Reflectivity Control Challenges in BSI

Bonding

Bonding

Glass

Silicon

Cu

TSV

Bump

Polymer PVD UBM

Cu

TSV

Challenges at the Diode/filter interface

Reflection loss at the color filter/photodiode interface

Solution Bottom ARC film to minimize reflection at interfaces

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only 8

Reflectivity Control Challenges in BSI

Bonding

Bonding

Glass

Silicon

Cu

TSV

Bump

Polymer PVD UBM

Cu

TSV

Challenges in Pixel isolation Optical crosstalk in pixel (photodiode)

area increases noise Solution PVD metal shielding film : Al, W, etc.

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only 9

Reflectivity Control Challenges in BSI

Bonding

Bonding

Glass

Silicon

Cu

TSV

Bump

Polymer PVD UBM

Cu

TSV

Challenges in Quantum Efficiency Improved efficiency across RGB

spectrum Solution Bottom light reflection layer

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Microlenses focus the incident light onto the light sensitive part of the pixel, resulting in an increase of the quantum efficiency (QE) of the sensor

Microlenses Key to Light Collection

Photodiode

R B G

Schematic taken from: http://www.microscopyu.com/articles/digitalimaging/ccdintro.html

10

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Microlens Anti-Reflective Coating

Microlens Nsub~1.55

Air no=1.0

Incident Light

Photodiode

Incident Light

Photodiode

Without ARC With ARC

Reflection cancellation

Light reflection loss at microlens surface can be mitigated with an antireflective coating

The ideal refractive index for this ARC should be ~(no*nsub)1/2 ~1.245 Minimum reflection and maximum transmission (k=0) at 400-700nm

wavelength

Color filter

Reflected Light

Color filter

ARC

External Use Silicon Systems

11

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Process Compatibility with Polymeric Lens

Lens coating requirements

Low RI, Transparent

Conformal, enhance light capture at lens edge

Thermal budget <200°C

No direct O2 plasma exposure, no damage to lens

Tensile stress below cracking threshold

Microlens

Color Filter

Photodiode

External Use Silicon Systems

12

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Reflectivity Performance

Higher resolution, smaller size camera modules

Ref

lect

ivity

Refractive Index

Microlens

Silicon Oxide

MgF2 Advanced CVD ARC

Ideal ARC

Advanced CVD ARC Proves to Reduce Reflectivity and Improves Quantum Efficiency; C&F Study Shows that Lower RI is Possible

ULRI ARC C&F

13

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Advanced CVD ARC Film Properties

Attribute Value Thickness (A) 950

RI at 400nm 1.395

k at 400nm 0.002

RI at 550nm 1.378

k at 550nm 0

RI at 700nm 1.373

k at 700nm 0

Thk change after 85/85 1Å

RI change after 85/85 < 0.001

Stress (MPa) 5 0

0.05

0.1

0.15

0.2

1.361.371.381.39

1.41.411.421.431.441.451.46

0 500 1000

Extin

ctio

n Co

effic

ient

Refr

activ

e in

dex

Wavelength (nm)

Dispersion Curve of ARC Film

n k

Advanced CVD ARC Film Showed Stable Shelf Life and Near Neutral Stress

14

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Quantum Efficiency Improvement With Advanced CVD ARC

Higher resolution, smaller size camera modules

Qua

ntum

Effi

cien

cy

WaveLength

Oxide on top of lens

400nm 800nm

Observed Increase in Quantum Efficiency at Each Pixel Color

Advanced CVD ARC on top of lens

15

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Bottom (Backside) Antireflective Coating (ARC) Organic Spacer

Lens

Color Filter Light Shielding Film

BSI Substrate Photo Diode

Pixel Circuitry

Wafer Bond

Periphery Circuitry

Periphery FEOL

Bottom ARC

Functions Enabling Capabilities • Decrease reflection • Increase transmittance of RGB light • Optimize quantum efficiency • Improve signal to noise ratio

• High and tunable RI for shrinking pixel size • Extinction coefficient = 0 • Material selection and composition • Multiple layers

Reference: “Recent Innovations in CMOS Image Sensors”, Ray Fontaine, Chipworks 2011

Transition metal oxides with high RI, such as TaOx, deposited by PVD sputtering are commonly used in smaller pixels size BSI CIS

Snell’s Law sin𝜃1

sin𝜃2=𝑛2

𝑛1

Color Filter

Bottom ARC 𝜃1

𝜃2 𝑛2

𝑛1

inte

rface

Photo Diode

16

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Graded DARC: Gradual Material shift with RI Matching to Top and Bottom Substrate

In-situ Gas/liquid Ramping Technology Enables Single Pass Deposition That Provides Gradual RI Changes Between Layers of

Different Materials

Equation for Reflectivity: Minimum Delta in RI between interface = minimum reflectance

Refractive Index

Extinction Coefficient

1.46

4.2 0.2

0 Oxide

Silicon R =

(n 1 – ik1) – (n 2 – ik2)

(n 1 – ik1) + (n 2 – ik2)

2

Fresnel Equation:

17

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Light Shielding Films Organic Spacer

Lens

Color Filter Light Shielding Film

BSI Substrate Photo Diode

Pixel Circuitry

Wafer Bond

Periphery Circuitry

Periphery FEOL

ARC

Functions Enabling Capabilities

• Reduce cross-talk between pixels • Shield periphery circuitry from light

• Prevents performance degradation • Reduces noise

• Shield black reference pixels from light

• Opaque film at thin thickness • No pinholes • Thermally stable film • Materials common to CMOS manufacture • Materials easy to etch

Si

Nitride Oxide Nitride

Epoxy

Blue filter

Organic microlens film

W

Oxide

PVD Tungsten and Aluminum are two commonly used light shielding materials

Source: Chipworks

18

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Organic Spacer Lens

Color Filter Light Shielding Film

BSI Substrate Photo Diode

Pixel Circuitry

Wafer Bond

Periphery Circuitry

Periphery FEOL

ARC

Functions Enabling Capabilities

• Reflect light that passes through the photo diode back to PD for increased quantum efficiency • Especially red and IR wavelengths

• Allows for thinning of photo diode silicon • Better QE for blue light

• Reflector may also serve as an interconnect

• High reflectivity for targeted wavelengths

• Low resistivity if serving double purpose as interconnect.

Insulator

e-

Photo Diode

ARC

Color Filter

Insulator

Reflecting Layer

Light reflecting films can include Cu, Al, W, Ti, Ta and others

Reflecting Layer

Light Reflecting Films Lens

19

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

PVD Solutions Focused on CIS HVM

Industry system of choice for high volume manufacturing PVD deposition >5,000 systems shipped

Endura™ Platform

Versa™ PVD and STD PVD

Pulsed – DC PVD

DC +

Optional

Optional

+/- Pulsed

DC Deposition of dielectric films with high purity, high density, low thermal budget and high dep rate P-DC TaOx Bottom ARC

in production Multiple customers

Pure metal films with excellent uniformity, low defects, tunable stress, and low COO W and Al films for light

shielding used in production

>600 Chambers (all applications)

TaOx, HfOx, TiOx, AlOx, SiOx, SiN, ITO…

Al, Cu, W, Ti, TiN, Ta, TaN, Alloys…

20

Applied Materials Confidential / Restricted Use Only / 2012 Applied Materials Technical Symposium in Japan (CMOS Image Sensors) Attendees Only

Family of high quality dielectrics that meet the low thermal budgets for CMOS image sensor and TSV

– Stable process; no temperature excursions

– In-situ “seamless” thick films – Excellent hermeticity and electrical

properties – Multi-layer film capability

Temperature control and single

wafer architecture enable superior productivity and repeatability

Running production at >10 customer sites since release last year at Semicon Japan

Applied Producer® Avila™ Very Cool, Very Fast

CIS and TSV Applications

Producer Avila Offers a Family of Robust, Low-Temperature CVD Films

ARC

Insulation liner

Passivation

21