55
Impact of Custom Interconnect Masks on Cost and Performance of Structured ASICs Final Doctoral Exam Usman Ahmed Department of Electrical and Computer Engineering April, 2011

Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

Impact of Custom Interconnect Masks on Cost and Performance of Structured ASICs

Final Doctoral Exam

Usman AhmedDepartment of Electrical and Computer Engineering

April, 2011

Page 2: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

2

Overview

• Motivation

• Research Problem

• Previous Work

• Contributions– Cost Model to Estimate Structured ASIC Die-cost

– Structured ASIC Evaluation Framework

– Area, delay, power, and die-cost trends for Structured ASICs

• Limitations and Future work

Page 3: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

3

Motivation

IP Blocks (e.g., memories,

multipliers, microprocessors)

I/O Cores Logic Fabric

- All mask layers are customized for a design

Standard-cell ASIC/Cell-based IC

- Most layers are prefabricated, shared by all designs

- User design obtained by customizing only a few

interconnect layers

Structured ASIC

- All mask layers are prefabricated, shared by all designs

- User design obtained by programming memory cells

FPGA

Interconnect Layers

TransistorLayers

Cross-section

Masks are

Used to

Fabricate

Each Layer

Masks are used to

fabricate each layer

Page 4: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

4

Motivation

Page 5: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

5

Research Problem

How is the cost and performance of Structured ASICsaffected by the number of custom masks?

Page 6: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

6

Types of Structured ASICs

• Which masks need to be customized?

InterconnectLayers

Transistors

Via Programmable(VPSA)

Metal-and-via Programmable(MPSA)

Page 7: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

7

Types of Structured ASICs

Page 8: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

8

Previous Work

• Academic Efforts– Ran & Sadowska: VPSA logic and interconnect fabrics

– Pillegi et al. and Koorapaty et al.: VPSA logic block

– Kheterpal et al.: VPSA interconnect fabrics

– Veredas et al.: MPSA (Zelix)

– Nakamura et al.: VPSA (VPEX)

– Chau et al.: VPSA logic block

• Point solutions– Logic block and routing fabrics with fixed configurability

Page 9: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

9

Previous Work

VPSA

VPSA

MPSA

MPSA

MPSA

MPSA

MPSA

MPSA

MPSA

MPSA

MPSA

• Commercial Efforts– Point Solutions

– Mostly MPSAs

– Wide range for configurability

– Products with high configurability have been discontinued

Page 10: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

10

Contributions

1. Cost Model to Estimate Die-cost of Structured ASICs

2. Structured ASIC Evaluation Framework

3. Area, Delay, Power, and Die-cost Trends for Structured ASICs

Page 11: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

11

Contributions

1. Cost Model to Estimate Die-cost of Structured ASICs

2. Structured ASIC Evaluation Framework

3. Area, Delay, Power, and Die-cost Trends for Structured ASICs

Page 12: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

12

Structured ASIC Die-Cost

• Primary cost components– Die Area– Number of configurable layers (New for structured ASICs)

• Metal layers used for routing

• Configured by one or more via, or metal-and-via masks

• Secondary cost components– Die Yield– Mask-set and processing costs– Volume requirements

Page 13: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

13

Cost Model

• Variables– Die Area and Yield– Configurable layers

• Constants– Mask/wafer processing cost– Volume requirements– Architecture Related

Page 14: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

14

Cost Model

• At constant cost, area can be traded for number of customizable layers

MPSA

Core

Are

a (

mm

2 )

Slope ≈ 15mm2/Layer

Page 15: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

15

Contributions

1. Cost Model to Estimate Die-cost of Structured ASICs

2. Structured ASIC Evaluation Framework

3. Area, Delay, Power, and Die-cost Trends for Structured ASICs

Page 16: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

16

Structured ASIC Evaluation Framework

• Architecture Modeling– Logic Fabric– Interconnect Fabric

• Metrics

• CAD Flow

Page 17: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

17

Metrics

• Cost– Detailed cost model (just presented)

• Area– Chip Area

• Delay– Average net delay (Elmore model)

• Power– Total metal + via capacitance

Page 18: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

18

CAD Overview

Input Circuit(Technology Mapping)

Routable?Insert Whitespace- Increase Grid Size

- #Routing Layers

- Routing Grid Resolution

- Routing Grid Capacity

No

- Logic Fabric Architecture

- Logic Elements

- Physical Size

- Pin Locations

Placement

Global Routing

Area/Delay/Power/Cost Estimate

Page 19: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

19

Contributions

1. Cost Model to Estimate Die-cost of Structured ASICs

2. Structured ASIC Evaluation Framework

3. Area, Delay, Power, and Die-cost Trends for Structured ASICs

Page 20: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

20

Performance and Cost Trends

• MPSAs– Two Benchmark Suites

• Homogeneous (MCNC) Circuits• Heterogeneous (eASIC) Circuits

– Comparison to CBIC costs– Impact of Whitespace Insertion

• VPSAs– Fixed-metal Routing Fabrics– Impact of Logic Block Pin Positions– Power, Delay, Area, and Die-cost– Comparison to MPSAs

Page 21: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

21

Performance and Cost Trends

• MPSAs– Two Benchmark Suites

• Homogeneous (MCNC) Circuits• Heterogeneous (eASIC) Circuits

– Comparison to CBIC costs– Impact of Whitespace Insertion

• VPSAs– Fixed-metal Routing Fabrics– Impact of Logic Block Pin Positions– Power, Delay, Area, and Die-cost– Comparison to MPSAs

Page 22: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

22

Trends for Heterogeneous Circuits

• Device Architecture– Logic Elements

• eCell, eDff, BlockRAM, RegFile

• Circuits– Up to 1 Million logic blocks

• Placement Enhancement– Different logic elements

• Layout Effort• Dense• Medium • Sparse

Blo

ck R

AM

Re

gis

ter

File

Re

gis

ter

File

eASIC Group

Page 23: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

• Area and Die-Cost

23

Trends for Heterogeneous Circuits

Page 24: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

• Area and Die-Cost

24

Trends for Heterogeneous Circuits

Page 25: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

• Area and Die-Cost

– Lowest cost obtained with 3 or 4 layers– More than 4 layers offer little advantage

25

Trends for Heterogeneous Circuits

Page 26: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

26

Performance and Cost Trends

• MPSAs– Two Benchmark Suites

• Homogeneous (MCNC) Circuits• Heterogeneous (eASIC) Circuits

– Comparison to CBIC costs– Impact of Whitespace Insertion

• VPSAs– Fixed-metal Routing Fabrics– Impact of Logic Block Pin Positions– Power, Delay, Area, and Die-cost– Comparison to MPSAs

Page 27: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

27

Trends for VPSAs

• Routing Fabrics (by Ran & Sadowska)– Crossover– Jumper20, Jumper40– SingleVia

• Logic Blocks– Logic Capacity

• 2-in,1-out to 16-in,8-out– Layout Effort

• Dense • Medium• Sparse

n-1 custom via layers

1 custom via layer

n fixed-metal layers

Page 28: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

28

VPSA Area and Die-cost Example

• Logic Block– Logic Capacity: 2-in, 1-out

– Layout Effort: Medium

• MPSAs: Small Area

VPSAs: Lower Cost

• Gap between different VPSA Fabrics

Page 29: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

29

VPSA Area and Die-cost Trends

MPSAs vs. VPSAs

VPSAs 0 to 89%

0 to 36%

1 to 10x

VPSAs are up to 50%cheaper

• Key Observations

0 to 85% 0 to 60%

1 to 3.5x 1 to 5x

Page 30: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

30

Contributions

1. Cost Model to Estimate Die-cost of Structured ASICs

2. Structured ASIC Evaluation Framework

3. Area, Delay, Power, and Die-cost Trends for Structured ASICs

Page 31: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

31

Limitations

• Uniform Whitespace Distribution

• No Buffer Insertion

• No Detailed Logic Block Architectures– “Approximate” Technology Mapping

– Delay and Power of Logic Blocks

– Critical Path Delay

• Logic Block Configuration Schemes

• Overhead of Power and Clock Networks

Page 32: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

32

Future Work

• Short term– Congestion-driven Whitespace Insertion

– Impact of Buffer Insertion

– Efficient Algorithm for VPSA Detailed Routing

– Timing and/or Power Aware CAD Flows

– New Logic and Interconnect Fabrics

• Long term– Improved Manufacturability

– Ease of Design

Page 33: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

33

Publications

• Refereed Journal PublicationU. Ahmed , G. Lemieux, S. Wilton, “ Performance and Cost Tradeoffs in Metal-Programmabl e Structured ASICs (MPSAs),” IEEE Transactions on VLSI Systems, 2010.Available Online: http://dx.doi.org/10.1109/TVLSI.2010.2076841

• Refereed Conference PublicationsU. Ahmed , G. Lemieux, S. Wilton, “Area, Delay, Power and Cost Trends for Metal-Programmable Structured ASICs (MPSAs) ,” International Conference on Field-Programmable Technology (ICFPT’09), Dec. 2009.

U. Ahmed , G. Lemieux, S. Wilton, “The Impact of Interconnect Architecture on Via-Programmed Structured ASICs (VPSAs) ,” International Symposium on Field-Programmable Gate Arrays (FPGA 2010), Feb. 2010.

• In PreparationU. Ahmed , G. Lemieux, S. Wilton, “Performance and Cost Tradeoffs in Via-Programmable Structured ASICs (VPSAs),” to be submitted to IEEE Transactions on VLSI Systems.

Page 34: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

34

Page 35: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

35

Structured ASIC Vendor and User

Vendor designs and fabricates a portion of the device

- User runs CAD tools to generate the required data for the masks

- Cannot make architectural decisions

Vendor prepares the necessary masks and fabricates the remaining portion of the device

Page 36: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

36

Cost Comparison

Page 37: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

37

Cost Model

Costdie = Cbase +

Ccustom +

Cproto

Page 38: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

38

Cost Model

Costdie = Cbase +

Ccustom +

Cproto

Cost of the masks for the base (common portion)

Cost of fabricating the base portion ++

Page 39: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

39

Cost Model

Costdie = Cbase +

Ccustom +

Cproto

Cost of the masks for the base (common portion)

Cost of fabricating the base portion ++

Cost of the remaining masks Cost of fabricating the remaining portion ++

Page 40: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

40

Cost Model

Costdie = Cbase +

Ccustom +

Cproto

Cost of the masks for the base (common portion)

Cost of fabricating the base portion ++

Cost of the remaining masks Cost of fabricating the remaining portion ++

Similar to Ccustom, but depends on the number of spins

Page 41: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

41

Cost Model

• At constant cost, area can be traded for number of customizable layers

MPSAVPSA

(Multiple Via Layers)

VPSA(Single Via Layer)

Core

Are

a (

mm

2 )

Slope ≈ 15mm2/Layer Slope ≈ 6mm2/Layer

Page 42: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

42

Logic Block Model

• Characteristics of logic block– Physical dimensions

(in wire pitches)

– Pin locations

• Do not need low-levellayout details

Page 43: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

43

Parameterize Logic Block

• Cover wide search space for logic blocks

• Vary layout density– Dense : Determined by # pins (small layout area)

– Sparse : Determined by Standard Cell implementation

• Vary logic capacity– Sweep number of inputs and outputs

• 2-input, 1-output logic blocks (shown here)

• 16-input, 8-output logic blocks (also in paper)

– Use logic clustering (T-VPack) as tech-mapper

Page 44: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

44

Interconnect Model

• MPSAs– Set of equally-wide and equally-spaced horizontal or

vertical wires for each configurable layer

• VPSAs– Detailed architecture specified for a basic tile

• Metal segments (start, end positions)

• Potential via sites (fixed or configurable vias)

Page 45: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

45

CAD Framework for Structured ASICs

- Increase Placement Grid Size

- Simulated Annealing Too Slow- Logic Cells Snapped to Grid- Can Handle different Types of Logic Blocks

- Detailed routing only for VPSAs- Difficult to find Global Routing Capacities

- Limit the search space by performing detailed routing only within the Global Route

Page 46: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

46

CAD Framework

Placement- Using Standard Cell Placer: CAPO- No Initial Whitespace- Options: Uniform Whitespace

Global Routing- Using Standard Cell Global Router: FGR

Input Circuit(Technology Mapping)

Any

Congestion ?Insert Whitespace- Increase Grid Size

Area/Delay/Power/Cost Estimate

- #Routing Layers

- Routing Grid Resolution

- Routing Grid Capacity

Reduce Global

Routing Capacity

Any

Congestion ?

Detailed Routing- Custom Router based on PathFinder

No

Yes

No

Only for

VPSAs

- Logic Fabric Architecture

- Logic Elements

- Physical Size

- Pin Locations

- Routing Fabric Architecture

Page 47: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

47

MPSA vs. VPSA Detailed Routing

Page 48: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

48

Impact of Whitespace Insertion• Estimated using Routing Capacity

Page 49: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

49

Impact of Whitespace Insertion

• Area and Die-cost

Small Block Small Block

- 60% reduction in area and 55% reduction in cost

Page 50: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

• Three schemes

50

Logic Block Pin Positions

- Each pin can connect to more tracks

- Fewer routing tracks in the lowest layer

- Multiple pins per track- More tracks available for routing- Each pin can connect to fewer

tracks

Page 51: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

• Three schemes

51

Logic Block Pin Positions

- Better when number of routing resources is large

- Better when routing resources are limited (e.g., routing layers = 2)

Experiments used best scheme for each case

Page 52: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

• Significant difference between different schemes• Performance dependent on

– Routing fabric architecture– Number of routing layers

52

Logic Block Pin Positions

Page 53: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

53

Trends for Heterogeneous Circuits

• Delay and Power

– Best performance obtained with 3 or 4 layers– More than 4 layers offer little advantage

Page 54: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

54

VPSA Area and Die-cost Trends

Page 55: Impact of Custom Interconnect Masks on Cost and ...lemieux/publications/presentations/... · – Structured ASIC Evaluation Framework – Area, delay, power, and die-cost trends for

55

Technology Scaling