26

€¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

Embed Size (px)

Citation preview

Page 1: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

Ref. Id Manufacturer Model Description Quanti Version Condition Vintage56026 10MW Solar Cell Line Monocyrstalline 10MW Solar Cell Manufacturing line with PECVD 1 156 mm excellent 01.01.201079254 12 inch Partial Wafer level packaging equipment line 11 300 mm excellent 01.06.201381918 30 MW Solar Line Full Brand New Solar Cell Processing Equipment by HRSP 1 solar80187 8 Inch Complete Wafer Fab Line 1 200 mm good 01.06.199774580 Accent CDS-200 SCATTEROMETER 1 200 MM74581 Accent CDS-200 SCATTEROMETER 1 200 MM74582 Accent CDS-200 SCATTEROMETER 1 200 MM74583 Accent CDS-200 SCATTEROMETER 1 200 MM74584 Accent CDS-200 SCATTEROMETER 1 200 MM74585 Accent CDS-200 SCATTEROMETER 1 200 MM74587 Accretech Crystal Edge 2.0 wafer inspection 1 200 MM 01.05.2008

78507 Accretech Win-Win 50 Optical Inspection 1 300 mm excellent 01.06.200574456 Accretech Win-Win 50 1600L Prober 1 200 mm

74457 Accretech Win-Win 50 1600L Prober 1 200 mm74458 Accretech Win-Win 50 1600L Prober 1 200 mm

74459 Accretech Win-Win 50 1600L Prober 1 200 mm83974 Accretech / TSK PG300RM Back Grinder Polisher 1 300 mm good 01.06.200686068 Accretech / TSK UF190A Prober 1 excellent86069 Accretech / TSK AS200 Prober 1 excellent86070 Accretech / TSK UF300 Prober 1 excellent86079 Accretech / TSK UF200 (Parts) OCR system for Prober 1 200 mm excellent86087 Accretech / TSK UF200 Prober 3 good

81841 Accretech / TSK UF190B prober 1 good 01.04.200781844 Accretech / TSK UF200S Prober 4 good81845 Accretech / TSK UF200SA Prober 1 good81847 Accretech / TSK UF3000EX Prober -40 C to + 150 C 2 200 mm / 300 mm good 01.04.201171858 Accretech TSK APM 90A Automated Wafer Prober 1 200 mm missing parts 01.06.199954226 Accretech TSK MHF300L Test head manipulators 7 200 mm good 01.06.199985988 Accretech/TSK UF3000 Production Wafer Prober 1 300 mm85989 Accretech/TSK UF3000 Production Wafer Prober 1 300 mm85990 Accretech/TSK UF3000 Production Wafer Prober 1 300 mm85991 Accretech/TSK UF3000 Production Wafer Prober 1 300 mm80264 ACOPIAN B24G210 POWER SUPPLY 0 spares new in box75388 ACT Stack to Cassette Solar Wafer Handler / Cassette Loader 1 200MM excellent 01.04.200781988 AD-TEC AM-1000S-ASM-A (Controller) CVD 181989 AD-TEC AMU-3000PI1 DIFF 182050 AD-TEC AX-3000b DIFF 282051 AD-TEC AX-600 III ETCH 472864 ADC Techno Co. Solvent Wet Wet bench, TMAH designated 1 200 mm / 150 mm new unused 01.06.201385343 ADE WaferSight WAFER FLATNESS MEASUREMENT 1 01.06.200633668 ADE Episcan 1000 Epi Metrology Spectromter, ONLINE 2110 Spectrometer Head, IRVINE OPTICAL NanoLoader II 1 excellent81885 ADE NANOMAPPER NANOMAPPER 1 300 mm good 01.01.200677166 Advantech IPC5475 data acquisition card 3 Spares good77167 Advantech HK-6323 data acquisition card ISA 2 Spares good77206 Advantech PCL-745B data acquisition card 2-port RS422/485 Rev B1 1 Spares good77207 Advantech PCL-730 data acquisition card 32ch TTL DIO 1 Spares good74142 Advantech PCL-730 32-ch Isolated Digital I/O Card w/32ch TTL DIO 2 01.01.200874143 Advantech PCL-745B 2-port RS-422/485 ISA COMM Card w/ isolation 2 excellent 01.01.200821856 ADVANTEK ATM 8600 TAPER 1 excellent84736 ADVANTEST T5571P Memory Tester 1 N/A84737 ADVANTEST T5571P Memory Tester 1 N/A

84738 ADVANTEST T5571P Memory Tester 1 N/A86019 Advantest M6771AD Pick & Place Memory Handler 186020 Advantest M6771AD Pick & Place Memory Handler 186021 Advantest M6771AD Pick & Place Memory Handler 186022 Advantest M6771AD Pick & Place Memory Handler 186052 ADVANTEST M6751AD Test Handler 1 200 MM86053 ADVANTEST M6751AD Test Handler 1 200 MM86054 ADVANTEST M6751AD Test Handler 1 200 MM86055 ADVANTEST T5382A1 Test System 1 TEST excellent79145 Advantest T5375 Tester with a Dual Test Head 1 test excellent83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 excellent83499 Advantest BGR-016796 ADVANTEST T5335P PC Board 3 excellent83500 Advantest BGR-021096 ADVANTEST T5335P PC Board 2 excellent86060 Advantest T5383 Automated Test System 1 test excellent83501 Advantest BGR-020765 ADVANTEST T5335P PC Board 2 excellent86061 Advantest T5335 Automated Test System 1 test excellent83502 Advantest BGR-017418 ADVANTEST T5335P PC Board 2 excellent86062 Advantest T5371 Automated Test System 1 test excellent78639 Advantest T5335P (Spares) Spare Boards from test system 1 TEST for spares use 01.12.199783503 Advantest BGK-017719 ADVANTEST T5335P PC Board 1 excellent86063 Advantest T5585 Automated Test System 1 test excellent83504 Advantest BGK-011702 ADVANTEST T5335P PC Board 1 excellent86064 Advantest T5593 Automated Test System 1 test excellent86065 Advantest T5592 Automated Test System 1 Test excellent86066 Advantest T5581H Automated Test System 1 test excellent86071 Advantest M6741A Automated Test hANDLER 1 test excellent86072 Advantest M6771 Automated Test hANDLER 1 test excellent86073 Advantest M6541AD Automated Test hANDLER 1 test excellent86074 Advantest M6300 Automated Test hANDLER 1 test excellent86075 Advantest T5581 (Parts) Automated Test System -Mother Board 1 TEST - PARTS excellent76604 ADVANTEST TR6846 Digital Multimeter 1 200 mm excellent86076 Advantest T5377 (Parts) Automated Test System -Mother Board 1 TEST - PARTS excellent86077 Advantest T5375 (Parts) Automated Test System -Mother Board 1 TEST - PARTS excellent86078 Advantest T5593 (Parts) Automated Test System -Mother Board 1 TEST - PARTS excellent80194 Advantest BGR-020773 ADVANTEST T5335P PC Board 380195 Advantest BGR-020853 ADVANTEST T5335P PC Board 1

80196 Advantest BGR-020816X02 ADVANTEST T5335P PC Board 2 excellent80197 Advantest BGR-020774 ADVANTEST T5335P PC Board 180198 Advantest BGR-020814 ADVANTEST T5335P PC Board 180199 Advantest BGR-020771 ADVANTEST T5335P PC Board 180200 Advantest BGR-020509 ADVANTEST T5335P PC Board 180201 Advantest BGR-020772 ADVANTEST T5335P PC Board 180202 Advantest BGR-017577 ADVANTEST T5335P PC Board BGR-017575 286090 ADVANTEST T5585 Automated Test System 1 TEST80203 Advantest BGR-019486 ADVANTEST T5335P PC Board 11 excellent86091 ADVANTEST T5588 Automated Test System 1 TEST80204 Advantest BGR-020851 ADVANTEST T5335P PC Board 186092 ADVANTEST T5375 Automated Test System 2 TEST 01.06.200680205 Advantest BGR-017578 ADVANTEST T5335P PC Board 286093 ADVANTEST T5377 Automated Test System 1 TEST 01.06.200780206 Advantest BGR-017579 ADVANTEST T5335P PC Board 286094 ADVANTEST T5571P Automated Test System 2 TEST 01.06.199886095 ADVANTEST T5581/T5581H Automated Test System 2 TEST 01.06.200280208 Advantest BGR-018931 ADVANTEST T5335P PC Board 286096 ADVANTEST M6542AD Test Handler 2 TEST80209 Advantest BGR-016794 ADVANTEST T5335P PC Board 2 excellent86097 ADVANTEST M6541A/AD Test Handler 4 TEST excellent80210 Advantest BGR-016793 ADVANTEST T5335P PC Board 286098 ADVANTEST M6741A/AD Test Handler 6 TEST86099 ADVANTEST M6751A/AD Test Handler 4 TEST86101 ADVANTEST T5377/ T5377S Automated Test System 1 TEST86102 ADVANTEST T5501 Automated Test System 1 TEST86103 ADVANTEST T5592 Automated Test System 1 TEST

85593 Advantest F120t TESTER 1 01.06.199785594 Advantest T5335P Pre Laser Tester 1 TEST 01.06.199785595 Advantest T5371 TESTER 1 300 mm 01.06.200485596 Advantest T5585 Tester 1 TEST85597 Advantest T5585 Tester 1 TEST 01.06.200083550 Advantest WUN-MONITORBOX ADVANTEST poiwer supply monitoring box, T5335P 2 good85598 Advantest T5585 Tester 1 TEST 01.06.2000

85599 Advantest T5585 Tester 1 TEST 01.06.200085600 Advantest T5585 Tester 1 TEST 01.06.200085601 Advantest T5585 Tester 1 TEST 01.06.200085602 Advantest T5585 Tester 1 TEST 01.06.200085603 Advantest T5585 Tester 1 TEST 01.06.200085604 Advantest T5585 Tester 1 TEST 01.06.200085605 Advantest T5585 Tester 1 TEST 01.06.200085606 Advantest T5585 Tester 1 TEST 01.06.200085607 Advantest T5585 Tester 1 TEST 01.06.200085608 Advantest T5585 Tester 1 TEST 01.06.200085609 Advantest T5586 Tester 1 TEST 01.06.200085615 Advantest M6541AD Handler 1 TEST85616 Advantest M6541AD Handler 1 TEST85617 Advantest M6541AD Handler 1 TEST85618 Advantest M6541AD Handler 1 TEST85619 Advantest M6541AD Handler 1 TEST85620 Advantest M6541AD Handler 1 TEST85621 Advantest M6541AD Handler 1 TEST85622 Advantest M6541AD Handler 1 TEST 01.06.200285623 Advantest M6541AD Handler 1 TEST 01.06.200285624 Advantest M6542AD Handler 1 TEST85625 Advantest M6542AD Handler 1 TEST80293 Advantest BGR-019267 ADVANTEST T5335P PC Board 280294 Advantest BGR-020900 ADVANTEST T5335P PC Board 480295 Advantest BGR-019266 ADVANTEST T5335P PC Board 1 excellent80296 Advantest BGR-017417 ADVANTEST T5335P PC Board 280297 Advantest BGR-018824 ADVANTEST T5335P PC Board 780298 Advantest BGR-018823 ADVANTEST T5335P PC Board 480299 Advantest BGR-018822 ADVANTEST T5335P PC Board 4

80300 Advantest BGR-018125 ADVANTEST T5335P PC Board 180301 Advantest BGR-020815 ADVANTEST T5335P PC Board 180302 Advantest Bir-021807 ADVANTEST T5335P PC Board 1 excellent79035 ADVANTEST T5585 Test System 1 200 mm excellent82926 Advantest WUN-H90554AIR ADVANTEST air control unit 1 good79087 ADVANTEST M6541AD Test Handler 1 200 mm79088 ADVANTEST M6541AD Test Handler 1 200 mm79089 ADVANTEST M6541AD Test Handler 1 200 mm good79090 ADVANTEST M6541AD Test Handler 1 200 mm78327 Advantest M6542AD TEST HANDLER 281826 AEG 2A 400-100 H Power Driver Controller 2A 1 Spares good 01.01.200585574 AG Associates HeatPulse 4100 RTP 1 150 mm 01.06.198976605 Agilent 41501B Parameter analyzer 1 200 mm excellent 01.06.200185854 Agilent 54854A Oscilloscope 185855 Agilent 86100A Oscilloscope 185344 Agilent 4145B CV characterization System 1 TEST 01.06.200885856 Agilent N5181A Signal Generator 185857 Agilent N6710B Power Supply 179205 Agilent MS09404A lnfiniium MSO 4 GHz Oscilloscope 1 test 01.06.201179206 Agilent MS09404A lnfiniium MSO 4 GHz Oscilloscope 1 test 01.06.201185610 Agilent 4072A Parameter Analyzer 1 TEST 01.06.200485611 Agilent 4072B Parameter Analyzer 1 TEST 01.06.200685612 Agilent 4073B Parameter Analyzer 1 TEST60810 Agilent E1411B VXI Multi Meter 160811 Agilent E5810A LAN/GPIB Gateway 185421 Agilent 4280A CV characterization System 160845 Agilent E5100A Network Analyzer 118868 AGILENT 05335-90021 5335A universal frequenzi counter 118869 AGILENT E4915-90030 AGILENT cristal impedance LCR meter 118870 AGILENT 03577-90212 AGILENT 3571A network analyzer service manual 172891 Agilent N7745A Tester 1 refurbished84667 AGILENT 8453A UV-Spectro Photometer 1 200 mm79588 Agilent 1671G Logic Analyzer 1 test excellent 01.10.200779589 Agilent 1671G Logic Analyzer 1 test excellent 01.10.200864251 Agilent 16702A LOGIC ANALISYS SYSYEM 1 TEST excellent37631 Agilent 93000 C200e Test system 3 01.05.2007

62559 Agilent / HP 4062UX Parametric Tester 162560 Agilent / HP 82000 D100 VLSI Tester 162562 Agilent / HP 82000 D100 VLSI Tester 162563 Agilent / HP 82000 D100? w/APG option 162564 Agilent / HP 83000 VLSI Tester 162565 Agilent / HP 83000 E2811 VLSI Tester 162566 Agilent / HP 83000 F120T VLSI Tester 162567 Agilent / HP 83000 F330T VLSI Tester 162568 Agilent / HP 83000 660I VLSI Tester 162570 Agilent / HP 83000 F330T VLSI Tester 162571 Agilent / HP 83000 F330T VLSI Tester 162572 Agilent / HP 83000 F330T VLSI Tester 1 excellent62573 Agilent / HP 83000 F330T VLSI Tester 176976 Agilent / HP 83000 F330 test system 1 test excellent62648 Agilent / HP 4062UX Parametric Tester Parts Machine 162649 Agilent / HP 84000 A120T A120T RF Spares Tester 162650 Agilent / HP 94000 Spare Parts Machine 179840 Agilent / HP 83000 F330T test system 1 test excellent79864 Agilent / HP E8080-66620 V93K PS9G board with cable 1 Spares excellent84048 Agilent / Verigy V93000 P600 Test System 1 TEST excellent73301 Agilent / Verigy 93000 HSM LTH Test System (LARGE TEST HEAD) 1 TEST good 01.06.201073303 Agilent / Verigy V4436 Memory Tester 1 test excellent77663 Agilent / Verigy 4073B + P12XL Parametric Test System with prober 1 300 mm excellent 01.06.200562574 Agilent / Verigy 93000 P1000 Mixed Signal Tester 162575 Agilent / Verigy 93000 C400e Mixed Signal Tester 162576 Agilent / Verigy 93000 C400e Mixed Signal Tester 162578 Agilent / Verigy V4436 Memory Tester 162651 Agilent / Verigy V4400/V4436 Memory Tester Parts 1 for spares use60763 Agilent / Verigy / HP 93000 Spares 1184843 Agilent Tech 8510 Automatic Test Equipment 1 TEST84844 Agilent Tech V3300 Memory Test Systems 1 TEST82844 Agilent Technologies HP4155A Parametric Test Equipment 1 good84062 Agilent Verigy V93000 P600 Test system 160879 Agilent/HP E8491B Controller Interface 1

60801 Agilent??? E2960A?? Serial Protocal Tester XC for PCI Express 184449 AIO 8800 COAT 1 150

84450 AIO 8800 COAT 1 15084451 AIO 8800 COAT 1 15084452 AIO 8800 COAT 1 15084453 AIO 8800 COAT 1 15033734 AIR LIQUIDE Dual Gas Cabinet for Helium, 2ea Available with Auto-Switch & Auto Purge 1 excellent 01.01.199733738 AIR LIQUIDE Dual Gas Cabinet for Nitrogen 1 excellent 01.01.199635534 AIR LIQUIDE Dual Gas Cabinet for Dichlorosilane, with Auto-Switch & Auto Purge 135535 AIR LIQUIDE Dual Gas Cabinet for Boron Trichoride 180267 Air Products Precis ion Tool Manometer 1.5 Bar 9 spares excellent80268 AIR PRODUCTS R-704-VCR PRESSURE REGULATORS TEST 2 APSA46/2250 excellent 01.05.199383904 AIR PRODUCTS F-74-VCR REGULATOR PRESSURE 1 P/N: 101203-2 new 01.10.198933739 AIR PRODUCTS 801-4700605 Gas Cabinet 19871 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof 1 FACILITIES excellent67387 Airvac table top wave solder machine, accomodate boards up to 18x24 for selective soldering and desolde185550 Aixtron Crius MOCVD 1 100 mm 01.06.201085551 Aixtron Crius MOCVD 1 100 mm 01.06.201085552 Aixtron Crius MOCVD 1 100 mm 01.06.200879294 Aixtron G5 (SPARES) SPARE PARTS 1 SPARES excellent83650 Aixtron G3 MOCVD reactor 1 4 inch excellent 01.06.200783651 Aixtron G3 MOCVD reactor 1 4 inch excellent 01.06.200783652 Aixtron G3 MOCVD reactor 1 4 inch excellent 01.06.200683653 Aixtron G3 MOCVD reactor 1 4 inch excellent 01.06.200283654 Aixtron G3 2600 MOCVD reactor 1 4 inch excellent 01.06.200383655 Aixtron G3 MOCVD reactor 1 4 inch excellent 01.06.200483656 Aixtron G3 MOCVD reactor 1 4 inch excellent 01.06.200683657 Aixtron G3 MOCVD reactor 1 4 inch excellent 01.06.200583715 Akrion Gama Solvent Wet 1 8"

85859 Akrion GAMA Batch Wafer Processing 185131 Akrion MP-2000 Manual c leaning hood , used for copper 1 200 mm85132 Akrion UP V2 MP.2000 Etch solvent hood 1 200 mm84416 Akrion Gama Dryer 1 200mm33741 AKRION V2-SA.3200 Wet Process Station Parts Including Tanks only 1 excellent84430 AKRION HL2000 WET PROCESS 1 20035536 AKRION V2-HL.2000 Hybrid-Linear Automatic Acid Wet Station with Robotic Transfer (New), for Dual 150mm Cassettes 1

84763 AKT New Aristo G4.5 NAR 1200L Vertical In-line Sputtering Machine for LCD / TFT panel G4.5 1 730mm x 920 mm excellent 01.06.201384766 AKT NAR 1800/8 G6 ITO Vertical In-line Sputtering Machine for LCD / TFT panel G6 1 730 mm x 920 mm (G6)excellent 01.06.2013

83935 AKT 1600 PECVD Gen 2 PECVD deposition system 1 Gen 2 excellent 01.06.200582742 Alcan Tech MAS-801 Etcher 1 6" 01.06.1990

82743 Alcan Tech MAS-801 Etcher 1 6" 01.06.199082744 Alcan Tech MAS-801 Etcher 1 6" 01.06.199082745 Alcan Tech MAS-801 Etcher 1 6" 01.06.199016497 Alcan Tech Canon MAS 8000 Plasma Photoresist Stripper 1 200 mm excellent82185 Alcatel ADS1202 Vacuum Pump 482186 Alcatel ADS602 Vacuum Pump 782187 Alcatel ADP122 Vacuum Pump 982188 Alcatel ADS501 Vacuum Pump 2582189 Alcatel ADP81 Vacuum Pump 282190 Alcatel A100L Vacuum Pump 782191 Alcatel 2015SD Vacuum Pump 377084 Alcatel A 610 PVD 0 150mm 01.06.199477087 Alcatel flange Alcatel pump flange 4" ID 5 1/4" OD, SST 184522 Alcatel ADS1202H Mechanical Vacuum Pump 22 Pump excellent84791 Alcatel ADS1202 Mechanical Vacuum Pump 4 Pump84792 Alcatel ADS602 Mechanical Vacuum Pump 15 Pump84793 Alcatel ADP122 Mechanical Vacuum Pump 10 Pump84794 Alcatel ADS501 Mechanical Vacuum Pump 17 Pump84795 Alcatel ADP81 Mechanical Vacuum Pump 2 Pump84796 Alcatel A100L Mechanical Vacuum Pump 15 Pump84797 Alcatel 2015SD Mechanical Vacuum Pump 3 Pump85823 Alcatel AMS 4200 Deep Reactive Ion Etch (DRIE) 161055 ALCATEL ADS 801 DryPUMP 161056 ALCATEL ADS 501 DryPUMP 184360 Alcatel 925-40 Leak Detector 1 excellent

61065 ALCATEL ADS 602P DryPUMP 174409 Alcatel ADS 602P Vacuum Pump 1052172 Alcatel ASM 180TD Helium Leak Detector 184947 Alcatel ASM 180TD Helium leak detector 1 Facilities27866 Alcatel ACT 1300M Turbo pump controller 1 PUMP good74410 Alcatel Adixen ADP122P Dry Vacuum pump 2 refurbished74411 Alcatel Adixen ADS602H Dry Vacuum pump 10067405 Alessi 4 FIXED PLATEN 167406 Alessi Cascade 5000 Prober 1

67407 Alessi REL 4300 6" Probe station 19878 ALESSI REL 4500 Manual Wafer Prober 1 150 mm good

60336 Alessi Prober XYZ w / Microscope, Extras 1 150 mm84718 Alessi REL-4800 Prober 1 150 mm84719 Alessi Remote 5000 Wafer Prober 1 150 mm67404 ALESSI 2X2 PROBER 2x2 Prober 177093 Allen Bradley 800F-PN3GX11 Contact module 24V, AMI S3320-15-1, pk of 3 183564 Allen Bradley PanelView Plus 400 Operator Panel, Serial 1 good77183 Allen Bradley 22A-D2P3N104 Power Flex 4 AC Drive 1 excellent83593 Allen Bradley Micro-Logix 1200 PLC Module 1 excellent83595 Allen Bradley 1762-IF4 PLC 4-ch input module 1 excellent33629 ALPHASEM Swissline 9006 Automatic Epoxy Die Bonder, 2ea Available 1 missing parts 01.05.199370615 AlphaStep 200 Step hight measurment 182283 ALTATECH ALTASIGHT Wafer Inspection 1 300 mm excellent 01.06.201150688 AMAT 0100-76258 GAS PANEL BOARD for P5000 150944 AMAT 0190-35653 SERIPLEX I/O DISTRIBUTION BD 151712 AMAT 0100-76000 N2 DRYVAC DISTRIBUTION BD 150689 AMAT 0190-09257 BAY A-188-4 PNEUMATIC SOLENOID PANEL for P5000 151713 AMAT 0100-09058 OPERATOR CONTROL PANEL 162209 AMAT AME 8330 150690 AMAT 0100-09175 REV.C TEOS INTERLOCK BOARD for P5000 151714 AMAT 1396-018 STEPPER MOTOR DRIVE 150691 AMAT 0100-09174 TEOS INTERFACE BOARD 151715 AMAT 0100-00008 TC GUAGE 150693 AMAT 0100-09180 LIQUID SOURCE HEATER CONTROL BOARD for P5000 1

51717 AMAT 0100-00003 STEPPER DRIVE 150694 AMAT LEVELITE UNIVERSAL CONTROLLER for P5000 151718 AMAT 0100-09299 SERIAL SIDEBOARD ASSY 150695 AMAT MASS FLOW METER He for P5000 151719 AMAT 0100-09224 EXPANDED RS232 INTERCONNECT BD 150696 AMAT UNIT 9600 SERIES 6L He for P5000 151720 AMAT 0100-09099 CHAMBER INTERCONNECT 150697 AMAT 0100-09131 FLUID OVERFILL BOARD for P5000 151721 AMAT 0100-09011 A1 MUX/CURRENT SENSE 150698 AMAT TURBOTRONIK NT340M/I for P5000 151722 AMAT 0100-11002 DIGITAL I/O CARD 1

50699 AMAT CONTROL ASSY for P5000 151723 AMAT 0090-75017 DUAL GAS LEAK DETECTER 1

50700 AMAT 0100-09123 WAFER SENSOR BOARD for P5000 151724 AMAT LIS 71-15 LAMBDA LIS 71-15 150701 AMAT 0100-09127 LOADER INTERCONNECT BOARD for P5000 151725 AMAT 0100-09009 BUFFER I/O 150702 AMAT 0100-09029 TURBO INTERCONNECT ASSY for P5000 151726 AMAT 0100-09136 MINI DI/DO SHORT CIRCUIT PROTECTED BOARD 150703 AMAT 0100-09076 K1 ASSY for P5000 151727 AMAT 0100-09131 FLUID OVERFILL BD 150704 AMAT BES-530-8S-PCB STOP VALVE MANIFOLD AND PCB for P5000 151728 AMAT 0090-09116 THERMAL ELECTRIC DRIVER 150705 AMAT 0100-09237 DUAL FREQ WIRING INTERCONNECT BOARD for P5000 150706 AMAT TURBO PUMP TURBOVAC 340MC for P5000 151730 AMAT 0100-09134 DIO FUSE BD 150707 AMAT 0190-75057 CYLINDER LIFT ASSY ETCH for P5000 151731 AMAT 0100-20001 SYSTEM ELECTRONICS INTERFACE 150708 AMAT TC CONTROL ASSY for P5000 1

51732 AMAT 0100-11001 ANALOG OUTPUT BOARD 150709 AMAT 0242-13822 TOP LID for P5000 151733 AMAT 0100-09279 PCB Assy TC Interlock 150710 AMAT 0100-76181 5000 WIRING DISTRIBUTION BOARD for P5000 151734 AMAT 0100-09180 LIQUID SOURSE HEATER CONTROL BD 150711 AMAT 0010-76535 ERGO PNEUMATIC BOX for P5000 151735 AMAT 0100-09006 INTELIGENT INTERFACE 1

62743 AMAT 350000050 GENERATOR RF MEGASONICS (0920-01087W) 150712 AMAT 0010-09181 DC POWER SUPPLY for P5000 151736 AMAT 077-592 ELECTRONICS BACKPLANE BD 150713 AMAT TOP 0010-76739 BOTTOM 0010- CASSETTE HANDLER TOP AND BOTTOM for P5000 150714 AMAT 0100-09008 PNUEMATIC CONTROL BOARD for P5000 151738 AMAT 0100-09126 REMOTE WIRING DISTRIBUTION BD 150715 AMAT 0100-09104 CONTACTOR INTERLOCK BOARD for P5000 151739 AMAT 0100-76046 GAS PANEL BOARD 150716 AMAT 0100-09145 RS232 VIDEO INTERCONNECT BOARD for P5000 151740 AMAT 0100-09002 150717 AMAT AMI #0015-09091 POWER DIST for P5000 1

51741 AMAT 0100-35273 TEOS TEMP CONTROL BOX 150718 AMAT AMI #0015-09091 PTC POWER DIST for P5000 1

51742 AMAT LEVELLITE UNIVERSAL CONTROLLER 150719 AMAT 0100-09103 AC INTERCONNECT BOARD ASSY for P5000 151743 AMAT 0100-07057 CENTRE FINDER SBL ASSY 1

50720 AMAT 0100-09099 CHAMBER INTERCONNECT BOARD ASSY for P5000 151744 AMAT 0100-09036 CENTRE FINDER SBL ASSY 1

50721 AMAT 0100-76088 CENTRE FINDER SENSOR BOARD for P5000 151745 AMAT 0100-09117 DIO FUSE BD 150722 AMAT 0010-13321R ROBOT DRIVE 8 INCH for P5000 151746 AMAT 0100-38007 PCB TC&INTERLOCK 151747 AMAT 0100-09237 VME TO DUAL FREQ WIRING INTERCONNE 150724 AMAT 0100-09108 ASSY PCB for P5000 151748 AMAT 0100-09010 ELECTRONICS BACKPLANE BD 150725 AMAT 0100-09106 EXPANDED GAS PANEL INTERFACE BOARD for P5000 151749 AMAT 0100-09054 ANALOG INPUT BOARD 167110 AMAT 0010-70317 Motor for Centura HP Robot 150726 AMAT 0100-09107 TEOS GAS INTERFACE BOARD for P5000 1

51750 AMAT 0190-09257 151751 AMAT 0040-18219 PLATE PEDESTAL 1551752 AMAT 233750114 HDP COLLAR CLEAN 151753 AMAT 0140-21826 HARNESS ASSY 150730 AMAT 0010-09750 RF MATCH CVD for P5000 151754 AMAT S100EDZ8FLC PC 150731 AMAT 0010-09341 WAFER LIFT PRECISION 5000 for P5000 151755 AMAT SD5904C MONITOR 150732 AMAT 0010-10033 WAFER LIFT CERAMIC HOOP for P5000 151756 AMAT CLEAR LID 150733 AMAT 0010-30029 P-CHUCK LIFT PRECISION 5000 for P5000 151758 AMAT 36-0080 TESTER INTERFACE 150735 AMAT WATLOW 93 UNIT for P5000 151759 AMAT 36-0201 H2 MAIN INTERFACE 150736 AMAT 0100-09109 K TYPE PCB for P5000 151760 AMAT 0100-18065 CHAMBER TRAY ULTIMA POSITION BD 150737 AMAT 0010-09337 5000 CVD 200MM LAMP MODULE for P5000 151761 AMAT 0110-18064 PCB 150739 AMAT 0010-09239 BOOMERANG BOX for P5000 150740 AMAT AMS 8620155 10569066 COMPU PARKER CONTROLLER DIGIPLAN DIGITAL STEPPING MOTOR DRIVE for P5000 1

50741 AMAT 0100-00011 APPLIED MATERILAS CHOPPER DRIVE EWV P5000 150742 AMAT 0100-09299 8115 CPU BOARD for P5000 150743 AMAT E8U0403A-01-40W POWERSPEC for P5000 1

50744 AMAT HSU100-12 CARLTON BATES WBPS-15-7 for P5000 151768 AMAT 0240-18409 FIELD RETRO 1

50745 AMAT LAMBDA LIS-71-15 LAMBDA LIS-71-15 for P5000 451769 AMAT 0010-03171 SCREWLESS BB TEOS 151770 AMAT DIRECTIONAL POWER SENSORS 151771 AMAT 1200-01095 RELAY THERMAL OVER LOAD 151772 AMAT 0680-01169 CB MAG THRM 3P 240VAC 178653 AMAT p5000 200 mm BPSG, Vintage 1990 0 200mm51773 AMAT O7N9212 HARD DRIVE 178654 AMAT P5000 Poly/Oxide Etch 200mm, Vintage 1996 1 200mm good 01.01.199651774 AMAT 0010-13445 MONITOR BASE ASSY 1

51775 AMAT 0010-02146 HDP-CVD RPSC APPLICATOR 1 excellent51776 AMAT 0190-18265 DC POWER SUPPLY 1

50753 AMAT 0100-00008 TC GUAGE PCB P5000 151777 AMAT 0045-10312 FILTER 151778 AMAT PA TUBE FOR TOP/SIDE DECK 151779 AMAT 0040-97173 LOADER 150756 AMAT 0100-00014 OPTO DETECT PCB for P5000 151780 AMAT 0100-35173 SERIPLEX POWER DIST BD 151782 AMAT 0270-50070 184551 AMAT 0041-61611 Retaining ring, Titan II, 8" *NEW* 1 as new51783 AMAT 0100-90630 PWBA EXSTENDER 176360 AMAT 0010-01456 ESC Refurbished with 90 days warranty 251784 AMAT 1012 EBARA TURBO MOLECULAR PUMP 161000 AMAT 5CH Endura system 1 01.06.199651785 AMAT POWER SUPPLY 151786 AMAT 0010-70074 COVER ASSY LLC BOLT DOWN 134635 AMAT 0100-76258 P5000 GAS PANEL BOARD 1350763 AMAT 0100-09009 BUFFER I0 P5000 151787 AMAT TOP LID 134636 AMAT 0190-09257 BAY A-188-4 P5000 PNEUMATIC SOLENOID PANEL 651788 AMAT 0900-01053 FLTR LOW-PASS BOX 134637 AMAT 0100-09175 REV.C TEOS INTERLOCK BOARD 650765 AMAT 0100-00162 I/O DISTRIBUTION BOARD for P5000 151789 AMAT 0010-09967 8 SLOT WAFER POSITION SENSOR 161005 AMAT 4C/H ENDURA Sputtering System 1

34638 AMAT 0100-09174 P500 TEOS INTERFACE BOARD 651790 AMAT 1270-01701 PRESS ABS 500TORR 1

61006 AMAT 4C/H ENDURA Sputtering System 134639 AMAT 0100-09180 P5000 LIQUID SOURCE HEATER CONTROL BOARD 6

51791 AMAT A 1850 DC POWER SUPPLY 134640 AMAT P5000 LEVELITE UNIVERSAL CONTROLLER 651792 AMAT 0010-13321 ROBOT DRIVE 134641 AMAT P5000 150769 AMAT 0010-09103 MONITOR BASE for P5000 151793 AMAT 0010-09053 200MM STORAGE 134642 AMAT P5000 UNIT 9600 SERIES 6L He 14

82003 AMAT HE RF MATCH ETCH 434643 AMAT 0100-09131 FLUID OVERFILL BOARD 18

50771 AMAT 0100-09017 CHAMBER INTERCONNECT BOARD ASSY for P5000 151795 AMAT 0100-09134 DIDO FUSE 1

66388 AMAT Centura WxZ 3ch 182004 AMAT JFK85TH-TC4 METAL 134644 AMAT P5000 TURBOTRONIK NT340M/I 651796 AMAT 0100-31608 PLASTIC CHAMBER COVER 166389 AMAT Centura WxZ 3ch 182005 AMAT P5000 CVD 134645 AMAT P5000 CONTROL ASSY 1451797 AMAT 3380-01035 HEAT EXCHANGER 182006 AMAT ETCH 334646 AMAT 0100-09123 WAFER SENSOR BOARD 1351798 AMAT 3620-00607 PUMP TURB FRKLN MTR 182007 AMAT P5000-HYBRID ETCH 284311 AMAT ComPLUS 4T DF INSPECTION 1 300 mm excellent 01.06.200734647 AMAT 0100-09127 LOADER INTERCONNECT BOARD 1051799 AMAT CHAMBER 182008 AMAT PVD RF MATCH METAL 134648 AMAT 0100-09029 P5000 TURBO INTERCONNECT ASSY 1251800 AMAT 3700-02226 KIT LEYBOLD TURBO PUMP SEALS 182009 AMAT METAL 251801 AMAT 0010-30612 MFA HEATSINK ADAPTER ASSY 134650 AMAT 0100-09237 P5000 DUAL FREQ WIRING INTERCONNECT BOARD 551802 AMAT 0100-11001 ANALOG OUTPUT PCB 134651 AMAT P5000 TURBO PUMP TURBOVAC 340MC 6

51803 AMAT 500043040 RF MATCH 134652 AMAT 0190-75057 CYLINDER LIFT ASSY ETCH FOR P5000 4

34653 AMAT P5000 TC CONTROL ASSY 151805 AMAT 0226-30332 MODIFIED N2 DRYVAC PCB 134654 AMAT 0242-13822 P5000 TOP LID 551806 AMAT 0010-70388 LIFT BRACKET 15 SLOT STORAGE ELEVAT 134655 AMAT 0100-67181 P5000 5000 WIRING DISTRIBUTION BOARD 1451807 AMAT 500109888 CLEANED LID GAS BOX OXIDE 134656 AMAT 0010-76535 P5000 ERGO PNEUMATIC BOX 1250784 AMAT 0100-09304 WIRING DISTRIBUTION BOARD for P5000 151808 AMAT 0200-09405 SHIELD 200MMGIANT GAP NITRIDE 1

34658 AMAT 0100-09008 PNUEMATIC CONTROL BOARD FOR P5000 1551810 AMAT 0680-01690 CBTHERM MAG 3P 240VAC 1

76131 AMAT MIRRA MESA POLISHER W/ MESA CLEANER, Non-Cu 1 200mm34659 AMAT 0100-09104 CONTACTOR INTERLOCK BOARD 1451811 AMAT 0242-75473 VME HARD DISK DRIVE 150788 AMAT TURBOVAC 340MC LEYBOLD MAG400 MC P5000 151812 AMAT 0090-02981 2 PHASE STEPPING MOTOR 150789 AMAT FC2900 4V 300 SCCM TYLAN 2900 SERIES 300 SCCM N2 for P5000 151813 AMAT 0120-92013 VACUUM CONTROL INTERLOCK 166406 AMAT Centura 5200 eMxP+ 3ch 150790 AMAT FC2900 4V 100 SCCM TYLAN 2900SERIES 100 SCCM N2 for P5000 151814 AMAT 0100-09022 MINI AI/AO BD 166407 AMAT Centura 5200 eMxP+ 3 chamber 1 150 mm excellent50791 AMAT FC2900 4V 50 SCCM TYLAN 2900 SERIES 50 SCCM for P5000 151815 AMAT 0120-93062 TEMPERATURE CONTROL SERVO 166408 AMAT Centura 5200 eMxP+ 3ch 184328 AMAT Producer CVD3CH 150792 AMAT FC2900 4V 100 SCCM TYLAN 2900 SERIES 100 SCCM HBR for P5000 151816 AMAT 0100-94035 ORIENTOR INTERLOCK FLAT AND NOTCH 166409 AMAT P5000 Etch 3ch 184329 AMAT Centura 5200 eMxP 150793 AMAT UNIT UFC-1660 50 SCCM N2 UNIT 50 SCCM N2 for P5000 151817 AMAT 0120-91463 WAFER ARM INTERLOCK 184330 AMAT Centura 5200 IPS 4ch 150794 AMAT UNIT UFC-1660 300 SCCM N2 UNIT 300 SCCM N2 for P5000 1

51818 AMAT 1200-90067 CONTACTER DRIVE 184331 AMAT Producer CVD 3CH Cu 150795 AMAT UNIT UFC-1660 200 SCCM N2 UNIT 200 SCCM N2 for P5000 151819 AMAT 0021-01996 ADAPTER 184332 AMAT Producer CVD 3CH Cu 150796 AMAT UNIT UFC-1100A 50 SCCM N2 UNIT UFC-1100A 50 SCCM N2 for P5000 151820 AMAT 0020-76074 ARM LOWER RIGHT ROBOT DRIVE 184333 AMAT Producer CVD 3CH Cu 151821 AMAT TURBOTRONIC NT 20 184334 AMAT Producer CVD 3CH Cu 150798 AMAT 0090-91657ITL CHASSIS ASSY for XR80 151822 AMAT 0010-76001 STORAGE ELEV WAFER CASSETTE HANDLER 150799 AMAT 0090-91251ITL CHASSIS ASSY for XR80 151823 AMAT 0010-13445 MONITOR BASE ASSY 150800 AMAT 0090-91621ITL CHASSIS ASSY for XR80 151824 AMAT Leybold 340MC TURBO PUMP TURBOVAC 340MC 150801 AMAT 0090-91131 80Kv PRE ACCELERATION CONVERTER XR80 1 spares good51825 AMAT MFC 150802 AMAT 0090-91130 HV POWER SUPPLY XR80 151826 AMAT 3030-02845 MFC1600 100SCCMNF3 150803 AMAT 481240 ÈSS POWER SUPPLY XR80 151827 AMAT 0010-09750 RF MATCH CVD 150804 AMAT 0100-90027 DATA ACQUISITION INVERTER XR80 151828 AMAT DOOR VV XR80 150805 AMAT 0100-90880 TURBO PUMP INTERFACE XR80 151829 AMAT 0100-00003 STEPPER CONTROLLER 150806 AMAT 0100-90492 TPDU MONITOR XR80 151830 AMAT 0190-09291 RING WAFER LIFT 150807 AMAT 0100-71055 GAP SERVO XR80 1

51831 AMAT AMAT CVD MATCH 3000V, 13.56MHz 0010-09750W 156695 AMAT 0010-27430 MCA refurbished 150808 AMAT 0100-91055 GAP SERVO XR80 151832 AMAT AMAT ESC PSU 1200VDC 150809 AMAT 0100-90385 CONTACTER DRIVE XR80 151833 AMAT AMAT MATCH 0010-09416W 150810 AMAT 0100-90025 24V 1A POWER SUPPLY XR80 1

51834 AMAT AMAT ETCH MATCH 3000V, 13.56MHz 0010-09490W 5 excellent50811 AMAT 0100-94021 ARGON-OXYGEN BELLD AND CHARGE MONITOR XR80 151835 AMAT 752 057 2050 AMAT LF5 GENERATOR 752 057 2050 500W, 50-460KHz 150812 AMAT 0100-90443 SPIN WINDOW XR80 150813 AMAT 0100-90468 CHARGE VOLTAGE XR80 150814 AMAT 0100-91095 PNEUMATIC CONTROL XR80 150815 AMAT 0100-01524 SOL/FIL EXT INTERFACE XR80 150816 AMAT 0100-90560 VACUUM CONTROL INTERLOCK XR80 150817 AMAT 0100-90708 VACUUM CONTROL INTERLOCK XR80 150818 AMAT 0100-90701 ROBOTIC INTERFACE XR80 150819 AMAT 0100-90177 VACUUM CONTROL DRIVE XR80 183588 AMAT 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 1 Spares good50820 AMAT 0100-90178 VACUUM CONTROL FEEDBACK XR80 150821 AMAT 0100-90071 CRYO TEMPATURE MONITOR XR80 150823 AMAT 0100-94013 SCAN CLOCK XR80 150824 AMAT 0100-90870 4 PHASE STEPPER CONTROLLER XR80 150825 AMAT 0100-90077 THERMISTOR GUAGE CONTROLLER XR80 183850 AMAT P5000 050826 AMAT 0100-90945 TILT ANGLE CONTROL XR80 150828 AMAT 0100-90227 ION GUAGE CONTROLLER XR80 150829 AMAT 0100-90231 WAFER LOADER CASSETTE INDEXER XR80 150830 AMAT 0100-90286 BEAM PROFILER INTERFACE XR80 183855 AMAT DPS II Chambers 050831 AMAT 0100-90973 ORIENTER INTERLOCK XR80 150832 AMAT 0100-90271 WAFER LOADER INTERLOCK XR80 153136 AMAT Endura 5500 Aluminum Nitride chambers 1 200mm good50833 AMAT 0100-90895 TILT INTERLOCK XR80 1

50834 AMAT 0100-90650 WHEEL CURRENT XR80 150835 AMAT 0100-90861 SPIN SCAN DETECTOR XR80 1

50836 AMAT 0100-90995 SPIN SCAN RELAY XR80 150837 AMAT 0100-90385 CONTACTER DRIVE XR80 150838 AMAT 0100-90876 INDEX CONTROL XR80 150839 AMAT 0100-90876 INDEX CONTROL XR80 150840 AMAT 0100-90970 BEAM PROFILER MICRO CONTROLLER XR80 150841 AMAT 0090-91124W MOTOR DRIVE UNIT XR80 150842 AMAT 0090-91557 POWER SUPPLY UNIT XR80 176955 AMAT XR80 Motor 9010-01134 150843 AMAT 0090-91123 VOLTAGE CLAMP UNIT XR80 1

Page 2: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

71836 AMAT 0200-36631 Cover Plate 8 inch 175164 AMAT 9500XR Wheel 150mm 1 excellent35996 AMAT xR80 Implanter 1 missing parts50844 AMAT 0090-91469 CHASSIS UNIT XR80 150845 AMAT 0090-91619 CHASSIS UNIT XR80 150846 AMAT 0090-91193 CHASSIS UNIT XR80 150847 AMAT 0090-90699 CHASSIS UNIT XR80 150848 AMAT 0090-91813 CHASSIS UNIT XR80 150849 AMAT 0090-91848 CHASSIS UNIT XR80 150850 AMAT 0090-91927 CHASSIS UNIT XR80 150851 AMAT 0090-91639 CHASSIS UNIT MISSING FAN XR80 150852 AMAT 0090-91778 CHASSIS UNIT XR80 1

50853 AMAT 0090-91717 CHASSIS UNIT XR80 150854 AMAT HANDLING UNIT 1

51878 AMAT OEM12B-07 ENI / MKS OEM12B GENERATOR OEM12B-07 1250W, 13.56MHz 0190-76048 150855 AMAT DOOR VV XR80 1

51879 AMAT OEM-28B-04 OEM 28B 174152 AMAT 0090-76133 AMAT Endura CPU 0090-76133 150856 AMAT XR 80 Parts 0010-92190 0020-86930 0020-99499 0020-82031 0020-82540 0020-81910 0020-89242 0020 151880 AMAT OEM-25B-01 OEM 25B 150857 AMAT 0020-87241 PNEUMATIC & RELAY PANEL XR80 151881 AMAT 0190-09437 REV B OZONE GENERATOR AX8200 150858 AMAT BEARING XR80 1

50860 AMAT 0020-83826 ARM XR80 150861 AMAT 0010-99098 XR80 ARM 150862 AMAT UNIT UFC-1100A 200SCCM N2 UNIT UFC-1100A 200SCCM N2 P5000 150863 AMAT 0100-11002 DIGITAL I/O CARD P5000 138832 AMAT P5000 Spare Parts 150864 AMAT 0100-09054 ANALOG INPUT BOARD P5000 138833 AMAT XR80 Spare Parts 150865 AMAT 0100-11001 ANALOG OUTPUT BOARD P5000 150866 AMAT SYNERGY MICROSYSTEMS V4.8 CPU BOARD P5000 150867 AMAT 0190-76050 VGA VIDEO CONTROLLER P5000 1 good55987 AMAT 1140-90179 DC POWER SUPPLY 10KV 1140-90179 150868 AMAT 0100-20001 SYSTEM ELECTRONIC INTERFACE BOARD P5000 175189 AMAT XR80 Direct Drive Motor 250869 AMAT 0100-00003 STEPPER DRIVE ASSY P5000 150870 AMAT 0100-09023 MINI DI/DO BOARD P5000 172887 AMAT DPS+ Poly Chamber for Centura II 150871 AMAT 0100-09022 MINI AI/AO BOARD P5000 184408 AMAT 14046-PE44-1016 VAT Valve 8" 1 good50872 AMAT 0100-09933 HV MODULE ESC P5000 150873 AMAT 0010-70386 STAND ALONE MONITOR BASE P5000 150874 AMAT 0100-09196 0010-09937 E CHUCK CONTROLLER P5000 1 good50875 AMAT 0100-09136 MINI DI/DO SHORT CIRCUIT PROTECTED BOARD P5000 150876 AMAT 0100-09006 0100-09024 INTELIGENT INTERFACE &BUS BUFFER P5000 150877 AMAT 0140-00149 HARNESS P5000 150878 AMAT 0140-00150 HARNESS P5000 150879 AMAT 0140-00144 CABLE P5000 150881 AMAT 1104 1104B SCANNING MONOCHROMATOR P5000 150882 AMAT AC11200-00LA WESTER DIGITAL CAVIAR 11200 MODEL AC11200-00LA P5000 150883 AMAT 0100-09172 EIGHT CHANNEL EMISSION/LASER ENDPOINT BOARD 1

50884 AMAT FULL P5000 GAS PANEL P5000 151652 AMAT TTVO22 150885 AMAT FULL P5000 GAS PANEL P5000 151653 AMAT 500086181 CHAMBER TOPS 150886 AMAT 0090-09116 THERMAL ELECTRIC DRIVER II P5000 150887 AMAT 044-592-D 151655 AMAT 0190-01291 HV FILTER BOARD 150888 AMAT 0100-70019 CONTROLLER DISTRIBUTION WPS BD P5000 151656 AMAT 0100-00493 HDP-CVD ULTIMA 150889 AMAT 0100-09137 ENCODER INTERFACE BD P5000 151657 AMAT 0100-20097 WATER LEAK DETECTER 150890 AMAT 0100-09071 SBC 1/0 BREAKOUT BD P5000 150891 AMAT 0100-09056 P5000 INTELIGENT INTERFACE 151659 AMAT 718-736 THERMALOGIC 150892 AMAT 0090-09061 THERMAL ELECTRIC DRIVER P5000 151660 AMAT 0100-20100 ANOLOG I/O BOARD 150893 AMAT 0100-09117 DIO FUSE BD P5000 151661 AMAT 0100-20001 SYSTEM ELECTRONICS INTERFACE BOARD 150894 AMAT 0100-09362 DPA DIDO PIGGY BACK BOARD P5000 150895 AMAT 0100-09134 DIO FUSE BOARD 150896 AMAT 0660-01088 DRIVE P5000 151664 AMAT 0100-00396 ANOLOG I/O BOARD 150897 AMAT 0100-09011 A1 MUX/CURRENT SENSE P5000 151665 AMAT 0100-20173 STEPPER CONTROLLER BOARD 150898 AMAT 0100-20003 DIGATAL 1/0 BOARD P5000 150899 AMAT 0100-09012 P5000 151667 AMAT 0100-35049 SYS/RESET/INTLK SEL BD 150900 AMAT 0100-76254 POWERSUPPLY BACKPLANE P5000 151668 AMAT 0100-35054 CHAMBER INTERFACE BOARD BASIC ASSY 183669 AMAT 0190-24834-002 MFC Celerity ufc-8165 1L H2 3 good50901 AMAT 0100-76085 STSTEM ELECTRONICS BACKPLANE P5000 151669 AMAT 0100-18034 CHAMBER PNEUMATIC INTERCONNECT ULTIMA ASSY 150902 AMAT 369362 LAMBDA SWITCHING POWER SUPPLY P5000 151670 AMAT 0190-00398 MICROWAVE CONTROL MODULE 150903 AMAT 0100-35100 CONTROLLER I/O P5000 151671 AMAT 0010-09490 ASSY RF MATCH BW ETCHBACK 1

50904 AMAT 0100-76061 SYSTEM ELECTRONIC BACKPLANE P5000 151672 AMAT TURBO PUMP TURBOVAC 340MC 150905 AMAT 0010-35249 ASSY, ENHANCED WAFER SENSOR P5000 151673 AMAT 0010-09978 LAMP MODULE ASSY PLATED 200MM 184186 AMAT 0010-27431 12 Cobalt SIP ESC 2 300mm51674 AMAT 0190-70060 PTC POWER DIST 184187 AMAT 0195-01314 12 Dual Zone Ceramic Heater 1 300mm50907 AMAT 0020-10117 Process Kit 03 Teos P5000 166012 AMAT P5000 Mark 2 MXP+ Oxide Etch 1 200mm excellent 01.02.199684188 AMAT 0010-29443 12 Purge ceramic heater 1 300mm50908 AMAT 0020-09031 Sputter Process Kit P5000 151676 AMAT 0010-13445 MONITOR STAND ALONE 184189 AMAT 0190-23942 12 Ultima DPS ESC Assy 0 300mm50909 AMAT 0020-30347 Oxide Process Kit P5000 151677 AMAT 0100-09126 REMOTE WIRING DISTRIBUTION BOARD 184190 AMAT 0010-01456 6 MCA E chuck 0 150mm51678 AMAT 0240-70820 REMOTE SIGNAL CABLES 184191 AMAT 0010-36734 12 producer ceramic heater 0 300mm50911 AMAT 0020-30347 Process Kit DT ESCWC P5000 151679 AMAT 0015-09091 PTC POWER DIST 184192 AMAT 0010-24456 12 MCA E chuck SNNF, SLT ESC 0 300mm50912 AMAT 0020-30347 Process Kit S3V UNI92 P5000 151680 AMAT 118-10-0030-0 MOTOR 184193 AMAT 0010-01926 8 Heater assy, JMF Biased Electrode 0 200mm50913 AMAT 0020-10123 Process Kit Nitride P5000 151681 AMAT KB5965V PC 184194 AMAT 0010-27983 12 PIB HT BESC D4 assy 0 300mm

50914 AMAT T2OPI-00573-028 TUBE CONTROLLER CX 2000 (MONITOR) P5000 151682 AMAT AET16-4490C TURBO-MOLECULAR PUMP CONTROLLER 169859 AMAT P5000 P5000 MxP, 2CH 1 200mm84195 AMAT 0010-08750 8 MCA E chuck SNNF SLT ESC 050915 AMAT 0100-20003 DIGITAL I/O BOARD P5000 151683 AMAT 0100-20173 STEPPER CONTROLLER 150916 AMAT 0100-20100 ANALOG I/O BOARD P5000 1

51684 AMAT 0190-76005 OMS 150917 AMAT 0100-00003 STEPPER DRIVE P5000 151685 AMAT 0100-00396 ANOLOG I/O BOARD 1 good50918 AMAT 0100-09379 LAMP TEST MOD 1 PAB P5000 151686 AMAT 0100-20100 ANOLOG I/O BOARD 150919 AMAT 0660-01088 HARD DRIVE P5000 2 excellent51687 AMAT 0100-20001 SYSTEM ELECTRONIC INTERFACE BOARD 150920 AMAT 859-0931-004 MONOLITHIC STAGE BACKPLANE P5000 151688 AMAT 0100-76124 DIGITAL I/O BOARD 150921 AMAT 0100-13024 INTERFACE PROCESSER DIST P5000 160905 AMAT 2CH PRODUCER 1 01.06.200650922 AMAT 0100-35175 CHAMBER INTERFACE P5000 151690 AMAT 0100-35049 WATER LEAK DETECTOR 160906 AMAT 1ch PRODUCER 150923 AMAT 0100-35067 MAINFRAME PNEUMATIC INTERCONNECT 1 excellent51691 AMAT 0100-20063 TC GAUGE BOARD 150924 AMAT 0100-35065 SERIAL ISOLATOR P5000 151692 AMAT 0100-35053 MAINFRAME INTERLOCK 160908 AMAT Mirra on track 150925 AMAT 0100-20066 ANOLOG SYNC DETECT BD P5000 151693 AMAT 0100-35058 LOADLOCK INTERLOCK 160909 AMAT P-5000 DxL 4CH 150926 AMAT T2DCI-11336-10176 HEATER TAPE UNIT P5000 151694 AMAT 0090-75017 DUAL GAS LEAK DETECTER 150927 AMAT 732KEE83486 NITRIDE HEATER BLANKET CONTROLLER P5000 151695 AMAT 012685-102 COMPONENT SIDE 160911 AMAT P-5000 MxP+ Oxide 3C/H, OPTIMA 150928 AMAT CONNECTOR BOARD P5000 151696 AMAT 0100-20097 WATER LEAK DETECTOR 1

60912 AMAT CENTURA-I PHASE II 150929 AMAT 0200-00289 CYLINDER P5000 151697 AMAT 0110-18062 CONNECTOR BOARD 160913 AMAT TxZ 3C/H TECTRA 150930 AMAT 0010-75090 MONITOR BASE P5000 151698 AMAT MSX-X735 RF GENERATOR CONTROLLER 150931 AMAT 0190-35173 POWER SUPPLY P5000 151699 AMAT ARX-X252 RF OUTPUT 150932 AMAT WATER COOLER P5000 151700 AMAT 0100-76085 SYSTEM ELECTRONICS BACKPLANE 160916 AMAT 2C/H MXP POLY 1 01.06.199550933 AMAT 0040-35966 B CHAMBER TOPS P5000 151701 AMAT 0100-09153 GAS PANEL BD 150934 AMAT 0190-35116 INTERFACE CONNECTOR 151702 AMAT 0100-09029 TURBO INTERCONNECT 150935 AMAT 0190-35116 INTERFACE CONNECTOR P5000 151703 AMAT 0100-00014 OPTO DEFECT 150936 AMAT 0100-18062 CHAMBER TRAYULTIMA 151704 AMAT 0100-76124 DIGITAL I/O BOARD 160920 AMAT Centura MxP+ Oxide 1 01.06.199750937 AMAT 233355345 ASSY PNEUMATIC 151705 AMAT 0100-09123 WAFER SENSOR BOARD 160921 AMAT Centura MxP+ Oxide 1 01.12.199751706 AMAT 0100-09129 TEOS STATUS BOARD 160922 AMAT Centura MxP+ Oxide 1 01.12.199650939 AMAT 0010-20524 ASSY RF MATCH BW ETCHBACK 151707 AMAT 0100-76258 GAS PANEL BOARD 151708 AMAT 0100-09175 TEOS INTERLOCK BOARD 150941 AMAT 0010-09490 TURBO PUMP TURBOVAC 151709 AMAT 0226-30332 MODIFIED N-DRYVAC DISTRIBUTION BD 151710 AMAT 0100-09040 SSR AC CONTROL 150943 AMAT EXU-246R3 SSD POWER SUPPLY 151711 AMAT 0100-09174 TEOS GAS INTERLOCK BOARD 177090 AMI B390.05 belt 177153 AMI 885 Screen printer Squeegee box lot 1 as new81833 AMI 885 Screen printer control box 706271 1 good

74261 AMI Presco ACL-TS Solar wafer picker tool 1 excellent 01.01.200774262 AMI Presco CP-885 PRODUCTION SCREEN PRINTER, 8"x8" 1 01.01.200874263 AMI Presco MSP-9155 SCREEN PRINTER 1 SOLAR 01.01.200774264 AMI Presco MTF-SI Solar cell (AG) flip tool 1 excellent 01.01.200774265 AMI Presco UCL-TS Solar wafer picking and placing tool 1 excellent 01.01.200767451 AMI Presco CP-645 PRODUCTION SCREEN PRINTER, 3 SECOND CYCLE TIME, 3X3" PRINT AREA 167452 AMI Presco M-22 3X3" PRINT AREA SCREEN PRINTER, TABLE TOP 177188 AMI Presco USP206-2E Solar wafer conveyor, 156mm for use with screen printers, etc. 1 156mm good70644 AMI Presco MSP Integrated Solar Cell Print Line 1 156 mm good82217 Amicra AIS Wafer Inker 2 Up to 5 inch excellent 01.06.200737688 Amray 1860 FE Scanning Electron Microscope 171049 AMS IDEAL MOLD SYSTEM 1 excellent 01.05.200884241 Anaheim Automation TM4500 AA4520 Stepper controller/driver board 1 Spares good77162 Anaheim Automation 23D306S Stepper motor 3.4VDC 2.9A 1 Spares as new67193 Anatech P600 176930 Anatech mr600 quertz 120V 15A 60Hz 279884 ANDO AF9723 Eprom Gang programmer w/ RAM expansion 1 Electronics Test and excellent 01.01.199577988 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.199877989 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.199977990 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.199977991 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.200077992 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.200277993 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.200277994 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.200077995 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.199877996 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.199877997 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.199977998 Ando AF8642D1 Burn-In Oven 1 TEST 01.06.200582845 Anelva E400 PVD (Physical Vapor Deposition) 1 150 good 01.06.200684649 Anelva ILD-4100SDII Oxide etcher 184650 Anelva ILD-4100SDII Oxide etcher 184651 Anelva ILD-4100SR Oxide etcher 184652 Anelva ILD-4100SR Oxide etcher 184653 Anelva ILD-4100SR Oxide etcher 1

84654 Anelva ILD-4100SR Oxide etcher 185995 Anelva Cosmos II I-1201CX PVD Cu Barri PVD (Physical Vapor Deposition) 1 300 mm

84728 Anelva I-1060SV2+1 PVD Sputter 184729 Anelva I-1060SV2+1 PVD Sputter 184221 ANELVA 954-7700 ANELVA 954-7700 SWITCH 1 excellent83647 Anelva / Canon I1201 CX PVD deposition system / Seed Metal Sputter Process 1 300 mm excellent 01.12.20042669 ANGELANTONI T600 TU5 BAKE OVEN 1 FACILITIES excellent 01.08.19954228 ANGELANTONI T600 TU5 BAKE OVEN 1 FACILITIES excellent 01.08.199510637 Angelantoni T600 TUS Clean Room Oven 1 FACILITIES good67777 Angstrom MeasuremeSL8000 with Cognex 3400 Vision IVS Line-width SEM Measurement 163827 Anritsu MP1552B SDH/PDH/ATM Analyzer 963828 Anritsu MP1550 A 363829 Anritsu MP1550 B 160842 Anritsu ML2438A Dual Input Power Meter 184557 AP CIS-FTV4 Autotester 184558 AP CIS-FTV4 Autotester 184559 AP CIS-FTV4 Autotester 184560 AP CIS-FTV4 Autotester 184561 AP CIS-FTV4 Autotester 184562 AP CIS-FTV4 Autotester 184563 AP CIS-FTV4 Autotester 134740 AP & S TwinStep-B H3P04 Semi-Automatic H3PO4 2 stage Megasonic QDR 1 200 mm excellent 01.11.200536501 AppiledBoisystem ABI-3700 ANALYZER AUTOMATIC SEQUENCER 1 01.06.200078336 Applied Materials VERITY 4i+ Metrology 1 good83968 Applied Materials Solion High Current Implanters for doping of solar wafers 5 156 mm excellent 01.06.201085248 Applied Materials Producer SE HT SiN 1 300 mm 01.06.200585249 Applied Materials Producer SE PESiON 1 300 mm 01.06.200485250 Applied Materials Producer SE PESiON 1 300 mm 01.06.200637634 APPLIED MATERIALS ENDURA PVD 0 fair

85251 Applied Materials Producer SE SA HARP 1 300 mm 01.06.200285252 Applied Materials Producer SE ULK 1 300 mm 01.06.200685253 Applied Materials Producer SE USG/BPSG 1 300 mm 01.06.200485254 Applied Materials Producer SE UV Cure 1 300 mm 01.06.201079881 Applied Materials eMxP+ process chamber for oxide etching 1 200 mm good86025 APPLIED MATERIALS QUANTUM X+ SINGLE WAFER HIGH CURRENT IMPLANTER 1 300 mm excellent79882 Applied Materials 5200 Centura I Phase II Oxide etcher 1 200 mm good

86035 APPLIED MATERIALS SEMVISION CX REVIEW STATION, SEM DEFECT 1 300 mm71957 Applied Materials P_5000 (2CVD / 1 ETCH) CLUSTER TOOL 1 8"

78614 Applied Materials 0020-C1011 Complus laser head 1 spares refurbished71959 Applied Materials SEMVISION Defect Review SEM with EDX 1 200 mm good 01.01.1999

78615 Applied Materials 0020-C1011 Complus laser head 1 spares refurbished71960 Applied Materials SEMVISION CX INSPECTION SEM 1 200 mm good 01.06.200084505 Applied Materials Centura 5200 GigaFill SACVD 1 200 mm excellent 01.01.200085285 Applied Materials Centura DxZ Nitride 1 200 mm 01.06.199678118 Applied Materials Mirra Trak CMP tool with c leaner 1 200 mm refurbished78119 Applied Materials Mirra AS2000 CMP tool with c leaner 1 200 mm refurbished79400 Applied Materials Centura 5200 IPS (Spares) DI-ELECTRIC ETCH Process Chamber 1 200 mm excellent84008 Applied Materials P5000 WCVD 2-chamber 1 excellent86056 Applied Materials Centura EPI Epitaxial Deposition 1 300 MM excellent 01.06.200684777 Applied Materials Semvision G3 with EDX Defect Review Sem 1 300 mm excellent86057 Applied Materials Centura EPI Epitaxial Deposition 1 300 MM excellent 01.06.200885290 Applied Materials Centura DPS G2 Poly 1 300 mm 01.06.200383755 Applied Materials PRODUCER GT CVD 1 300 mm excellent 01.09.201184779 Applied Materials Centura 5200 WxZ 3 chamber WxZ deposition system 1 200 mm excellent 01.06.199585291 Applied Materials Centura DPS G2 Poly 1 300 mm 01.06.200383756 Applied Materials RADIANCE RTP 1 300 mm excellent 01.10.200385292 Applied Materials Centura DPS G5 Poly 1 300 mm 01.06.201685293 Applied Materials Centura DPS G5 Poly 1 300 mm 01.06.200685294 Applied Materials Centura DPS G5 Poly 1 300 mm 01.06.200785295 Applied Materials Centura DPS G5 MESA Poly 1 300 mm 01.06.200711568 Applied Materials 0020-0323 REV H Heat Exchanger 1 FACILITIES good82736 Applied Materials 5000PLATFORM CVD 1 6" 01.06.199585296 Applied Materials Centura DPS R1 Metal 1 200 mm 01.06.199811569 Applied Materials 0290-09018 Rev F Heat Exchanger 1 FACILITIES excellent 01.04.199682737 Applied Materials Endura 5500 Sputter 1 6 01.06.1993

85297 Applied Materials Centura DPS R1 Metal 1 200 mm 01.06.199885553 Applied Materials N_LIGHTEN Epi MOCVD 1 100 mm 01.06.201282738 Applied Materials P-5000CVD LTO 1 6 01.06.199085298 Applied Materials Centura DPS R1 Metal 1 200 mm 01.06.199782739 Applied Materials P-5000CVD LTO 1 6 01.06.199085299 Applied Materials Centura DPS R1 Poly, Metal Etch 1 200 mm 01.06.199782740 Applied Materials P-5000CVD P-CVD 1 6 01.06.198883764 Applied Materials SEMVISION G2 SEM Defect review and analysis 1 300 mm excellent 01.05.200585300 Applied Materials Centura DPS+ Poly 1 200 mm 01.06.1999

85556 Applied Materials Endura CL PVD 1 300 mm 01.06.200083765 Applied Materials ENDURA 2 (CHAMBER ONLY) Metal Deposition 1 300 mm good 01.03.2016

85301 Applied Materials Centura DPS2 1 01.06.200285557 Applied Materials Endura CL PVD 1 300 mm 01.06.200566102 APPLIED MATERIALS 5200 Centura EPI 5200 Centura EPI 1 refurbished83766 Applied Materials ENDURA 2 (CHAMBER ONLY) Metal Deposition Chamber C 1 300 mm excellent 01.07.201685302 Applied Materials Centura DPS532 Metal 1 300 mm 01.06.200685558 Applied Materials Endura2 PVD 1 300 mm 01.06.200983767 Applied Materials ENDURA 2 (CHAMBER ONLY) Metal Deposition - Chamber D 1 300 mm good 01.07.201684535 Applied Materials CENTURA 5200 DPS+METAL Dry Etch 1 200 mm good85303 Applied Materials Centura eMax CT Oxide 1 300 mm 01.06.200485559 Applied Materials Endura2 PVD 1 300 mm79160 Applied Materials Various PCBs Stock of AMAT PCBs for sale - see attached list 1 Spares excellent83768 Applied Materials ENDURA 2 (CHAMBER ONLY) Metal Deposition 1 300 mm good 01.01.201684536 Applied Materials CENTURA 5200 DPS+METAL Dry Etch 1 200 mm excellent85304 Applied Materials Centura eMax CT+ Oxide etcher 1 300 mm85560 Applied Materials Endura2 PVD 1 300 mm 01.06.200884537 Applied Materials ENDURA2(Process Chamber) PVD 1 300 mm excellent85305 Applied Materials Centura Enabler ETCH 1 300 mm 01.06.201085561 Applied Materials EnduraCL CVD AL METAL 1 01.06.201678650 Applied Materials Centura 5200 Rev. 4 Poly / WSiX 1 300 mm excellent 01.03.200782746 Applied Materials P-5000 Etching System 1 5 01.06.199883514 Applied Materials Opal 7830i Enhanced CD-SEM 1 200 mm excellent 01.06.199784538 Applied Materials ENDURA2(Process Chamber) PVD 1 300 mm excellent85306 Applied Materials Centura Enabler ETCH 1 300 mm 01.06.2010

11579 Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT 1 200 mm excellent 01.06.199982747 Applied Materials P-5000Etch RIE(Al-Si) 1 6 01.06.199384539 Applied Materials CENTURA 5200 RTP MOD-I RTP 1 200 mm excellent85307 Applied Materials Centura Enabler ETCH 1 300 mm 01.06.200782748 Applied Materials P-5000Etch RIE(Al-Si) 1 6 01.06.199185308 Applied Materials Centura Enabler ETCH 1 300 mm 01.06.200877885 Applied Materials Centura 5200 EMAX CT PLUS 3C OXIDE ETCH 3 CHAMBER 1 300 mm excellent 01.05.200185309 Applied Materials Centura Enabler Oxide 1 300 mm 01.06.200677886 Applied Materials Centura 5200 EMAX CT+ 3CH OXIDE ETCH 3 CHAMBER 1 300 mm good 01.06.200485310 Applied Materials Centura Super E Oxide Etch 1 200 mm 01.06.199785311 Applied Materials Centura1 MXP Metal etch 1 150 mm 01.06.1998

53055 APPLIED MATERIALS 0190-33289 RTP CHAMBER LAMP 183 spares excellent85312 Applied Materials Centura1 MXP Poly 1 200 mm

85824 Applied Materials Centura AP Axiom HT Chamber Metal Etch 1 300 mm56896 Applied Materials Centura 5200 AP 4 CHAMBER DIELECTRIC / METAL ETCHER 1 300 mm excellent 01.06.200785313 Applied Materials DPS Extra Chamber DPS (AA SI RIE) 1 200 mm85825 Applied Materials Centura AP DPS II Polysilicon Polysilicon Etch 1 300 mm83778 Applied Materials SEMVISION G2 SEM Defect review and analysis 1 300 mm excellent 01.05.200385314 Applied Materials MARIANA(Chamber) Etch 1 300 mm 01.06.201185826 Applied Materials Opus AdvantEdge Metal Etch 1 300 mm78149 Applied Materials Centura 5200 DPS+ Metal etcher 1 200 mm excellent78661 Applied Materials P5000 CVD 1 200 mm 01.06.200284549 Applied Materials Endura II Chamber TXZ TXZ Process Chamber 1 300 mm excellent78150 Applied Materials VHP+ Full Kit Robot 1 spares excellent78151 Applied Materials 0090-90352 160KV post-acceleration converter. 1 spares good85575 Applied Materials Centura 5200 MOD1 RTP 1 200 mm 01.06.199985576 Applied Materials Centura DPN RTP 1 300 mm 01.06.200885577 Applied Materials Centura DPN RTP 1 300 mm 01.06.200884554 Applied Materials 0010-05254 200 mm DxZ heater 2 200 mm new unused

85578 Applied Materials Centura Radiance RTP 1 300 mm62540 Applied Materials Centura MXP Metal Metal Etcher 1 150 mm good78670 Applied Materials DPS AE Poly G3 Etch POLY 1 300 MM 01.06.200778671 Applied Materials DPS AE Poly G3 Etch POLY 1 300 MM 01.06.200782769 Applied Materials PI-9500 Implanter 1 6 01.06.19953419 Applied Materials P5000 CVD System, 2 Chamber TEOS Oxide CVD 1 200 MM good 01.01.199485341 Applied Materials Centura EPI EPI 1 200 mm

86109 Applied Materials VIISTA PLAD High dose implanter 1 300 mm 01.06.200286110 Applied Materials VIISTA PLAD High dose implanter 1 300 mm 01.06.200979200 Applied Materials Centura 5200 IPS (Spares) qty 3 IPS Process Chambers 3 200 mm excellent79713 Applied Materials Endura 5500 (Spares) PVD Chamber CPO- VMO 1 300mm good85345 Applied Materials COMPASS 300 Patterned Wafer Inspection 1 200 mm 01.06.200079714 Applied Materials P5000 CVD 1 200mm good85346 Applied Materials ComPLUS MP Wafer Inspection 1 200 mm 01.06.200484835 Applied Materials Chamber TxZ chamber 2 300 mm excellent85347 Applied Materials SemVision CX Defect Review SEM 1 200 mm85348 Applied Materials SEMVision G2 Defect Review SEM 1 300 mm 01.06.200378437 Applied Materials Carina to G5 Upgrade DRY ETCH CLUSTER TOOL, 2 CHAMBER Hi K MG Etch 1 300 mm excellent 01.06.2007

85349 Applied Materials WF720 Metrology 1 150 mm 01.06.199784327 Applied Materials SEMVISION CX Defect Review SEM 1 200 mm excellent 01.06.1999

36456 APPLIED MATERIALS Centura Wsix CVD 1 200mm 01.06.200136457 APPLIED MATERIALS Centura Wsix 1 200mm 01.06.200086123 Applied Materials REFLEXION CMP System 1 300 mm 01.06.2004

36459 APPLIED MATERIALS CENTURA, DPS poly 1 200mm 01.06.199782287 Applied Materials DPS II chamber chamber only 10 300mm good

82288 Applied Materials eMax chamber chamber only 5 300mm good84848 Applied Materials Mirra 3400 CMP system with ONTRACK cleaner 1 200 mm 01.06.200086128 Applied Materials CENTURA W-CVD_3CH 3 chamber tungsten CVD system 1 200 mm76657 Applied Materials NANOSEM 3D CD SEM with 3 D capability 1 200 mm refurbished 01.07.200286129 Applied Materials CENTURA WSI CHAMBER Wsi Chamber 1 300 mm76658 Applied Materials VERASEM 3D CD SEM with 3D capabilities 1 200 mm refurbished 01.01.200485106 Applied Materials Endura 5500 PVD Tungsten barrier seed 1 200 mm86130 Applied Materials CENTURA WSI CHAMBER Wsi Chamber 1 300 mm76659 Applied Materials VERASEM 3D CD SEM with 3D capability 1 200 mm refurbished 01.03.200486131 Applied Materials CENTURA WSI CHAMBER Wsi Chamber 1 300 mm70004 Applied Materials Parts Misc parts 1 Spares excellent

86132 Applied Materials CENTURA WSI CHAMBER Wsi Chamber 1 300 mm76661 Applied Materials VERASEM 3D CD SEM with 3 D capability 1 200 mm refurbished 01.08.200386133 Applied Materials P3I(ACP/3CH) 1 300 mm 01.06.201076662 Applied Materials VERASEM 3D CD SEM with 3 D capability 1 200 mm refurbished 01.10.200386134 Applied Materials PRODUCER_SE_2CH CVD 1 300 mm 01.06.200672055 Applied Materials ENDURA TXZ CHAMBER Chamber Only 1 12"86135 Applied Materials PRODUCER_SE_2CH CVD 1 300 mm 01.06.200686136 Applied Materials PRODUCER_SE_2CH CVD 1 300 mm 01.06.200786137 Applied Materials CENTURA 5200 MXP+/OXIDE Oxide Etcher 1 150 mm 01.06.199986138 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm84859 Applied Materials Centura HDPCVD CVD 1 200 mm86139 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm84348 Applied Materials Endura Endura 6" process kit, Used, in AMAT box 84-9502-280 1 150mm good84860 Applied Materials eMAX CT + Dry Oxide etch, 3 chambers 1 300 MM excellent86140 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm 01.06.201284861 Applied Materials P5000 CVD TEOS 1 200 mm86141 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm 01.06.201284862 Applied Materials P5000 PECVD passivation 1 200 mm 01.06.199686142 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm84863 Applied Materials P5000 - Mark II CVD TEOS 1 200 mm

86143 Applied Materials CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm79232 Applied Materials Endura II Chambers (4 units) PVD process chambers for W, HP AL, SIP, TTN, or IMP Ti 4 300 mm excellent 01.06.200984864 Applied Materials P5000 - Mark II teos deposition 3 chamber 1 200 MM 01.06.2000

86144 Applied Materials CENTURA_DPS G3_3CH Dry etcher 1 300 mm 01.06.200136480 APPLIED MATERIALS P-5000 1 01.12.1995

84865 Applied Materials PRODUCER GT Chemical Vapor Deposition Equipment 1 300 MM86145 Applied Materials CENTURA_eMAX CT_2CH Dry etcher 1 300 mm36481 APPLIED MATERIALS P-5000 CVD SACVD, Ozone TEOS 1 200mm 01.04.199686146 Applied Materials P5000 MxP METAL(2 METAL & 1 A Metal etcher 1 150 mm36482 APPLIED MATERIALS P-5000 CVD SACVD 1 200mm 01.06.199886147 Applied Materials P5000 MxP METAL(2 METAL & 1 A Metal etcher 1 150 mm 01.06.199736483 APPLIED MATERIALS P-5000 CVD SACVD, Ozone TEOS 1 200mm 01.06.199686148 Applied Materials P5000 MxP_METAL (Mainbody onl Metal etcher 1 150 mm36484 APPLIED MATERIALS P-5000 DxL DxL 1 200mm 01.12.1998

86149 Applied Materials P5000_MXP+_POLY Poly etcher 1 200 mm 01.06.199536485 APPLIED MATERIALS P-5000 DxL DxL 1 200mm 01.06.1995

36486 APPLIED MATERIALS P-5000 DxL DxL, TEOS 1 200mm 01.11.199236487 APPLIED MATERIALS P-5000 DxL DxL, TEOS 1 150mm36488 APPLIED MATERIALS P-5000 METAL METAL 1 150mm 01.06.199836489 APPLIED MATERIALS P-5000 MXP POLY 1 200mm36492 APPLIED MATERIALS P-5000 OXIDE OXIDE, OPTIMA TYPE 1 200mm 01.04.199536493 APPLIED MATERIALS P-5000 OXIDE OXIDE, OPTIMA TYPE 1 200mm 01.05.199583598 Applied Materials Producer SE CVD system, with Dual Twin chambers, BPSG process 1 300 mm excellent 01.06.200336494 APPLIED MATERIALS P-5000 OXIDE OXIDE, OPTIMA TYPE 1 200mm34703 Applied Materials 9500 XR (Spares) Direct drive motor for 6 inch wafers use 1 150 mm excellent78480 Applied Materials XR80 High Current Implanter 1 200 mm excellent 01.06.199771569 APPLIED MATERIALS 7800 EPI DEPOSITION 575409 Applied Materials 8330 Metal etch 1 200 mm71570 APPLIED MATERIALS WF720 WAFER INSPECTION 175410 Applied Materials 8330 Metal etch 1 200 mm71571 APPLIED MATERIALS CENTURA RTP 5200 RTP 184630 Applied Materials P5000 CVD 186166 Applied Materials VERASEM 3D SEM 1 200 mm 01.06.200184631 Applied Materials P5000 CVD 185911 Applied Materials Quantum X Plus High Current Implanter 1 300 mm18840 APPLIED MATERIALS 0230-00101 Precis ion etch 8300 184632 Applied Materials P5000 CVD 185656 Applied Materials Reflexion LK Multi-Process CMP 185912 Applied Materials Quantum X Plus High Current Implanter 1 300 mm

18841 APPLIED MATERIALS 0230-09130 Precis ion 5000 Mark II spare part identified 118842 APPLIED MATERIALS 0230-09259 Precis ion 5000 Mark II Mainframe and support equipment manual 1

18843 APPLIED MATERIALS 079-1202-0D PR 5000 Advanced prev. And corrective main 14252 Applied Materials 0230-09130 P5000 SPARE PARTS IDENTIFIER 1 Spares 01.01.1992

18844 APPLIED MATERIALS 026-110-0B1 PR 5000 Func desc. Practice exercic i and basic prev. maintenance procedures 185661 Applied Materials Centura 4.0 DPN Plus Chamber Decoupled Plasma Nitride 1 300 mm82846 Applied Materials Centura 5200 DPS 1 200 good85662 Applied Materials Centura 4.0 DPN Plus Chamber Decoupled Plasma Nitride 1 300 mm69791 Applied Materials Xe Plus chamber Chamber, RTP 1 200 mm good82847 Applied Materials Centura 5200 DPS Plus Poly Etch 2 200 good 01.01.200685663 Applied Materials Centura 4.0 DPN Plus Chamber Decoupled Plasma Nitride 1 300 mm

69792 Applied Materials Xe Plus chamber Chamber, RTP 1 200 mm refurbished82848 Applied Materials Centura 5200 DPS plus poly etch 1 200 good 01.06.1999

85664 Applied Materials Centura 4.0 DPN Plus Chamber Decoupled Plasma Nitride 1 300 mm69793 Applied Materials Centura XE Plus RTP cluster tool 1 200 mm refurbished

82849 Applied Materials Centura AP Multi-Process Etch AP 2 300 good 01.01.200185665 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm85666 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm82851 Applied Materials Centura DxZ Multi-Process CVD 1 200 good 01.01.200085667 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm79524 Applied Materials Semvision G5 + EDX defect review SEM 1 300 mm excellent 01.06.201182852 Applied Materials Centura Multi-Process CVD Multi-Process CVD 1 200 good 01.01.199785668 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm82853 Applied Materials Centura Ultima HDP CVD (Chemical Vapor Deposition) 1 200 good 01.01.200185669 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm55973 Applied Materials Centura AP Epi Epitaxial reactor ACP/EPI 1 300 mm excellent 01.01.200782854 Applied Materials Centura Ultima Plus HDP CVD (Chemical Vapor Deposition) 1 200 good 01.06.200385670 Applied Materials Producer GT - UV Cure Chamber PECVD (Chemical Vapor Deposition) 1 300 mm85926 Applied Materials ComPLUS 3T Darkfield Inspection 1 300 mm82855 Applied Materials Centura Ultima X HDP CVD (Chemical Vapor Deposition) 1 200 good 01.06.200685671 Applied Materials Producer GT - UV Cure Chamber PECVD (Chemical Vapor Deposition) 1 300 mm85927 Applied Materials ComPLUS 3T Darkfield Inspection 1 300 mm82856 Applied Materials Centura Ultima X HDP CVD (Chemical Vapor Deposition) 1 200 good 01.06.200685672 Applied Materials Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition) 1 300 mm85928 Applied Materials ComPLUS 4T Darkfield Inspection 1 300 mm85673 Applied Materials Producer SE APF PECVD (Chemical Vapor Deposition) 1 300 mm85929 Applied Materials SEMVision ADC Server SEM - Defect Review (DR) 1 300 mm82858 Applied Materials Centura Ultima X HDP CVD (Chemical Vapor Deposition) 1 200 good 01.06.2006

85674 Applied Materials Producer SE SACVD SACVD (Chemical Vapor Deposition) 1 300 mm85930 Applied Materials Uvision 200 Brightfield Inspection 1 300 mm

82859 Applied Materials Centura Ultima X HDP CVD (Chemical Vapor Deposition) 1 200 good 01.06.200784907 Applied Materials Centura Carina Chamber, Position Etch Chamber 1 300 MM85931 Applied Materials Uvision 3 Brightfield Inspection 1 300 mm82860 Applied Materials Centura WxZ Metal CVD (Chemical Vapor Deposition) 2 200 good 01.06.199884908 Applied Materials Centura RTP XE RTP 2 CHAMBER 1 200 mm excellent85932 Applied Materials Uvision 5 Brightfield Inspection 1 300 mm82861 Applied Materials ComPlus - 2T Wafer Inspection Equipment 2 200 good84909 Applied Materials Centura, MxP+ Oxide Etch 1 200 mm85933 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm

82862 Applied Materials NanoSEM CD SEM 1 200 good 01.01.200184910 Applied Materials DPS II Metal W Metal Etch 1 300 MM 01.06.2005

85934 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm75695 Applied Materials Centura Epi ACP Epitaxial reactor Reduced Pressure 6 300 mm 01.01.200782863 Applied Materials NanoSEM 3D CD SEM 1 200 mm good 01.01.200084911 Applied Materials DPS II POLY Poly Etch 1 300 MM 01.06.200785935 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm79280 Applied Materials Compass 200mm SEM 1 200 MM 01.06.200182864 Applied Materials P5000 Multi-Process CVD Multi-Process CVD 1 200 good 01.06.199684912 Applied Materials DPS II POLY Poly Etch 1 300 MM 01.06.200785936 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm79281 Applied Materials Compass Pro 300 SEM 1 300 mm 01.06.200182865 Applied Materials P5000 Multi-Process CVD Multi-Process CVD 1 200 good 01.06.199384913 Applied Materials DT HART Dry Etch 1 300 MM 01.06.200685937 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm79282 Applied Materials Compass Pro 300 SEM 1 300 mm 01.06.200282866 Applied Materials P5000 PECVD PECVD (Chemical Vapor Deposition) 2 150 good84914 Applied Materials OPUS DRY ETCH 1 300 MM 01.06.200785938 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm54194 APPLIED MATERIALS 5500 Endura PVD 1 good79283 Applied Materials Compass Pro 300 SEM 1 300 mm 01.06.200182867 Applied Materials P5000 TEOS Multi-Process CVD 2 200 good 01.06.199485939 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm78260 Applied Materials Titan 1 CMP polishing heads 20 200 mm excellent85940 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm

82869 Applied Materials SEMVision cX Defect Review SEM 1 200 mm good 01.02.200085941 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm75190 Applied Materials 0010-99113 ITL 8 inch Hollow Gripper Assy for 9500XR 182870 Applied Materials VeraSEM 3D CD SEM 1 300 good 01.06.200185942 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm85943 Applied Materials VeritySEM 3 SEM - Critical Dimension (CD) Measurement 1 300 mm85944 Applied Materials VeritySEM 3 SEM - Critical Dimension (CD) Measurement 1 300 mm85945 Applied Materials VeritySEM 3 SEM - Critical Dimension (CD) Measurement 1 300 mm86201 Applied Materials ENDURA RE-FLOW CHAMBER PVD chamber 1 300 mm85946 Applied Materials VeritySEM 3 SEM - Critical Dimension (CD) Measurement 1 300 mm86202 Applied Materials ENDURA RE-FLOW CHAMBER PVD chamber 1 300 mm86203 Applied Materials ENDURA TxZ CHAMBER TXZ Chamber 1 300 mm86206 Applied Materials CENTURA RTP XE Rapid Thermal Processing system 1 200 mm 01.06.200079295 Applied Materials Centura AP DRY ETCH CLUSTER TOOL 1 Set 300 mm 01.06.200179296 Applied Materials P5000 OXIDE ETCHER 2 CHAMBER DXZ 1 150 MM 01.06.199783648 Applied Materials Producer SE HARP / USG Deposition System 1 300 mm excellent 01.06.200284160 Applied Materials CENTURA 5200 RTP XE+ RTP SYSTEM 1 200 mm good71617 APPLIED MATERIALS CENTURA RTP 5200 RTP 184161 Applied Materials Wide Body Load Lock PARTS 1 200 mm good84162 Applied Materials PC-II CH. CHAMBER 1 200 mm excellent84163 Applied Materials EMAX CT+ DIELECTRIC ETCHER 1 300 mm excellent84164 Applied Materials P5000 1 200 mm84165 Applied Materials P5000 1 200 mm83658 Applied Materials Endura 2 (Chamber) MOCVD chamber 1 12 inch excellent83659 Applied Materials Endura 2 (Chamber) MOCVD chamber 1 12 inch excellent77260 Applied Materials 0010-1286 MCA E-CHUCK 1 200 mm refurbished83661 Applied Materials Endura 2 (Chamber) PVD chamber - GBL / Degas 1 12 excellent56269 APPLIED MATERIALS AMC 7800 EPI Reactor EPI Reactor 1 150mm excellent83667 Applied Materials UVision 200S Bright-field wafer inspection 1 300 mm excellent 01.06.2006

71636 APPLIED MATERIALS WF720 WAFER INSPECTION 184185 Applied Materials 0010-12814 Dual Zone Ceramic Heater 200mm HI7 RIGID TE (refurbished) 1 200 mm refurbished83934 Applied Materials Centura 5200 Epi chamber epitaxial deposition (refurbished) 1 200 mm refurbished84960 Applied Materials Quantum X Plus Ion Implanter 1 300 MM84961 Applied Materials Quantum X Plus Ion Implanter 1 300 MM 01.06.200679842 Applied Materials Producer Shrink SACVD Twin 1 200 mm good79845 Applied Materials Verity 4i SEM 1 300 mm excellent 01.06.2009

82149 Applied Materials Verity 4i+ SEM 1 300 mm good 01.06.200982150 Applied Materials Endura II Chambers (CVD A1) CVD A1 chambers 2 300 mm excellent79337 Applied Materials Excite Metrology 179338 Applied Materials Excite Metrology 183946 Applied Materials Centura 5200 Metal Etcher 1 200 mm good 01.06.200779339 Applied Materials Excite Metrology 184203 Applied Materials Endura ALPS Chamber Process Chamber 1 300 mm excellent 01.10.200557067 Applied Materials Nano SEM Metrology Equipment, CD SEM 1 200 mm good84204 Applied Materials Endura Extensa TTN Chamber Process Chamber 1 300 mm excellent 01.01.200785996 Applied Materials Endura 300 Aluminum Interconnec PVD (Physical Vapor Deposition) 1 300 mm85229 Applied Materials Centura 4.0 HT Polycide 1 300 mm 01.06.200585997 Applied Materials Endura II Chamber Parts/Peripherals 1 300 mm85230 Applied Materials Centura 4.0 HT Polycide 1 300 mm 01.06.200585998 Applied Materials Endura II Chamber Parts/Peripherals 1 300 mm85231 Applied Materials Centura 4.0 HT Polycide 1 300 mm 01.06.200785999 Applied Materials Endura II Chamber Parts/Peripherals 1 300 mm85232 Applied Materials Centura AP Frame TM Lid 1 300 mm86000 Applied Materials Endura II Chamber: ALPS PVD (Physical Vapor Deposition) 1 300 mm82929 Applied Materials Semvision G2 Plus Defect Review SEM 1 300 MM excellent 01.06.200284465 APPLIED MATERIALS WCVD P5000 WCVD 1 20085233 Applied Materials Centura TAO Ta2O5 CVD 1 200 mm 01.06.200686001 Applied Materials Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm80370 Applied Materials Centura 5200 HDP CVD system, with 3 Ultima chambers 1 200 mm good 01.06.200082930 APPLIED MATERIALS REFLEXION 3600 CMP POLISHER 1 300 MM good 01.06.200284466 APPLIED MATERIALS P5000 CVD 1 20085234 Applied Materials Centura Ultima CVD 1 200 mm86002 Applied Materials Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm

83699 Applied Materials CX 200 Semvision DR SEM 1 200 mm for spares use85235 Applied Materials Centura Ultima HDP HDP CVD 1 200 mm

86003 Applied Materials Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm85236 Applied Materials Centura Ultima HDP HDP CVD 1 200 mm86004 Applied Materials Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm71669 APPLIED MATERIALS 7800 EPI DEPOSITION 582677 Applied Materials DPSII AE Poly G3 ETCH POLY 1 300 mm 01.06.200684981 Applied Materials Complus Optical Inspection 1 300 MM85237 Applied Materials Centura Ultima HDP HDP CVD 1 200 mm 01.06.200186005 Applied Materials Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm56309 Applied Materials 0240-00503 Molecular backside cooling kit 1 spares excellent

Page 3: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

82678 Applied Materials PRODUCER GT(Chamber) CLEAN 1 300 mm84982 Applied Materials Nanosem 3D Critical Dimension Scanning Electron Microscope 2 300 MM 01.06.200285238 Applied Materials Centura Ultima Plus HDP CVD 1 200 mm 01.06.200086006 Applied Materials Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm84983 Applied Materials NANOSEM 3d Critical Dimension Scanning Electron Microscopes 1 300 mm85239 Applied Materials Centura Ultima TE HDP 1 150 mm 01.06.199886007 Applied Materials Endura II Chamber: EXTENSA PVD (Physical Vapor Deposition) 1 300 mm84472 APPLIED MATERIALS 5202 DPS+ ETCHER 1 20084984 Applied Materials Semvision CX Critical Dimension Scanning Electron Microscope 1 200 mm85240 Applied Materials Producer GT FCVD Ch x1 1 300 mm 01.06.201178329 Applied Materials VERA SEM 3D INSPECTION SEM 181913 Applied Materials P5000 PECVD 1 chamber Silane Oxide Deposition 1 100 mm excellent

84985 Applied Materials Uvision 200 Optical Inspection 1 300 MM 01.06.200585241 Applied Materials Producer GT FCVD Ch x1 1 300 mm 01.06.2011

85242 Applied Materials Producer GT FCVD Ch x2, SA CVD x1 & O3 Rack 1 300 mm 01.06.201571931 Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE 1 spares new unused 01.06.1999

85243 Applied Materials Producer GT SA CVD x1 & O3 Rack 1 300 mm 01.06.201171932 Applied Materials 0020-20919 REV C COVER CVD POST 1 spares new unused 01.06.199985244 Applied Materials Producer SE HARPUSG 1 300 mm 01.06.200271933 Applied Materials 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT 1 spares new unused 01.06.199985245 Applied Materials Producer SE HARPUSG 1 300 mm 01.06.200385246 Applied Materials Producer SE HT ACL 1 300 mm 01.06.200678335 Applied Materials Centura 5200 Epi Epitaxial Deposition (Reduced Pressure, 2 CH) 1 300 mm excellent 01.06.2008

85247 Applied Materials Producer SE HT ACL 1 300 mm 01.06.20076536 Applied Materials ® 0230-09258 B P5000 Mk II Mainfame support and equipment manual c leanroom July 1994 1 Spares good 01.07.19946537 Applied Materials ® 026-105-03 C P5000 Mk II Functional description training manual 1 Spares good 01.04.19956538 Applied Materials ® 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995 1 Spares good 01.01.19956539 Applied Materials ® 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 1 Spares good 01.04.19966540 Applied Materials ® 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures 1 Spares good 01.03.199679715 Applied Precis ion WaferWorx Probe Analysis Microscope Station 1 200mm excellent85992 Applied Precis ion Precis ion Point VX2 Extension Probe Card Analyzer 184782 APPLIED PRECISION Probeworx 300 Wafer Probe Card Test and Analysis System 1 excellent68905 Aprova Ceramic wirebond capilares 167127 APT / CONVAC 3110 Mask Cleaner 281955 Arnold Gruppe 72/360 Cropping saws 8 excellent77151 ARO ANAQK-ABXAB-160 Plate Cylinder 2 as new 01.01.200884564 Artograph 255-940 Lightpad A940 lightbox 183894 ASAHI TOOLS K-2 PRECISION WRENCH 1 PARTS excellent32217 ASAHI TOOLS K-2 PRECISION WRENCH 1 PARTS excellent77168 Asco SC8210G004 Solenoid Valve, 1 In, Orifice 1 In, Brass 1 Spares as new83571 Asco SC8210G93 Solenoid Valve, 1 Spares as new81945 ASE MPC A Chemical,Acid ¬©?¥ 181946 ASE MFC A Chemical,Acid ¬©?¥ 181947 ASE MFC A Chemical,Acid ¬©?¥ 281948 ASE MFC A Chemical,Acid ¬©?¥ 181949 ASE MFC A Chemical,Acid ¬©?¥ 160714 Aseco S-130 Change Kits 1260764 Aseco S-130 Spare Parts 69260772 Aseco S-130/3 Tri-Temp SMD Handler 160773 Aseco S-130/3 (converted 137) Tri-Temp SMD Handler 151062 Aseco S-130/3 Tri-Temp SMD Handler, Convertible Single Site 151063 Aseco S-130/3 (converted 137) Tri-Temp SMD Handler, Convertible Single Site 1

71572 ASECO S130 Test Handler 771596 ASECO TL50 Test Handler 171597 ASECO S170D Test Handler 1171598 ASECO S170C Test Handler 5371599 ASECO S130 Test Handler 760849 Aseco S130 Single s ite, ambient and hot, various kits 160850 Aseco S170D Dual s ite, ambient and hot, 173mil TSSOP,? 160851 Aseco S170 Ambient and hot, 7mm FPBGA? 179874 ASM Epsilon 3200 Epitaxial Deposition (Reduced Pressure) 1 300 mm excellent 01.06.200979621 ASM AD8930 Die Bonding(broken) 1 Assembly 01.09.200885255 ASM Dragon 2300 PECVD Equipment for Barrier 1 300 mm 01.06.200385256 ASM Eagle 10 DARC 1 200 mm85257 ASM Eagle 10 PETEOS 1 200 mm85258 ASM Eagle 12 Curing 1 300 mm 01.06.201086026 ASM A412 DUAL REACTOR VDF CURE, CU, PI 1 300 mm good85259 ASM PXJ200 PECVD 1 150 mm 01.06.198986027 ASM E3200 Epitaxial Reactor 1 300 mm excellent64274 ASM 339 Bonder with Vertical LED configuration 177086 ASM AD8930 Die Bonder for LED 4 good79647 ASM AD8930 Die Bonding 1 Assembly 01.08.200879648 ASM AD8930 Die Bonding 1 Assembly 01.08.200879649 ASM AD8930 Die Bonding 1 Assembly 01.09.200679650 ASM AD8930 Die Bonding 1 Assembly 01.08.200779651 ASM AD8930 Die Bonding 1 Assembly 01.12.200579652 ASM AD8930 Die Bonding 1 Assembly 01.12.200679653 ASM AD8930 Die Bonding 1 Assembly 01.09.200879654 ASM AD8930 Die Bonding 1 Assembly 01.09.200879655 ASM AD8930 Die Bonding 1 Assembly 01.09.200879656 ASM AD8930 Die Bonding 1 Assembly 01.12.200679657 ASM AD8930 Die Bonding 1 Assembly 01.04.200879658 ASM iHawk Wire Bonding 1 Assembly 01.05.200879659 ASM iHawk Wire Bonding 1 Assembly 01.05.200879660 ASM iHawk Wire Bonding 1 Assembly 01.05.200984780 ASM SIPLACE D4 chip shooter / pick and place 2 wafer s ize 150 mm refurbished79661 ASM iHawk Wire Bonding 1 Assembly 01.05.200979663 ASM Eagle Wire Bonding 1 Assembly 01.05.2006

61231 ASM Eagle 60 Bonder 1079664 ASM iHawk Wire Bonding 1 Assembly 01.08.200879665 ASM iHawk Wire Bonding 1 Assembly 01.05.200879666 ASM iHawk Wire Bonding 1 Assembly 01.05.200979667 ASM iHawk Wire Bonding 1 Assembly 01.12.200879668 ASM Eagle Wire Bonding 1 Assembly 01.07.200779669 ASM Eagle Wire Bonding 1 Assembly 01.12.200679670 ASM iHawk Wire Bonding 1 Assembly 01.04.200979671 ASM iHawk Wire Bonding 1 Assembly 01.08.200879672 ASM iHawk Wire Bonding 1 Assembly 01.05.200879673 ASM iHawk Wire Bonding 1 Assembly 01.05.200982233 ASM A412 Vertical LPCVD Furnace, Dual SiN process 1 300 mm good 01.06.201179674 ASM iHawk Wire Bonding 1 Assembly 01.05.200979675 ASM iHawk Xtreme Wire Bonding 1 Assembly 01.07.201063804 ASM MS896A Die Sorter 1 01.10.200377141 ASM LED LINE COMPLETE LED ASSY LINE 1 Assembly excellent 01.01.201278421 ASM Eagle XP ALD Chemical Vapor Deposition Equipment - 2 ch HT SIO / HT SIN 1 300 mm excellent 01.03.201078428 ASM A412 Vertical LPCVD Furnace - doped phos and SiN process 1 300 mm good 01.06.200578429 ASM A412 Vertical LPCVD Furnace for TEOS and undoped Nitride 1 300 mm good 01.06.200569982 ASM 896 Die Attach for LED 578430 ASM A412 Vertical LPCVD Furnace 1 300 mm good77180 ASM Eagle 60AP Wire Bonder 1 ASSEMBLY good 01.05.200677181 ASM Eagle 60AP Wire Bonder 1 01.05.200684607 ASM AD898 Dispenser/Bonder 1 N/A84872 ASM A412 Vertical LPCVD Furnace, SiN DUAL TUBE 1 300 MM 01.06.201184873 ASM A412 Dual Reactor Vertical LPCVD Furnaces As doped 1 300 mm 01.06.201184874 ASM A412 Dual Reactor Vertical LPCVD Furnaces As doped 1 300 mm 01.06.2011

83600 ASM AD 830 Bonder 1 excellent 01.05.201283601 ASM Extraction and Inspection of the Leadframe 170546 ASM 829A Die Bonder 183602 ASM MC 609H left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right s ide: Leadframe o 183603 ASM IBE 139H Rotary Station then Buffered in Magazine and from Magazine to Wirebonder 183604 ASM iHawkXtreme bonder 1 excellent83605 ASM DS 830 Double Head Dispenser, left: Magazin, right: Leadframe 1

83606 ASM Extraction and Inspection of the Leadframe 183607 ASM MC 609H left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right s ide: Leadframe o 171064 ASM AD898 Die Bonder 283608 ASM MP-TAB left: Leadframe than Trimm, right: IC, possible on exit with Comveyor belt system to next step 183609 ASM SLS 230T left: IC cup and testing good/bad and than sorting in small tube 184633 ASM Eagle-10 DARC 182330 ASM A412 Vertical LPCVD Furnace (PH3 Doped Poly Process) 1 300mm good 01.06.200883610 ASM TLB 203EX Testing 184634 ASM Eagle-10 PETEOS 183611 ASM SLT400 left: IC cup, Taping on Reel 164157 ASM 339 AP EAGLE Bonder with Vertical LED configuration 156737 ASM AD 898 COB DIE BONDER 081827 ASM 2890224-21 Converter DTC 1 new56739 ASM 339 Eagle Wire Bonder 181828 ASM 2506556-21 Processor DTC 1 good34471 ASM A600 LPCVD FURNACE BRAND NEW 1 200mm as new 01.01.200171080 ASM AB559A-06 Wedge Bonder 554206 ASM 829A Die Bonder 1 excellent79297 ASM ASM Ideal Mold MOLDING SYSTEM 1 assembly 01.06.200779298 ASM FET cluster mold press with lead inspection 1 assembly good 01.06.201076996 ASM SLS 230 LED SORTER 1 01.05.201185700 ASM A412 Doped Poly Vertical LPCVD Furnace 1 300 mm70092 ASM AS809 Die Sorter 1 6"83666 ASM Ideal Compression Mold for LED production 1 LED excellent 01.04.201170616 ASM AD 829A Wire Bonder 0 6 inch76256 ASM 809C-00 Die Bonder 276257 ASM 809S-00 Die Bonder 360907 ASM EAGLE-10 3C/H PE-CVD 1 excellent 01.07.200762187 ASM 896 Die Sorter 20 01.06.2005

70125 ASM Epsilon E2000 ATM EPI Reactor 1 150mm excellent 01.12.199779606 ASM AD8930 Diebonder 1 Assembly 01.08.200882871 ASM International Epsilon E2000 Epitaxial Silicon (EPI) 1 150 good 01.06.199682872 ASM Pacific AD838L Epoxy Die Bonder 79 150 good82873 ASM Pacific AD8930 Epoxy Die Bonder 2 150 good 01.06.200682874 ASM Pacific AD896 Bonding Machine 14 150 good82875 ASM Pacific AD896A Bonding Equipment 18 150 good82876 ASM Pacific AS899DL Bonding Machine 7 150 good78093 ASML PAS 5500 -300C DUV scanner - 248 nm 1 150 mm excellent 01.06.200283742 ASML TS100B Yield Star Single wafer metrology system 1 300 mm excellent 01.06.201184784 ASML 1950Hi DUV Immersion scanner, 193 nm 1 300 mm excellent 01.06.201079176 ASML PAS5500/200 7280 0 200mm good 01.03.199779432 ASML PAS5500/200 0 01.02.199785066 ASML PAS 5500-100D I-Line Wafer Stepper 1 200 mm85067 ASML Twinscan XT-1400F DUV Wafer scanner 1 300 mm 01.06.200578167 ASML PAS 5500/700D 248 nm scanner 1 excellent78683 ASML PAS 5500-100D i-line stepper 1 200 mm excellent 01.07.199683563 ASML SPARES VARIOUS SPARE PARTS 2774 SPARES excellent81821 ASML XT1250 DUV lithograpy ArF scanner 1 200 mm / 300 mm good 01.09.200479527 ASML PAS500/100D 200mm 0 200mm84421 ASML PAS5500/1100 SCAN 1 200mm77254 ASML ASML 5500/100D ASML 5500/100D: 200mm 177255 ASML ASML 5500/200B ASML 5500/200B: 200mm 177256 ASML ASML 5500/100C ASML 5500/100C: 200mm 179822 ASML 1900i TWINSCAN Litho (ArF) 1 300 mm excellent 01.06.200883672 ASML PAS5500/1100B DUV Lithography Exposure 1 200 mm excellent85478 ASML PAS 5500/100D I-line stepper 1 150 mm 01.06.199585479 ASML PAS 5500/200B I-line stepper 1 200 mm 01.06.199785480 ASML PAS 5500/250C I-line stepper 1 200 mm 01.06.200285481 ASML PAS 5500/300C KrF Stepper 1 200 mm85482 ASML PAS 5500/300C KrF Stepper 1 200 mm82174 ASML XT 1250D DUV lithography ArF scanner, 193 nm 1 300 mm excellent35539 ASSEMBLY AUTOMAT SA-1MM Vibratory Bowl Feeder 135708 AST VCA 2500XE Hi Mag AST VIDEO CONTACT ANGLE ANALYSIS SYSTEM 1 excellent83580 AST RESEARCH 5V PREMIUM 486/33E 1 1.2 FLOPPY DISK DRIV good84102 AST Steag Mattson SHS 10MA RTP Rapid Thermal Processor 1 good

83670 AST/Mattson AST 2800 RTP 1 8" excellent82176 Astec VS3-C2-C2-C2 Power Supply 2000 watts (-450-CE) 1 excellent82227 Astec VS3-C2-C2-02 Power Supply 2000 watts (-450-CE) 2 excellent83556 Astec VS3-C8-A8-02 (-451-CE) Power Supply 2000 watts 1 excellent83557 Astec VS1-L3-02 (-435-CE) Power Supply 1500 watts 1 excellent83558 Astec VS1-D8-02 (-436-CE) Power Supply 1500 watts 1 excellent80315 Astec VS3-D8-D8-02 Power Supply 2000 watts 4 excellent81873 Astec VS1-L3-02 (-335-CE) Power Supply 1500 watts 1 excellent81874 Astec VS3-L1-A2-A2-00 (-613-CE) Power Supply 2000 watts 5 good81875 Astec VS3-D4-B4-22 (-447-ce) Power Supply 2000 watts 4 excellent81876 Astec VS1-L5-02 (-452-ce) Power Supply 1 excellent53270 Astec Powertec 9K2-300-372 Super Switcher Power Supply 2 Spares excellent 01.06.199482010 ASTECH RC-11 (Controller) METAL 382011 ASTECH TL-10R METAL 374182 ASTEX AX8200A RF Generator 569854 Astex AX 8402 Ozone Generator 1 refurbished81916 Astrid Engineering JNC11/088_A1 Customized Power Convertors for Solar Power Stations 4 Solar new unused 01.12.201176782 ASTRODESIGN SE6101 Manual prober with temperature controlled chuck 1 200 mm excellent 01.06.200083746 Asymtek X1020 Dispenser 1 SMT excellent 01.06.200783747 Asymtek X1020 Dispenser 1 SMT excellent 01.06.200771204 Asymtek M600 Millenium Dispensing System 183748 Asymtek X1020 Dispenser 1 SMT excellent 01.06.200771205 Asymtek 430 Dispenser 170981 Asymtek M600 Dispenser 170986 Asymtek 430 Dispenser 167154 Asymtek AUTOMOVE 402 TABLE TOP DISPENSING UNIT 182877 ASYS Group LSB 03 Loader 3 150 good 01.06.199682878 ASYS Group LSE 03 unloader 3 150 good 01.06.199678338 Asyst LPT 2200 Load ports, 200 mm 20 200 mm excellent72202 Asyst ASYST NRI 1150R Asyst Part 9700-6444-01 184765 Asyst 1150-V1315S SMIF Load port 150mm 2 missing parts84841 Asyst LPT 2200 Load ports, 200 mm 2 200 mm excellent78249 Asyst Spartan 2 Wide EFEM 178251 Asyst Portal XT 2 wide EFEM 0

78252 Asyst IsoPort 300mm Loadports for bolt interface 085977 Asyst SMIF->Open Adaptor Plates (PN:9 SMIF 1 200 mm

85978 Asyst SMIF->Open Adaptor Plates (PN:9 SMIF 1 200 mm85979 Asyst SMIF->Open Adaptor Plates (PN:9 SMIF 1 200 mm69866 ASYST 300FL SMIF LOAD PORT 1 300 MM good 01.06.200185980 Asyst Shinko VHS1 Wafer Transport 184948 Asyst Technologies WMS 2200 Materials Handling Equipment 1 200 mm84949 Asyst Technologies WMS-20 Materials Handling Equipment 1 200 mm77724 Asyst Technologies, I 9700-3375-01 Non-SMIF Adaptor Plates 1 8", Wafer Comp Size: 5", 6", 8"84950 Atcor Ultra-6221 BOX WASHER 1 200 mm 01.07.200074200 Atcor Ultra 1210 Box Washer 1 200 MM 01.03.200181823 AtcorCRD 1210-26T Ultra 1210 Box Washer 1 200 MM excellent77184 Athena 16C-B-S-0-23-00 Temperature / Process controller 1 new in box 01.02.201255864 ATMI CDO859 Burn Box 1 excellent55865 ATMI CDO859 Burn Box 151882 ATMI SR4BDAFB-050306 SMART PROBE 160995 ATTO PE-TEOS SCRUBBER 1 01.06.200386167 AUGUST AXI-S Macro Inspection 1 200 mm84675 AUGUST CV9812 FOUP INSPECTION 1 300 mm84445 AUGUST NSX-90 METROLOGY 1 20074201 Aurgin AU800 Ball Mounter 561013 AUTOMATIC WAFER PROBER EQUIPMENT 151883 AVANT GARD 6596-A INVERTER DUTY MOTOR 676 174202 Avantgard 676 Robot 150445 Aviza Pantheon Atomic Layer Deposition System , 2 chamber 1 300 mm excellent 01.06.200350446 Aviza Pantheon Atomic Layer Deposition System , 3 chamber 1 300 mm excellent 01.06.200377342 Aviza Celsior ALD (Atomic Layer Deposition) 1 30077344 Aviza Pantheon ALD (Atomic Layer Deposition) 1 30056952 Aviza SPP furnace 1 12 inch excellent 01.06.2002

85702 Aviza RVP-300 Vertical Diffusion Furnace 1 300 mm35042 AVIZA Celsior ALD 2 chamber c luster tool 1 300 MM excellent 01.06.200484875 Aviza Technology Ltd AVP 8000 AP Vertical LPCVD Furnaces 1 200 MM84876 Aviza Technology Ltd AVP 8000 AP Vertical LPCVD Furnaces 1 200 MM84877 Aviza Technology Ltd AVP 8000 AP Vertical LPCVD Furnaces 1 200 MM84878 Aviza Technology Ltd AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM84879 Aviza Technology Ltd AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM

84880 Aviza Technology Ltd AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM84881 Aviza Technology Ltd AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM

84882 Aviza Technology Ltd AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM84883 Aviza Technology Ltd AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM

71936 Axcelis 1181831 Flag Farady Assembly, Vitrious Graphite 1 spares missing parts 01.10.199571937 Axcelis 1141471 FARADAY FLAG VITRIOUS GRAPHITE 1 spares excellent 01.07.199871938 Axcelis 1190160 / 057 REV C PLASMA SHOWER GUN ASSEMBLY 1 spares excellent 01.12.199771939 Axcelis 1190500 ELECTROMAGNETIC REFLECTOR ASSY 1 spares excellent 01.06.200164789 AXCELIS 110000800 Universal 300mm Disk Cart MAT # 4501422 284525 Axcelis 17187611 150mm GSD implanter wheel disk 3 Spares good82225 Axcelis Integra Dry Stripper 2 300 mm good 01.03.201178642 Axcelis NV-GSD-200-E medium current implanter 2 150 mm excellent 01.06.199584532 Axcelis RadiantStrip 320ES Asher 1 300 mm 01.06.200584533 Axcelis Integra 340 Strip 2 300 mm 01.12.201066376 Axcelis Optima HD Implanter High Current 1 300 mm excellent85579 Axcelis Rapid Cure320FC Radiator UV anneal Unit 1 300 mm 01.06.200779716 Axcelis FUSION M200PCU UV BAKE 1 200mm excellent53093 Axcelis Kit 160 KeV GSD 200E Kit for upgrade 80-160KV 1 spares 200 mm good 01.10.199579718 Axcelis UV bake UV BAKE 1 200mm79719 Axcelis UV bake UV BAKE 1 200mm excellent79720 Axcelis UV bake UV BAKE 1 200mm53115 AXCELIS GSD Interconnect source pole to CTRL DI 3 excellent53116 AXCELIS GSD Calibration tool source clamp ring 1 spares excellent53117 AXCELIS GSD lead shield for Disk Faraday assembly 1199240 1 spares excellent72064 Axcelis 1199240 rev D SHIELD , DISK FARADAY 1 spares excellent 01.04.199972067 Axcelis 17335010 GROUND ELECTRODE 2 Spares72068 Axcelis 17021871 APERTURE VGI ELECTRON SHOWER 2 Spares72069 Axcelis 3100353 PUMP TURBO TMP 1000C 250 ISO-K/KF40 1 Spares72070 Axcelis 17121720 GRAPHITE SHIELD E-SHOWER 1 Spares

72071 Axcelis 17335030 GROUND ELECTRODE 1 Spares excellent85127 Axcelis 200 PCU UV Cure 1 200 MM72072 Axcelis 1181490 SCE RGH VALVE V8 1 Spares excellent85128 Axcelis Compact 2 H2 reflow furnace 1 300 mm72073 Axcelis 3500087 O-RING -216 BUNA 1 Spares excellent85129 Axcelis Fusion 200PCU UV Cure 1 200 MM72074 Axcelis 17C5290 MO KNOB BOLT 1 Spares good85130 Axcelis Fusion 200PCU UV Cure 1 200 MM72075 Axcelis 17C2983 SET SCREW MOLYBDENUM 1 Spares excellent

72076 Axcelis 17D6405 CATHODE BRACKET 1 Spares excellent72077 Axcelis 1766531 PLT BURN THRU (VT1) 1 Spares excellent

72078 Axcelis 17E9560 FEED THROUGH BRACKET 1 Spares excellent72079 Axcelis 17E9561 BRACKET 1 Spares excellent72080 Axcelis 3500496 O-RING -208 VITON 1 Spares excellent72081 Axcelis 5000132 STDF 3/8LX3/8X6-32 RND CER HHS 1 Spares excellent78481 Axcelis Optima HdxT Medium Current Implanter 1 300 mm excellent 01.09.201172082 Axcelis 17121850 REPELLER INSULATOR 1 Spares excellent72083 Axcelis 17304680 SHOWER TUBE APERTURE II PEF-XE GSDIII/LED 1 Spares excellent72084 Axcelis 17067300 COVER TERMINAL 1 Spares excellent85652 Axcelis Radiantstrip 320 Stripper/Asher 1 300 mm72085 Axcelis 17100170 CORONA RING 1 Spares good72086 Axcelis 1710831 COVER TERMINAL BOX 1 Spares excellent72087 Axcelis 17071160 COVER TERMINAL PLATE 1 Spares good72088 Axcelis 17067720 COVER PLATE 3 SCREWS 1 Spares good72089 Axcelis 17081180 COVER 1 Spares good72090 Axcelis 17068520 BRACKET ANGLED 2 Spares good72091 Axcelis 17071130 COVER RED BOX 1 Spares good72092 Axcelis 17071140 COVER RED BOX 1 Spares excellent72093 Axcelis 17074820 COVER FLEX 2 Spares excellent72094 Axcelis 17022381 SHIM INSUL 1/16 TERM NV20 5 Spares good72095 Axcelis 17072382 SHIM INSUL 1/32 TERM NV20 2 Spares good72096 Axcelis E17022380 SHIM INSULATOR TERMINAL 3 Spares good72097 Axcelis 4001587 KNOB FOUR ARM CLAMPING 5/16-18 UNC-2A 1 Spares good72098 Axcelis 1199850 TERMINAL EXH KIT 1 Spares good

72099 Axcelis 17051500 RP1 EXHAUST KIT 1 Spares good72100 Axcelis 1185620 TERMINAL EXH KIT 1 Spares good72101 Axcelis 1837180 ALIGNMENT TOOLS ELS 1 Spares good72102 Axcelis 1833870 ALIGNMENT TOOLS ELS 1 Spares good72103 Axcelis 17244920 COVER LOWER PLASMA SH 3 Spares good72104 Axcelis 17249760 COVER UPPER PLASMA SHOWER 2 Spares excellent72106 Axcelis 17D1284 NOZZLE RETAINER 2 Spares excellent72107 Axcelis 17150050 SUPP RACK 1 Spares excellent72108 Axcelis 17063520 SUPP RACK 2 Spares excellent72109 Axcelis 17095870 SUPP RACK 2 Spares excellent72877 Axcelis 11038960 GSD Source module 1 spares good

72110 Axcelis 17120370 SUPP RACK 1 Spares excellent72111 Axcelis 17091650 SUPP RACK 3 Spares excellent

72112 Axcelis TUBE SUPP RACK 4 Spares excellent72116 Axcelis 1745100 SOURCE MAGNET ASSY 1 Spares good72118 Axcelis 17324630 INSULATOR MANIPULATOR LED 2 Spares good72119 Axcelis 17E3662 FRONT SLIT (W) 1 Spares good72120 Axcelis 17S2467 DISK 1 Spares excellent72121 Axcelis RP1 EXH RP1 EXHAUST KIT 1 Spares good72122 Axcelis ACCEL SUPPRESSION ELECTRODE 1 Spares good72123 Axcelis VARIOUS GRAPHITES 1 Spares good72125 Axcelis SOURCE MAN ALIGNMENT FIXTURE 1 Spares good72126 Axcelis VRA CYLINDER 1 Spares good72129 Axcelis 300302720 CKD VALVE 1 Spares72130 Axcelis EDWARDS VACUUM GAUGE 1 Spares good79042 AXCELIS SUMMIT XT RTP 1 300mm72131 Axcelis COOLING BLOCK 1 Spares excellent72132 Axcelis CHAMBER END PLATE 1 Spares good84166 Axcelis GSD-HE High Energy Implanter 1 200 mm excellent

71893 Axcelis 2200114 HVPS - 5KV 20 MA DEL ELECT 1 spares excellent71894 Axcelis 1168200 Source DI controller 1 spares excellent 01.07.199571895 Axcelis 1168201 Source DI Controller 1 spares excellent 01.10.199571896 Axcelis 1169724 REV E AIR DISTRIBUTION MANIFOLD ASSEMBLY 1 spares excellent 01.08.199572153 Axcelis 17128680 BLOCK, MANIFOLD 1 Spares good72154 Axcelis NV-GSD-200E (Parts) RED HOUSING 1 Spares good 01.10.199571912 Axcelis 1184260 REV C FILTER BOX 1 spares excellent 01.10.1995

71914 Axcelis 17103190A Filament Power Distribution Box 1 spares excellent 01.10.199571915 Axcelis 2200109 HVPS dual output minus 5 kv Glassman 1 spares excellent 01.10.199571916 Axcelis 1204990 REV C ARC POWER SUPPLY MOD NV20A 1 spares excellent 01.01.199671917 Axcelis 1204990 REV C ARC POWER SUPPLY MOD NV20A 1 spares excellent 01.01.199671919 Axcelis 1204990 rev c ARC POWER SUPPLY MOD NV20A 1 spares excellent 01.10.199571920 Axcelis 17099070 REV A PLATE 1 spares excellent 01.10.199571926 Axcelis spares Acel column parts 1 spares excellent 01.10.199571927 Axcelis 17080520 REV A SHIELD 1 spares excellent 01.10.199571928 Axcelis 17127550 SOURCE ALIGNMENT JIG 1 spares excellent 01.10.199571929 Axcelis 17095340 REV C DISTRIBUTION MANIFOLD 1 spares excellent 01.10.199584731 AXCELIS SUMMIT XT RAPID THERMAL PROCESSOR 1 300 mm

71934 Axcelis 11032780 assembly source housing SPRT FLAG SHIELD 11B 3641 3 spares excellent 01.08.200371935 Axcelis 1181831 FLAG FARADAY ASSEMBLY VITRIOUS GRAPHITE 1 spares excellent 01.11.1995

72163 Axcelis / Advanced Hi 100024770 HVPS 80.5 KVA FAST RECOVERY 1 spares excellent 01.04.199784529 Axcelis / Fusion M200PCU UV Bake 1 200 mm 01.06.200184530 Axcelis / Fusion M200PCU UV Bake 1 200 mm

84531 Axcelis / Fusion M200PCU UV Bake 1 200 mm excellent83941 Axcelis / Fusion M200PCU UV Bake 1 200 mm excellent 01.06.2001

78591 Axcelis / Fusion M200PCU UV Bake 1 200 mm excellent 01.01.199371930 Axcelis / VARIAN VARIOUS VARIOUS BLANK-OFF PLATES 1 spares excellent 01.10.199569971 Axcelis Eaton NV10 -160 High Current Implanter 1 125 mm excellent83963 Axcellis GSD200E 150mm 0 01.06.199554859 Baccini 35MW Solar Cell Line Solar Cell Print line for Mono or Poly Crystalline Solar Cells 1 156 mm excellent 01.12.200556142 Baccini Screen printers Printers and Dryers 1 156 mm excellent 01.06.200156145 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 1 156mm excellent 01.06.200656146 Baccini Sorter 1 Cell Sorter 1 1 156 mm excellent 01.06.200677009 Baccini Screen Printer 2 screen printer 1 156 mm excellent 01.06.200177010 Baccini Screen Printer 3 screen printer 1 156 mm excellent 01.11.200177012 Baccini Screen Printer 1 screen printer 1 156 mm excellent 01.11.2001

77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 1 156 mm excellent 01.06.200777017 Baccini Cell electrical tester Electrical Cell tester 1 156 mm excellent 01.06.200677018 Baccini Sorter 2 Cell Sorter 2 1 156 mm excellent 01.06.200677019 Baccini Sorter - Furnace Output Cell Sorter - Furnace output 1 156 mm excellent 01.06.200677020 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 1 156 mm excellent 01.06.200677021 Baccini Dryer 1 Dryer 1 1 156 mm excellent 01.11.200177022 Baccini Dryer 2 Dryer 1 1 156 mm excellent 01.11.200183788 BAL-TEC SCD005 Cool Sputter Coater 1 Laboratory good 01.12.200469903 Balzers BAK 600 Evaporator with Crucible E Gun 167757 Balzers BAF301D Freeze Fracture Etch 19890 BAY VOLTEX HT 6800 Recirculating Chiller 1 fair34438 BAYVOLTEX HRE-HT-30650-D1-RP Chiller 1 200mm good60888 BAYVOLTEX CHILLER 160889 BAYVOLTEX CHILLER 181938 BBS TGP300C Twin Grinder 330mm 483589 Beckhoff BK9000 Ethernet interface module 1 Spares good83594 Beckhoff KL9050 PLC Module 1 excellent84213 Beckhoff KL9020 Ethernet interface 2 Spares good70643 Beijing Sevenstar HuaL42500-4/ZM PECVD furnace 1 156 mm good 01.10.200684379 BELDEN 8219 IC20 BRAID R-58A/U 1 excellent

77095 Bellows 10.01.06.00878 Bellows suction cup 9mm, pack of 12 185654 BESI ESEC 2008 xP3 Die Bonder 1 300 mm85655 BESI ESEC 2008 xP3 Die Bonder 1 300 mm

84046 Besi / Datacon 2200 EVO Die Bonder 1 Assembly excellent 01.06.200884050 Besi / Datacon 2200 APM Die Bonder 1 Assembly excellent 01.08.2006

63095 BETCHER RA36FRPP AUTOMATED CHEMICAL PROCESS HOOD 1 200mm excellent84565 Bibby Scientific SS10 Overhead Stirrer 177169 Bimba Ultran US-1737.125-S Rodless Pneumatic Actuator 1 Spares as new77170 Bimba Ultran US-1734.125-S Rodless Pneumatic Actuator 1 Spares as new84566 Binder KBF115 Constant Climate Chamber 172882 Binder VD 53 Vacuum oven 185110 Binder Precis ion WTB BINDER Reliability Test Equipment 183740 BIO-RAD QS300 FT-IR Spectrometer for up to 200mm Wafers 1 200 mm excellent 01.06.199571744 BIO-RAD / Accent opt QS1200 FT-IR Spectrometer for up to 300mm Wafers 1 300 mm excellent 01.06.2004

77065 BIORAD QS-408M Manual FTIR Spectrometer for Epi, SiN, BPSG Measurement, up to 200mm Wafers 1 good56857 BIORAD QS-408M Manual FTIR Spectrometer for Epi, SiN, BPSG Measurement, up to 200mm Wafers 1 refurbished

77149 BioRad Q3 Overlay Metrology Tool 1 75mm-200mm excellent 01.11.199833671 BIORAD Q5 Overlay Metrology Tool 133672 BIORAD Q6 Overlay Metrology Tool 133673 BIORAD Q7 Overlay Metrology Tool 114529 BioRad Q7 Q8 Overlay Metrology Tool 1 75mm-200mm excellent 01.11.199884676 BioRad QS408M/FTI Metrology 1 N/A35543 BIORAD Q8 Overlay Metroloty / CD Measurement Tool for up to 200mm Wafers 183749 Blue M MP-1506 Convection cleanroom oven 10 ASSEMBLY excellent 01.06.200067370 Blue M 146A 167371 Blue M 10VA 167372 Blue M 206B-1 167373 Blue M CR07-146 167374 Blue M CW 5580 167375 Blue M M DC 1406C 167376 Blue M M DC206C 167377 Blue M M DCC146 167378 Blue M M DL106 167379 Blue M M IGF 6680 167380 Blue M M POM 146 A 167381 Blue M M VRCO8PS 3WE 167382 Blue M M POM 7780 185062 Blue M DCC-1406CY cleanrroom oven 1 OVEN85063 Blue M DDC-206CY cleanrroom oven 1 OVEN

67182 BLUE M FR256 ID 25X20X21", -18 to 93 degrees C, cam controlled humidity chamber w/refridgeration. (Email us for P167183 BLUE M WSP109B CO2 cool/hot chamber, -73 to 260 degrees C, ID 12X11X7" high Analog or microprocessor controlled 1

84704 BLUE M CC-09C-P-E Oven 1 N/A84705 BLUE M P0M7-206F-3 Oven 1 N/A

79353 Blue M CR07-256C Bake Oven 160828 Blue M Oven DCA206C Oven 161182 BOEKEL SS Dessicator Box Stainless Steel Dessicator Box 556852 BOONTON 72B Capacitance Meter 167398 Branson 4450/2 BRANSON 4450/2 DOWN STREAM PLASMA ETCHER (5) SHELVES, 7X17"DEEP DUAL GAS PM11 167194 Branson 3075 BRANSON 3075 PLASMA CLEANER 750 WATT GENERATOR, 3 GAS CAPABILITY 10X20" QUARTZ 167195 Branson 4150 BRANSON 4150 PLASMA ASHER 2 GAS CAPABILITY 15" DIAMETER X 30" DEEP ALUMINUM CHAMB1

67196 Branson L3200 BRANSON L3200 CASSETTE TO CASSETTE ASHER INCLUDES FOMBLIN PREPPED PUMPS AND P 156846 BRANSON/IPC L-3100 Plasma Barrel Stripper 1

67123 BRANSON/IPC Series 4000 Plasma Barrel Stripper 155911 BRANSON/IPC 4055/2 Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available 1

61175 BRANSON/IPC P-2100 Plasma Barrel Stripper 274208 Bronkhorst P-702C-FAC-88Z-005A Flow Meter/controller 1 01.01.201171427 Brooks Magnatran 7 Robot 1 spares refurbished77083 Brooks magnatran 7 Robot 183770 BROOKS M600 Automated Batch FOUP Cleaner 1 300 mm good 01.07.200677667 Brooks ATM 107-2-2-S-CE Brooks robot 1 refurbished79233 Brooks Fixload 6 & 25 loadports 8 300 mm excellent 01.06.199883862 Brooks TT1ENR2-1 Brooks robot controller TT1ENR2-1-TVS-ES-Brooks8 1 excellent78248 Brooks JCP EFEM Brooks JCP EFEM 2 wide 300mm solution 051884 BROOKS 1LM21 LASER MICROSCOPE 1LM21 AUTO RETICLE MACRO INSPECTION 151885 BROOKS 1ZC1 Z AXIS CONTROLLER 1ZC1 AUTO RETICLE MACRO INSPECTION 151886 BROOKS 17-2351.20 MOTION CONTROL MODEL 17-2351.20 AUTO RETICLE MACRO INSPECTION 151888 BROOKS 001-5867-01 ROBOT ARM 151889 BROOKS Magnatron 5 ROBOT 138834 Brooks Spare Parts 151890 BROOKS MICRO TOOL 151891 BROOKS 001-7600-13 ROBOT 151892 BROOKS Magnatron 7 Magnatron 7 185981 Brooks DARTS 6-FOUP Buffer FOUP/FOSB Transfer 1 300 mm74209 Brooks 5850 MFC Mass flow controller 2 spares as new 01.01.200956858 BROOKS AUTOMATI Multitran 5 3 Axis Robot, Rebuilt 182880 Brooks Automation PRI-7800 Retic le Stocker/Handler 1 200 good67773 Brown & Sharp MicrovCMM 20 x 18 x 14" high travel. Manual drive. With Micromeasure III DOS 100 Mhz Pentium computer 1

67388 Brownie LR-6 2 zone hot plate table top reflow furnace 172865 Bruce BTI BDF41 BTI FOUR STACK LPCVD FURNACE 1 150mm missing parts

79147 BRUKER AURORA M90 ICP Mass Spectrometer 2014 vintage 184429 BRUKER D8 DISCOVER METROLOGY 1 20081959 BTU In-line furnace In-line furnace 185064 BTU TCAS 181-8-81E36 reflow oven 1 300 mm79299 BTU PYRAMAX98 REFLOW OVEN 1 ASSEMBLY good 01.06.200736673 Buehler Isomet Low speed saw 1 good84849 Buehler Ecomet-3000 Polishing & Grinding Sample Preparation Equipment 1 300 mm84850 Buehler Ecomet-3000 Polishing & Grinding Sample Preparation Equipment 1 300 mm84851 Buehler Ecomet-3000 Polishing & Grinding Sample Preparation Equipment 1 300 mm

67746 Buehler Surfmet I Sngle Belt Grinder 167747 Buehler Samplmet I Abrasive Saw 1

67748 Buehler Isomet Low Speed Saw 1 excellent67749 Buehler Isomet Low Speed Saw 1 excellent67752 Buehler Twin Belt Grinder 167754 Buehler Supermet Single Position Coarse Grinder 167758 Buehler Fibrmet 69-3000-160 Optical Fiber Polisher 167759 Buehler PowerPro 3000 Grinder Polisher 167761 Buehler Ecomet 4 Wafer Polisher 167762 Buehler Maximet 61-4100-260 Heavy Duty Automatic Polisher 167763 Buehler Ecomet III Variable Speed Polisher 167764 Buehler Metaserv twin 8" Polisher, 167765 Buehler Ecomet 5 Two Position Polisher 167766 Buehler 2 Position Polisher 167767 Buehler 2 Position Polisher 167768 Buehler 3 Position Polisher 167770 Buehler Ecomet IV 170617 Buehler Isomet 4000 Liner precis ion Saw 168026 Busch Cobra DS 3010B Vacuum Pump 1 PUMP refurbished60996 C.S.K TI-TIN SCRUBBER 1 01.06.200060997 C.S.K TI-TIN SCRUBBER 1 01.06.200084378 CABLE 3 excellent80256 CAJON SS-4-VC0 FITTINGS 13 excellent80258 CAJON SS-8-VC0-4 FITTINGS 5 excellent77154 Cam York ST-17-08-153-01-154-01-0-00-C Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM 1 Spares excellent

74293 Camalot 3800 Dispenser 174294 Camalot 5000 Dispenser 233748 CAMALOT System 3600 Liquid Dispenser 167909 Cambridge S260 Scanning Electron Microscope SEM 1 Laboratory Equipment fair 01.06.199167778 Cambridge Instrumen StereoScan II SEM 184534 Cameca IMS 6F / 7F (Spares) Eucentric Rotating sample stage 1 spares excellent54866 Camelot Gemini 11 Glue Dispence 162738 Camtek Falcon 200Sb Wafer Inspection Station 178113 CamTek Falcon 620 Macro Defect Inspection Tool 1 100-200 mm excellent 01.11.200779191 Camtek Condor 103PD Post saw 2D inspection 1 300 mm good 01.06.201379616 Canon Bestem D01Np Die Bonding 1 Assembly 01.07.200979617 Canon Bestem D01Np Die Bonding(broken) 1 Assembly 01.06.200950433 Canon FPA-3000EX4 179618 Canon Bestem D01Np Die Bonding 1 Assembly 01.07.200979619 Canon Bestem D01Np Die Bonding 1 Assembly 01.07.200979620 Canon Bestem D01Np Die Bonding 1 Assembly 01.07.200950438 Canon MPA-600Super 150440 Canon MPA-500FAb Complete systems 350442 Canon FPA-2500i3 259146 Canon MPA-600FA 2 100mm, 125mm, 150mm75021 Canon FPA 2500 i2 i line stepper - parts tool 1 200 mm 01.06.199375022 Canon FPA 2500 i2 i line stepper - parts tool 1 200 mm 01.06.199369904 Canon PLA 501 FA Aligners, 2 inch, 3 inch , 4 inch 275027 Canon FPA 5000 ES3 248 nm duv step and scan lithography exposure system 1 200 mm good 01.04.200175029 Canon FPA 6000 ES5 248 nm DUV Scanner 1 300 mm excellent 01.03.200364277 Canon BG4-6777-A301-03 Wafer Feed Hand 1 Spares good 01.06.199834077 Canon MPA 600 Super ALIGNER 1 150 MM excellent54816 CANON FPA 5000 ES3 DUV lithography exposure system 1 300 mm good 01.06.200184774 Canon Chuck, 8 inch Chuck for EX3, EX4, i4, i5 4 200mm excellent 01.05.1999

52262 Canon 6736A retic le handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 1 spares excellent 01.07.199952263 Canon BH8-1714-01 FM4 Assembly 1 spares excellent 01.07.199952264 Canon fan assy Fan assembly 1 spares excellent 01.07.199952265 Canon video impedance adapter 5 channel v ideo impedance adapter 1 spares excellent 01.07.199953034 Canon BG4-8663 SCSI EXT board Module 1 spares excellent52267 Canon 147-013 MVME motorola board 1 spares excellent 01.07.199952268 Canon BH8-2021-01, BG4-8815 CDIF2 Board 1 spares excellent 01.07.1999

52269 Canon 147-010 MVME motorola board 1 spares excellent 01.07.199952270 Canon BG4-5456 DSP board 1 excellent 01.07.199967119 Canon MPA-600 Super 1 150mm52271 Canon BG4-6485 ZMI1000A Board 1 spares excellent 01.07.199967120 Canon MPA-600 Super 1 150mm52272 Canon BG4-6466 ZMI1000A Board 1 spares excellent 01.07.199952273 Canon BG4-6467 ZMI1000A (theta) Board 1 spares excellent53041 Canon spares Cassette holder 1 spares excellent52274 Canon BH8-1760-01, BG4-6457 XY PRE board 1 spares excellent84019 CANON MPA600 Super 052275 Canon BH8-1756-01 BG4-9745 OFCD-IF brd 0 excellent 01.07.199952276 Canon BH8-1757-01, BG4-6460 Piezo, analog board 0 spares excellent52277 Canon BH8-1635-01, BG4-5459 Piezo drive II brd 1 spares excellent 01.07.199952278 Canon BH8-1763-01, BG4-7937 CCD OPTF CAP II board 1 spares excellent 01.07.199953048 Canon BG4-8192-000 Intermediate library control board 1 spares excellent 01.07.199952281 Canon BG4-6466 ZMI1000A Board (Y) 1 spares excellent 01.07.199953049 Canon BH8-1768-02 Library Sub Board 1 spares excellent53050 Canon BH8-1818-01 LD/PD board 1 spares excellent 01.07.199952283 Canon Rack Internal rack for Canon series 1 spares excellent 01.07.199978652 CANON FPA3000 i5 200mm, 1997 vintage 0 200mm84029 Canon FPA-3000 EX5 DUV Scanner 1 200 mm good 01.11.200084032 Canon FPA 2500 i3 (parts) Lens assembly 2 SPARES good53056 Canon BG9-4757, BH8--1069-01 AF DRV board 1 s excellent53057 Canon BG9-4760, BG83111, BH8-1071-0 SH/RH board 1 spares excellent 01.07.199953058 Canon BG9-4761, BG8-3112, BH8-1071- SH/RH board 1 spares excellent 01.07.199953059 Canon BH8-1073-01, BG9-4763, BG8-31 PA SENSER board 1 spares excellent 01.07.199983268 Canon FPA-5000 ES3 KrF Scanner 1 200 mm good 01.06.2001

53060 Canon BG9-4764, BH8-1074-01 PA CCD board 1 spares excellent 01.07.199983269 Canon FPA-5000 ES3 KrF Scanner 1 200 mm missing parts 01.06.2001

53061 Canon BG9-4762, BG8-3113, BH8-1072- PA STAGE board 1 spares excellent 01.07.199953062 Canon BG9-4758, BG8-3109, BH8-1070- SC/RC board 1 spares excellent 01.07.199953063 Canon BG9-4759, BG8-3110, BH8-1070- SC/RC board 1 spares excellent 01.07.199953064 canon BG4-8615, BH8-1752-01 DAMPER CD board 1 spares excellent 01.07.199953065 Canon BG3-2090, BG8-3484, bh81970-0 M-POS Pcb 0 spares excellent 01.07.199953066 Canon Retic le barcode reader 1 spares excellent 01.05.199785068 Canon FPA-3000EX4 DUV Wafer scanner 1 200 MM85069 Canon FPA-3000EX4 DUV Wafer scanner 1 200 MM85070 Canon Fpa-3000iW I-Line Wafer Stepper 1 200 MM

Page 4: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

85071 Canon FPA-5500iZa I-Line Wafer Stepper 1 300 mm33617 CANON MAS-8000 Microwave Ashing System 153074 Canon FPA 3000 series extended retic le l extended retic le library 1 6 inch excellent 01.06.199861532 Canon 7" Focus Mask FOCUS MASK FOR THE CANON MPA600FA 2 7 INCH excellent61535 Canon 6" Focus Mask MPA500FAb 256928 Canon FPA-1550 Mark4 (Parts System) G-line Stepper 1 150mm61536 Canon 6" DR Mask MPA500FAb 461539 Canon Retic le 325-02 For Stepper 361540 Canon Reticel 320-04 For Stepper 261541 Canon 365-06 Retcile For Stepper 182790 Canon MPA-500FA Aligner 1 5 01.06.199561542 Canon 365-04 Retic le For Stepper 1

82791 Canon MPA-500FA Aligner 1 5 01.06.199561543 Canon 365i (365-01-1) Retic le For Stepper 1

82792 Canon MPA-500FAb Aligner 1 5 01.06.199682793 Canon MPA-500FAb Aligner 1 5 01.06.1995

82794 Canon MPA-500FAb Aligner 1 5 01.06.199682795 Canon MPA-500FAb Aligner 1 5 01.06.199682796 Canon PLA-501FA Aligner 1 5 01.06.199282797 Canon PLA-501FA Aligner 1 5 01.06.199382798 Canon PLA-501FA Aligner 1 5 01.06.199582799 Canon PLA-501FA Stepperý 1 5" 01.06.199682800 Canon PLA600 Lithography 1 2"3" 01.06.1996

80241 CANON BG4-6745 RC CPU from Canon fpa 3000 series stepper computer 1 SPARES excellent80242 CANON BG4-7079 SCSI PCB from Canon FPA 3000 series stepper computer 0 excellent52338 Canon BH8-2017-01 IL3-CD-PCB 0 spares excellent 01.07.199980243 CANON BG4-6746 WF/RC IF from Canon FPA 3000 series stepper computer 1 excellent52341 Canon BH8-1980-01 EASRCD Board 1 spares excellent 01.07.199980246 CANON BG8-2681 SCH/EL PCB Board 1 excellent52342 Canon BH8-1979-01 EASLCD Board 1 spares excellent 01.07.199980248 CANON BG4-8193 RC LB PCB 1 excellent52344 Canon BH8-1824-01 IL1-C/D Board 1 excellent 01.07.199980249 CANON BG4-7001 BH8-1837-01 0 excellent52345 Canon BH8-1819-02, BG4-6811 PA/HS Board 1 spares excellent 01.07.199952346 Canon BH8-2022-01, BG8-3369, BG4-86 EXP-CD Board 1 spares excellent 01.07.199980251 CANON UED2-238 PCB FOR CANON 1550 MK4 STEPPER 1 excellent 01.06.199552347 Canon BH8-1938-01 A1-M1-2 board 1 spares excellent52348 Canon BH8-2065-02, BG4-8805, BG8-33 CD90-INTLK board 1 spares excellent 01.07.199980253 CANON LENS MK4 2 excellent20861 Canon FPA1550 Mark 4 g-line stepper 1 150 mm excellent 01.04.199452349 Canon BH8-1838-02, BG4-6974 E-CD board 1 spares excellent 01.07.199984613 Canon MAS-8000 Asher 184614 Canon MAS-8000 Asher 184615 Canon MAS-8000 Asher 152359 Canon FPA 3000 series HP-UX Workstation A2615A 9.05 10.20 11.00 11.00 11i 1 spares excellent 01.02.199784616 Canon MAS-8000 Asher 184617 Canon MAS-8000 Asher 184618 Canon MAS-8000 Asher 184619 Canon MAS-8000 Asher 184620 Canon MAS-8000 Asher 184621 Canon MAS-8000 Asher 184622 Canon MAS-8200 Asher 1

77200 Canon FPA 5500 izA I-line stepper 1 300 mm excellent 01.02.200785653 Canon Surpass 320 Stripper/Asher 1 300 mm36503 Canon FPA5000 ES3 DUV SCANNER 248 NM (SMIF) 1 200 mm good 01.08.200135998 Canon FPA5000 ES3 DUV Stepper, Step and Scan System 1 200 mm good 01.06.200020144 Canon FPA2500i2 i line stepper 5 200 mm excellent 01.06.199368025 Canon FPA 5000 ES2+ 248 nm lithography exposure system 1 200 mm (300 mm also pogood 01.06.200052153 Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5 1 200mm excellent 01.05.199952162 Canon Bar Mirror Bar Mirror Kit For Canon EX3 1 excellent 01.07.199952164 Canon FPA 3000 (Spares) ALS System 1 excellent 01.07.199952173 Canon BH8-0670-05 ADP-II Board (P/N BH8-0670-05) 1 excellent 01.07.199952174 Canon BH8-1830-01 TV BACKPLANE CHASSIS 1 excellent 01.07.199984431 CANON FPA 2500 I3 STEPPER 1 20052176 Canon BH8-1977-01, BG9-3793 IMP-IIa Board 1 excellent 01.07.199952177 Canon BG9-3502, BH8-0672-03 acc-1 Brd 1 excellent 01.07.199952178 Canon BG9-3502, BH8-0672-03 ACC-1 Brd 1 spares excellent 01.07.199952179 Canon BG4-3835, BH8-1395-02 CPU20-ii Board 1 spares excellent 01.07.199938359 Canon FPA-2000 i1 I-line Stepper 1 150mm 01.06.199338360 Canon FPA-2500 i2 I-line Stepper 1 200mm 01.06.199385209 CANON APT4800 BPSG 1 200 mm 01.06.200038361 Canon FPA-2500 i2 I-line Stepper 1 200mm 01.06.199338362 Canon FPA-2500 i2 I-line Stepper 1 200mm 01.06.199338363 Canon FPA-2500 i3 I-line Stepper 1 150 mm excellent 01.06.199538364 Canon MPA-500FAb Aligner 1 125mm38365 Canon MPA-500FAb Aligner 1 125mm38366 Canon MPA-500FAb Aligner 1 125mm38367 Canon MPA-500FAb Aligner 1 125mm38368 Canon MPA-500FAb Aligner 1 125mm38369 Canon MPA-500FAb Aligner 1 125mm70634 Canon FPA 5000 ES3 DUV Lithography exposure tool 1 200 mm for spares use 01.06.200170635 Canon FPA 5000 ES3 DUV Lithography exposure tool 1 200 mm good 01.02.200171659 CANON FPA 2500 I3 I LINE STEPPER 171660 CANON FPA 2500 I3 I LINE STEPPER 1 01.08.199585484 CANON FPA3000i4 I-line stepper 1 200 mm71661 CANON FPA 3000 EX4 DUV LITHOGRAPHY EXPOSURE SYSTEM 1 01.02.199985485 CANON FPA3000i4 I-line stepper 1 200 mm85486 CANON FPA3000i4 I-line stepper 1 200 mm

85487 CANON FPA3000i5 I-line stepper 1 200 mm85488 CANON FPA3000iW I-line stepper, wide field 1 200 mm85489 CANON FPA3000iW I-line stepper, wide field 1 200 mm38385 Canon L450G Wafer handling robot 1 200 mm good 01.06.199985490 CANON FPA3000iW I-line stepper, wide field 1 200 mm85491 CANON FPA3000iW I-line stepper, wide field 1 200 mm85492 CANON FPA3000iW I-line stepper, wide field 1 200 mm85493 CANON FPA3000iW I-line stepper, wide field 1 200 mm85494 CANON FPA3000iW I-line stepper, wide field 1 200 mm85495 CANON FPA3000iW I-line stepper, wide field 1 200 mm85496 CANON FPA3000iW I-line stepper, wide field 1 200 mm85497 CANON FPA5000ES3 KrF Scanner 1 200 mm 01.06.200185498 CANON FPA5000ES3 KrF Scanner 185499 CANON FPA5500iZ iline Stepper 1 200 mm 01.06.200385500 CANON FPA6000 ES6A KrF scanner 1 300 mm 01.06.200785501 CANON FPA6000 ES6A KrF scanner 1 300 mm85502 CANON FPA6000ES5 krf scanner 1 300 mm 01.06.200385503 CANON MPA600SUPER Mask Aligner 1 150 mm 01.06.199184567 Carbolite CR/130 Curing Oven 184568 Carbolite CR/130 Curing Oven 167408 Cascade Summit 9000 Cascade Summit 9000 Manual 6" Probe Station With Mitutoyo high optics 1-2x zoom and long working184720 Cascade PS21 Prober spare parts tool 184721 Cascade PS21 Prober spare parts tool 184722 Cascade PS21 Prober spare parts tool 184723 Cascade PS21 Prober spare parts tool 171716 Cascade Microtech PS21 Fully Automatic Prober 2 200 mm excellent85554 Cascade Microtech REL6100 Probe Station 1 01.06.2008

56949 cascade microtech ALESSI REL 4800 prober 1 200mm excellent56974 cascade microtech REL-4800 prober 0 excellent74929 CDE Resmap 463 OC Resistiv ity Measurement 1 up to 300 mm excellent83551 Celerity UFC-1660 MFC C2F6 5SLPM 1 good 01.08.200969557 Celerity MegaFlow-VII CMP Slurry Dispenser Equipment 170110 Celerity Megaflow 7 CMP Slurry dispenser 1 Facilities excellent 01.06.200756140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT Fast Firing Funace with Dryer 1 156mm excellent 01.06.2001

56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 1 156 mm excellent 01.06.200377190 Centrotherm Quartz Door Centrotherm/semco(?) Quartz Door, new 1 156mm excellent74280 CETC M42200-21um Edge Isolation solar cell MFG 1 excellent 01.01.200767181 CHA Mark 40 26T X 26"DIAMETER CHAMBER LIFT OFF DOME FOR 6" WAFERS IC5 THICKNESS CONTROLLE 167470 CHA SE 600 CHA SE 600 EBEAM SYSTEM WITH SINGLE OR 4 POCKET EBEAM GUN, AIRCO TEMESCAL CV8 P/S, 167471 CHA 600 18" X 30" HIGH S/S BELL JAR INFICON IC-6000 THICKNESS MONITOR AUTOTECH II AUTOMATI 167472 CHA MARK 40 Ion Miller 179300 CHAD Mate200 automatic ball placement machine 1 200 mm good 01.06.200784788 Changzhou Jiangnan PTDR 85 Ingot Grower for Monocrystalline Silicon 5 8 inch and 6 inch excellent 01.07.200884789 Changzhou Jiangnan PTDR 95 Ingot Grower for Monocrystalline Silicon 5 8 inch and 9 inch excellent 01.04.201079721 ChemWest WET 1 200mm34492 CHEMWEST K232 QUARTZ CLEANER 1 200 mm excellent 01.04.199552367 CHINO ES-600 Chart Recorder for Canon FPA3000 Series 1 spares excellent 01.07.199984866 Ci Science Torus 300K Plasma Processing Equipment and Tools 1 300 mm 01.06.200583874 CKD M4SB080-M5 AHM-850 SOLENOID VALVE 5 new in box83870 CKD CYCLINDER CSD2-L-32-20 CYLYNDER 0 SPARES excellent83871 CKD CYCLINDER CSD2-L-32-20 CYLYNDER 1 SPARES excellent21122 CLEAN ROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE 1 FACILITIES as new82850 clone Applied Material Centura AP PolySilicon Etch System 1 300 good 01.01.200182857 clone Applied Material Centura Ultima X HDP CVD (Chemical Vapor Deposition) 1 200 good 01.06.200582887 clone Applied Material P5000 Multi-Process CVD Multi-Process CVD 1 200 good 01.06.199683810 CMC MT2115-014DF MOTOR ELECTRIC 1 excellent37690 CMS Diode pumped Laser Marking System 167362 COBILT CA-400 Cobilt CA-400 mask aligner exposes up to 3" wafers Includes split field optics 167363 COBILT CA-800 serviced, add 1400 for local installation 2-4" 182927 Collabratech Custom Built Trichlorosilane (TCS) distribution system 1 Facilities new unused 01.12.201580083 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION 1 Laboratory excellent 01.06.200082086 COMDEL CB-250 METAL 682087 COMDEL CLX-1250 CVD 1

82088 COMDEL CVD 282089 COMDEL CX-600AS METAL 184182 COMDEL CB250 RF GENERATOR 1 SPARES good67197 COMMONWEALTH SCIENTIFIC Ion Beam System with load lock for up to 3 inch diameter substrates 179711 Complete LED Assembly Line 106 Assembly excellent 01.12.200860887 Component System EST-A4000 Level Tester 183569 COMPUGRAPHICS CHROME COPY NIKON 5 TEST RETICLE 1 excellent 01.05.200853025 COMPUMOTOR M57-51 Lead screw 1 spares excellent53036 COMPUMOTOR M575L11 Stepping motor drive 1 spares excellent82179 Concoa 806 6530 Singe stage Oxygen regulator valve w/ guage 1 as new84021 Condor B24-1.2 dc power supply 1 new in box21665 CONDOR HCC15 3A + POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN 1 SPARES good 01.02.199660803 Convac Solvent and Chemical Cabinet 169350 Convac Falcon Coater Developer 113143 COSEL MMC100-2 POWER SUPPLY 2 SPARES new in box69871 Cosmir Univer Pin insertion Machine INS.P.M 178120 CPA 9900 sputter system 0 200mm61184 CR TECHNOLOGY UF160-0 Xray System 156854 CRANE ELECTRONI Tool Star Recorder 155866 CRANE ELECTRONI Tool Star Recorder 177289 Creden ISP 3000 Wafer Inspection Equipment 1 N/A77290 Creden ISP 3000 Wafer Inspection Equipment 1 N/A81920 CREDENCE ASL3000 Tester 1 excellent83978 Credence Kalos Hex Automated test system 1 8" good83979 Credence Kalos Hex Automated test system 1 8" good83980 Credence Quartet Automated test system 1 8" good78638 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM 1 test for spares use84278 Credence 671-4394-01 Test head connector board 1 excellent 01.01.199984279 Credence 671-4270-02 Capture Processor board 1A 1 excellent 01.01.199984280 Credence 671-4394-04 Test head connector board 1 excellent 01.01.199984281 Credence 672-6051-01 Support Module Interface 1 excellent 01.01.199956897 Credence ASL 1000 Tester 6 TEST excellent 01.05.200369459 Credence Kalos II HEX 180211 Credence Duo SX PC Credence Duo SX Main PC 2 excellent83559 Credence 671-4276-50 PIN card 3 excellent 01.01.199983819 Credence 671-4359-01 DPAC Parallel Pattern Memory 1 excellent 01.01.1999

62579 Credence Duo Mixed Signal Tester 162580 Credence Duo Mixed Signal Tester 162581 Credence Duo XP Mixed Signal Tester 162582 Credence Duo XP Mixed Signal Tester 162583 Credence Duo SX Mixed Signal Tester 162584 Credence Duo SX Mixed Signal Tester 162585 Credence LT1000 Mixed Signal Tester 162586 Credence LT1001 Mixed Signal Tester 162587 Credence Octet 200 Mixed Signal Tester 162588 Credence Quartet Mixed Signal Tester 162589 Credence Quartet Mixed Signal Tester 162590 Credence Vista Vis ion Mixed Signal Tester 132130 Credence Sapphire DRAM Test System 1 01.05.200684099 Credence 671-1376-02 Analog Power Monitor PCB 584-02 1 01.07.199962603 Credence ASL1000 In Test Manipulator 162604 Credence ASL 3000 Test System 180269 Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD 1 01.07.199980270 Credence 671-4331-01 DUO SX ROM Sequencer 1 01.07.199980271 Credence 670-9426-05 DUO SX Controller I/O 1 excellent 01.07.199980272 Credence 671-0693-04 Duo SX DMA2 Controller 2 excellent 01.05.199980273 Credence 671-4043-01 DUO SX Test Controller 2 excellent 01.04.199980274 Credence 671-4098-952707 DUO SX Master Clock Dist. 1 good 01.10.199980275 Credence 671-0951-04 DUO SX Analog I/O Board 3 excellent 01.02.199981829 Credence 202-2000-00 Credence Duo SX controller 1 excellent 01.06.199962629 Credence Cal Station Credence Calibration Station for Credence Quartet and Credence Duo's 183631 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual 1 excellent 01.01.199771607 CREDENCE RFX Tester 580311 Credence 671-4127-00 Scan Memory 4 excellent 01.01.199971608 CREDENCE DUO Tester 280312 Credence 671-4359-00 DPAC Parallel Pattern Memory 18 excellent 01.01.199971609 CREDENCE DUO Tester 180313 Credence 672-4359-00 DPAC Parallel Pattern Memory 1 01.01.199971610 CREDENCE ASL3000 Tester 2480314 Credence 672-6051-03 Support Module Interface 2 excellent 01.01.1999

80316 Credence 671-4270-03 Capture Processor 2 excellent 01.01.199962652 Credence ASL 3000RF Parts Machine 1

80317 Credence 671-2119-00 Analog Support Air flow Bus Grant 8 excellent80318 Credence 671-4155-05 Analog Support Module VHF 1 excellent 01.06.199780319 Credence 671-4177-04 Support Module Interface 1 excellent80320 Credence 671-4351-00 Intersegment Communications Connector 1 excellent 01.01.199981864 Credence 671-4098-02 DUO SX Master Clock Dist. 1 excellent 01.02.199981866 Credence 671-4635-01 Testhead connector board 1 excellent 01.02.200756266 Credence ASL 3000 Component Test 381880 Credence Quartet Automated test systems - for mixed signal testing 2 test excellent78043 Credence Sapphire NP TEST SYSTEM 1 TEST 01.06.200582168 Credence 671-1461-04 Intersegment communications board 1 excellent 01.07.199938461 Credence Valstar 2000? Test System 185060 Cressington 208HR Standalone Sputterer 1 Facilities 01.06.199985982 Crest Ultrasonics 0C4-1622-HE, CTS-2000 Ultrasonic Cleaner 1 N/A61066 CRYO 8112873G001 PUMP 174219 CSZ ZH16-2-2-H/AC Temperature Humidity Chamber 183736 CTI 10 Cryo Pump w/ Compressor 1 pump good74220 CTI 9600 Compressor 133797 CTI CRYOGENICS MC 8096 Compressor 133798 CTI CRYOGENICS SCW Helium Compressor 154562 CTI cryogenics CRYOTORR-100Cryopump Cryopump 2 excellent 01.06.199755867 CTI CRYOGENICS 8300 Helium Compressor w/8001 Controller 155868 CTI CRYOGENICS 1020R Helium Compressor 155915 CTI CRYOGENICS CryoTorr 10F Cryogenic Vacuum Pump 1 pump refurbished51895 CTI CRYOGENICS 233151811 CONTROLLER 169855 CTI Cryogenics Cryotorr 100 Cryopump 2 pumps excellent 01.06.19974259 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL 1 Spares4260 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL 1 Spares 01.01.1970

4265 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL 1 Spares4266 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL 1 Spares 01.01.19704261 CVC 31-313216-8171 CONNEXION INSTRUCTION MANUAL 2 Spares 01.03.19984267 CVC 31-313216-8171 CONNEXION INSTRUCTION MANUAL 2 Spares 01.03.199883892 CVC GTC-036 TERMOCOUPLE GAUGE TUBE 3 new in box82881 CVC Products 2800 PVD (Physical Vapor Deposition) 2 150 good69724 Cybeq 6100 Robot Rontroller 1

69725 Cybeq 2850 Prealigner 184343 CYBEQ 6100 refurbished robot and controller 1 excellent

85907 CyberOptics SE 300 Solder Paste Inspection 182161 Cyberoptics QX500 inline AOI inspection machine 1 excellent 01.06.2012

85504 Cymer ELS5400 KrF Laser 1 01.06.199785505 Cymer ELS5410 KrF Laser 1 01.06.199878115 Cymer ELS 6300 248 nanometer excimer laser 2 facilities excellent 01.04.200178121 Cymer Nanolith 7401A 193 nm excimer laser 1 facilities excellent 01.09.200278122 Cymer ELS 6400 248 nm excimer laser 1 facilities missing parts84556 Cymer ELS 6300 248 nm excimer laser 1 Facilities excellent 01.11.200071541 Cymer ELS 5500 248 nm excimer laser 2 facilities good84357 Cymer XLA160 193 nm excimer laser 1 Facilities good52159 Cymer 4300 Maintenance Tool k it and spare parts 1 SPARES excellent86209 Cymer ELS 6400 248 nm laser 1 Facilities86210 Cymer ELS 6400 248 nm laser 1 Facilities86211 Cymer ELS 6400 248 nm laser 1 Facilities86216 Cymer ELS 6400 248 nm laser 1 Facilities86217 Cymer ELS 6400 248 nm laser 1 Facilities86218 Cymer ELS 6400 248 nm laser 1 Facilities86219 Cymer ELS 6400 248 nm laser 1 Facilities86220 Cymer ELS 6400 248 nm laser 1 Facilities86221 Cymer ELS 6400 248 nm laser 1 Facilities86222 Cymer ELS 6400 248 nm laser 1 Facilities86223 Cymer ELS 6400 248 nm laser 1 Facilities86224 Cymer ELS 6400 248 nm laser 1 Facilities86225 Cymer ELS 6400 248 nm laser 1 Facilities86226 Cymer ELS 6400 248 nm laser 1 Facilities86227 Cymer ELS 6400 248 nm laser 1 Facilities86228 Cymer ELS 6400 248 nm laser 1 Facilities

69353 Cymer ELS 5610 248 nm laser 1 Facilities missing parts 01.04.199959143 Dage WP100g Wire pull module for Dage 4000 164777 DAGE BT22-PC Assembly Test Tool with 50gm Wire Pull Load and Rotating Hook 171207 Dage DS 100Kg Die Shear Cartridge for Dage 4000 1 excellent77131 Dage 4000 Bond Tester 1 refurbished 01.05.200883543 DAGE XD7500VR XRay machine 1 excellent 01.06.200733623 DAGE BT-22PC Microtester with 50gm or 200gm wire pull load cells 1 excellent33624 DAGE BT-23 Microtester with LC200 Die Shear Load Cell 133626 DAGE 2400PC Wire Bond pull Tester 1 excellent

86111 DAGE BT-2400 Bond Pull tester 1 200 mm excellent69732 Dage 4000 Wire Pull, Die Shear, Ball Shear Tester 1 excellent 01.01.2006

67176 Dage 23 Die Shear Tester 100,200,500 Gram available 167432 Dage BT-23 w/200 gram head with IEEE computer interface 167433 Dage MCT-20 Die shear head, ball shear also available 167434 Dage MCT-22 100g pull tester, 200g die shear head also available 171799 Dage 4000 Wire Pull, Die Shear, Ball Shear Tester 1 Assembly refurbished32134 Dage 2400-TX Wire Pull Ball Shear Tester 1 refurbished71063 Dage DS100KG Die Shear Cartridge for Dage2400PC 169789 Dage 4000-HK-5-2 160-OT12-PTP-02 PULL TEST PIN 1 excellent9916 DAGE BT 24 Ball/Die Shear Tester 1 ASSEMBLY excellent 01.06.199467526 Dage 22 167527 Dage 2400 PULL TESTER 1 good67528 Dage 20 169322 Dage BS250 Ball Shear Module for DAGE 4000 1 excellent77274 Dage 2400A Bond Pull Tester 1 N/A35553 DAGE 2400PC-BS500G 500g Ball Shear Load Cell for Dage 2400 135555 DAGE 2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 2400 170132 Dage 22 Wire Pull, Die Shear, Ball Shear Tester 1 assembly excellent73209 Dage 2400 PC Bond Pull Tester 1 ASSEMBLY excellent 01.06.199773210 Dage BT-100 Material Tester 084442 DAGE PRECISION TO 4000HS METROLOGY 1 20038836 DAIFUKU Spares Spare Parts 151896 DAIFUKU OPC-2603A (TYPE 1) POWER SUPPLY / PCB 151897 DAIFUKU OPC-2603A (TYPE 2) POWER SUPPLY / PCB 1

51900 DAIFUKU CFDA00283-010 / 7A000071 IFP 151901 DAIFUKU ROBOT ARM 151902 DAIFUKU CFDA05531-010 POWER SUPPLY AND CIRCUIT BREAKERS BREAKERS 151903 DAIFUKU 233-3970-28 POWER SUPPLY 182012 DAIHEN CB-13B ETCH 182013 DAIHEN HMN-30A ETCH 2982014 DAIHEN HRM-30B ETCH 182015 DAIHEN HRM-30B1 ETCH 182016 DAIHEN RMN-50X ETCH 3082090 DAIHEN 15Z-TW1 ETCH 182091 DAIHEN AGA-50B2 ETCH 9

82092 DAIHEN ATP-30B ETCH 182093 DAIHEN FGA-30C ETCH 2

82094 DAIHEN HGA-30A ETCH 3082095 DAIHEN HGA-30B1 ETCH 282096 DAIHEN RGA-50F ETCH 3082097 DAIHEN RGA-50G1 ETCH 182098 DAIHEN RTGA-30A1 ETCH 182099 DAIHEN WGA-50E ETCH 1379633 Daitron DBM-402R SEPARATION 1 Assembly 01.06.200979634 Daitron DBM-402R SEPARATION 1 Assembly 01.06.200979635 Daitron DBM-402R SEPARATION 1 Assembly 01.01.201079636 Daitron DBM-402R SEPARATION 1 Assembly 01.06.200979637 Daitron DBM-402R SEPARATION 1 Assembly 01.06.201085061 Daitron EMTEC CVP-80 Scientific and Laboratory Equipment 167088 DAS SUPRA II ASHER 2 200 MM excellent 01.06.200679586 DATA IO UNISITE 68 EPROM PROGRAMMER WITH USPIN 84 1 test excellent 01.06.200556322 Datacon 2200PPS Die Bonder 1 excellent76897 Datacon 2200APM Single head Multi chip Die Bonder 1 excellent 01.01.2005

84068 Datacon 2200 APM Bonder 179764 Datacon PPS 2210 Multi-Chip Die Bonder 1 Assembly excellent 01.06.199983642 Datacon 2200APM Single head Multi chip Die Bonder 1 excellent82882 Datacon PPS 2200 series Epoxy Die Bonder 2 150 good60852 Daymarc 3287 Tri-Temp, 300 mil, SOJ kit 180176 Dayton 4C446 Blower motor 115v 1 excellent 01.01.201267453 DeHaart 12E 7X10" PRINT AREA, UP TO 12" SCREENS, SEMIAUTOMATIC BENCH TOP UNIT 1

67454 DeHaart AOL12AEFW 12X12" SCREEN, 6X8" PRINT AREA, CONVERTED TO MANUAL OPERATION 167455 DeHaart AOL-15 10X12" PRINT AREA, UP TO 15" SCREENS, SEMI-AUTOMATIC 167456 DeHaart AOL-12 12X12 SCREEN, 6X8" PRINT AREA SEMI AUTOMATIC 182209 DEK Horizon 03i Screen printer 1 good 01.06.200584517 DEK ELA Plus Screen printer 1 excellent 01.01.200272897 DEK Horizon 03 Screen Printer 179301 DEK GALAXY FLUX AND BALL PLACEMENT 1 200 MM excellent 01.06.200779877 Delatech 858 CDO Gas Scrubber 4 good55869 DELATECH CDO 858-4 Burn Box 184375 DELL PWB 9578D GX B-V0A 1 94V-0 excellent 01.04.199973268 DELTA EDGE DE8000 Handler 3 01.01.2008

67186 DELTA MK 2800 16WX7X7" ID, -100 to 350 degrees F, CO2 cooled. (Email us for Photo) 167187 DELTA Mod 8000 Air to air top table shock chamber, dig setpoint, LCO2 cooled. (Email us for Photo) 1

77204 Delta ?? Impulse Driver Board, 5000V, 3A, for PECVD 1 as new 01.01.200967184 DELTA DESGN 7650 C 20X20X25"D ID, -73 to 315 degrees C, horizontal airflow, CO2 cooled. (Email us for Photo) 167185 DELTA DESIGN 2300 MICROPROCESSOR OR DIGITAL CONTROLLED ID 8X8X10" WIDE REQUIRES CO2 OR LN2 FOR 1

85116 Delta Design Turbo Flex Test Handler, tri temp 1 TEST excellent 01.06.200285117 Delta Design Turbo Flex Test Handler, tri temp 1 TEST good 01.06.2003

71595 DELTA DESIGN NITRO Test Handler 181839 Delta Design Summit ATC 6098ES Test Handler 2 TEST good 01.04.200671070 Delvotec 6834 Bonder for TO220 182711 DENKO SYSTEMS INCUSC-6ýC-1ý3-4 LPCVD 1 6" 01.06.198982712 DENKO SYSTEMS INCUSC-6ýC-2ý2-6 LPCVD 1 6" 01.06.198982713 DENKO SYSTEMS INCUSD-6ýD-11ý2-2 Diff 1 6" 01.06.198982714 DENKO SYSTEMS INCUSD-6ýD-12ý2-1 Diff 1 6" 01.06.198982715 DENKO SYSTEMS INCUSD-6ýD-5ý3-2 Diff 1 6" 01.06.198982716 DENKO SYSTEMS INCUSD-6ýD-7ý3-2 Diff 1 6" 01.06.198982717 DENKO SYSTEMS INCUSD-6ýD-8ý3-7 Diff 1 6" 01.06.198982718 DENKO SYSTEMS INCUSD-6ýD-8ý3-8 Diff 1 6" 01.06.1989

83627 DENSAN DSB-S17 OUTPUT BOARD SDB-S17 2 new in box79861 Denso HS-45452E/GM Robots with controllers 0 good 01.10.201059144 Despatch CDF7210 Firing furnace / Reflow oven 1 156 mm square excellent77186 Despatch KK33UH-009-00-4,MC Thermocouple 1 spares as new77187 Despatch 164700 Thermocouple 1 as new81837 Deublin 55-000-003 Deublin, , Rotary Union 5/8" NEW 1 excellent71560 DFD 641 Dicing Saw 171123 DFD 641 Dicing Saw 477666 Digital Analysis PH10 Adjustment system PH Adjustment system 1 good84986 Digital Instruments NANO-SCOPE 3A AFM 163826 Digitizer Acqiris DC240 with CC103 Mainframe 176954 Dimetric JGT-10F Relay 380V 80A 1 spares excellent83971 Disco DFD651 Dicing Saw 2 good 01.06.200077064 Disco DFD 6340 Dicing Saw 1 200mm excellent 01.11.200583981 Disco DFG 821F Back Grinder 1 8" good62733 Disco Wafer Frames Disco 8" wafer Frames 100082707 Disco DFG-841 Dicer 1 5 01.06.199683743 DISCO DFD 651 Dicing Saw 1 ASSEMBLY excellent 01.06.199784001 Disco DAC551 Dicing Saw 1 150mm poor85286 Disco DFD640 Wafer Saw 1 200 mm 01.06.1996

85287 Disco DFD640 Wafer Saw 1 200 mm 01.06.199585288 Disco DFD640 Wafer Saw 1 200 mm 01.06.199585289 Disco DFD640 Wafer Saw 1 200 mm 01.06.1995

78129 DISCO DFL 7160 Laser Dicing Saw 1 200 mm excellent 01.08.200678130 DISCO DFL 7160 Laser Dicing Saw 1 200 mm excellent 01.04.2011

77108 Disco DAD 3350 Dicing Saw Suitable for Crystaline Glass 1 good 01.06.201036660 Disco 3350 Dicing Saw 6" 1 01.12.200679433 DISCO DFD 6340 dicing saw 1 good 01.07.200871242 Disco DFD 640 Dicing Saw 1 01.02.199671243 Disco DFD 640 Dicing saw 1 good84043 Disco DCS140 Automatic Cleaning SYSTEM 1 fair69453 Disco DFG 841 Back grinder 1 200mm good 01.06.199784049 Disco DAD 3350 Dicing saw 1 200 mm excellent 01.06.201386106 Disco DFD 8761 Dicing Saw 1 good 01.04.2009

65886 Disco DAD641 Dicing Saws 5 200mm84066 Disco DAD 3350 Dicing Saw 1

56683 Disco EAD 6361 Inteconn 8808+EAD6361 saw 163595 Disco DFD-2D8 Fully Automatic Dicing Saw 169230 Disco DAD 321 Dicing Saw 1 good67440 Disco DAD 2H/6 Dicing Saw 1 150mm excellent67441 Disco DAD 2SP6T DICING SAW 6" Capability 179473 Disco DAD 321 dicing saw 5 good67442 Disco DAS 6IL DICING SAW, 6X12" CUTTING AREAIDEAL FOR CUTTING HARD MATERIALS 167958 Disco DFD 640 Dicing Saw 1 01.04.199465918 Disco DFL7160 LASER SAW 184608 Disco DAD651 Dicing Saw 176933 Disco DAD 641 and 321 Dicing Saws 283591 Disco EAD6340 Package Singulation Saw EAD6340 + Hanmi 3000D 1 2 inch good77962 DISCO DFL 7160 FULLY AUTOMATIC LASER DICING SAW 1 300 MM excellent 01.06.200877963 DISCO DFL 7160 FULLY AUTOMATIC LASER DICING SAW 1 300 MM excellent 01.06.200879244 Disco DFG 8561 Backside Grinder 1 excellent83613 Disco EAD6340 K Package Singulation Saw EAD6340 + Hanmi 3000D 1 2 inch good 01.07.200636005 Disco DTU 152 Temperature Control Unit 1 excellent 01.01.200570311 Disco DFD 670 Dicing saw 1 12 Inch 01.04.200170312 Disco DFD 641 Dicing saw 1 8" refurbished 01.05.200471081 Disco 670 Discing Saw 12 inch 179541 Disco DFD6340 Dicing Saw 1 good 01.06.201079542 Disco DFG8540 Wafer back grinder 1 200 mm good 01.06.200979543 Disco DAD3350 Dicing Saw 3 good 01.06.2007

75704 Disco DAD 640 Dicing Saw refurbished 281848 Disco DFD6361 Dicing Saw 1 good 01.04.2007

75706 Disco DFD 640 Dicing Saw 379546 Disco DFG8540 Back grinder 1 good 01.06.2004

79551 Disco DFD641 Dicing Saw 2 good 01.01.200370080 Disco DAD 321 Dicing Saw 1 150 mm excellent75712 Disco EAD 6361 SINGULATION dic ing saw 279552 Disco DFD651 Dicing Saw 4 good 01.06.199875713 Disco 341 Dicing Saw 8 inch 179553 Disco DFD6360 Dicing Saw 1 good75714 Disco DFD 6340 Dicing Saw 1

79554 Disco EAD6340 Dicing Saw 1 good75715 Disco DFD 6361 Dicing Saw (6" and 8" and 12 inch Capable) 1 fair 01.11.2010

79043 DISCO DFD640 Dicing saw 1 200 mm excellent79556 Disco DFD640 Dicing Saw 4 good

75717 Disco DFD 651 Dicing Saw 1076997 Disco DFG 850 Backgrinder 1 8 Inch good79557 Disco DAD340 Dicing Saw 1 good69832 Disco 641 Dicing Saw 1 01.01.200080334 Disco DFL7160 Laser Dicing Saw 1 LED good 01.10.200761138 Disco DFL7160 569331 Disco DFP8140 Wafer Polisher 1 good85211 Disco DFG8560 Back Grinding 1 200 mm, 300 mm 01.06.200385212 Disco DFG8560 Back Grinding 1 200 mm, 300 mm 01.06.200372928 Disco DCS140 Wafer Washer 178831 Disco DC4001 Cleaning 1 ASSEMBLY good78832 DISCO DFD 651 Wafer Dicing Saw 1 ASSEMBLY good 01.06.200077041 Disco EAD6340 Package Singulation Saw EAD6340 + Hanmi 3000D 178833 DISCO DFD 651 Wafer Dicing Saw 1 ASSEMBLY good 01.06.199778834 Disco DFM-M-150 WAFER MOUNTER-SEMI AUTO 1 ASSEMBLY excellent70133 Disco DFD 640 Dicing Saw 170134 Disco DFD 650 Dicing saw 170135 Disco DFG 850 Backgrinder 180087 DK Insight 3 0 01.06.201182692 DNS SS-3000-A CLEAN 1 300 mm good 01.06.200678597 DNS SPW 813A Wet Process Equipment 1 200 mm good 01.08.199755558 DNS FL-820L Chemical Mechanical Polishing Equipment, Standalone CMP Cleaner 1 200mm good84746 DNS FL-820L Wet bench Diffusion Pre-treatment 1 200 mm excellent

84491 DNS SK-80B COAT/DEVELOP 1 20084493 DNS SC-80R CHEMICAL CABINET 1 200

55565 DNS MP-2000 Wafer Cleaning Equipment, Single Wafer Cleaner 1 200mm excellent 01.08.200384494 DNS SD-80R DEVELOPER 1 20084495 DNS SC-80R COAT 1 20064271 DNS 80A SCRUBBER TRACK 1 200mm for spares use 01.06.199384496 DNS SD-80R DEVELOPER 1 20084497 DNS SC-RW8 COAT 1 20060945 DNS SS-W60A-AV 1 01.06.199484498 DNS SC-80R COAT 1 20060946 DNS SS-W60A-AV 1 24.03.1994

71463 DNS COMPACT CW-1500 WET 1 150 MM new in box 01.06.201268909 DNS 80A 1

68910 DNS 80A 160989 DNS SS-W80A-AR SCRUBBER 184555 DNS FC3100 WET BENCH 1 300 mm good 01.06.200785580 DNS LA820 Laser Anneal 1 200 mm 01.06.200085581 DNS LA820 Laser Anneal 1 200 mm 01.06.199654861 DNS WS-820L Wet - Process 1 200mm excellent 01.01.199685582 DNS LAW820A Laser Anneal 1 200 mm 01.06.199682778 DNS SC-W80A-AVFG Coat/Deveý 1 5 01.06.199682779 DNS SCW-60A-AV Coater 1 6" 01.06.199382780 DNS SCW-622-BV SOG 1 6" 01.06.198982781 DNS SCW-636 Coater 1 5 01.06.199582782 DNS SD-W60A-AVPE Developer 1 6" 01.06.199382783 DNS SS-W80A-AV Scrubber 1 6" 01.06.199786112 DNS SU-3100 Wet processing system 1 300 mm excellent 01.06.200885860 DNS FC-3000 Batch Wafer Processing 1 300 mm85861 DNS FC-3000 Batch Wafer Processing 1 300 mm85862 DNS FC-3000 Batch Wafer Processing 1 300 mm85863 DNS FC-3000 Batch Wafer Processing 1 300 mm85864 DNS FC-3000 Batch Wafer Processing 1 300 mm85865 DNS FC-3000 Batch Wafer Processing 1 300 mm82282 DNS SU-3100 085866 DNS MP-3000 Single Wafer Processing 1 300 mm85867 DNS MP-3000 Single Wafer Processing 1 300 mm

85868 DNS SU-3100 Single Wafer Processing 1 300 mm85869 DNS SU-3100 Single Wafer Processing 1 300 mm79475 DNS SS-W80A-AR SCRUBBER 1 200mm good 01.06.200885626 DNS FC3000 Wet Station 1 300 mm 01.06.200385627 DNS MP3000 Wet Cleaning Equipment 1 300 mm 01.06.200385628 DNS RF300A TRACK 1 200 mm85629 DNS SS3000A 4 Front scrubber 1 300 mm 01.06.200785630 DNS SS3000A 4 Front scrubber 1 300 mm 01.06.200785631 DNS SS3000A 4 Front scrubber 1 300 mm 01.06.200683584 DNS SS-W-80A-AR 2-front / 2-Backside 1 200mm good 01.03.199585632 DNS SS3000A 4 Front scrubber 1 300 mm 01.06.200785633 DNS SS3000A 4 Front scrubber 1 300 mm 01.06.200779234 DNS SU3100 acid wafer processing 1 300 mm excellent 01.06.200885634 DNS SS3000A 4 Front scrubber 1 300 mm 01.06.200785635 DNS SS3000A 4 Front scrubber 1 300 mm 01.06.200785636 DNS SS3000A 4 Front scrubber 1 300 mm 01.06.200685637 DNS SS3000AR Double Side Scrubber 1 300 mm 01.06.200785638 DNS SS3000AR Double Side Scrubber 1 300 mm 01.06.200677447 DNS SK-2000 Cluster Tool Tracks (Resist Coater/Developer) 1 20085639 DNS SS3000AR Double Side Scrubber 1 300 mm 01.06.200685133 DNS SPW 813A Wet Process Equipment 1 200 MM85134 DNS SS-W80A Wafer scrubber 1 200 MM77455 DNS SD-W60A-AVP Cluster Tool Tracks (Resist Developer) 1 15085135 DNS SU3000 Wet Process Equipment 1 300 mm 01.06.200585136 DNS WS820L Wet Process Equipment 1 200 mm85137 DNS WS820L Wet Process Equipment 1 200 MM77459 DNS SD-W60A-AVP Cluster Tool Tracks (Resist Developer) 1 15034469 DNS EEW-622-B PHOTORESIST WAFER EDGE EXPOSURE SYSTEM. 1 200mm34470 DNS EEW 622-8 PHOTORESIST EDGE EXPOSURE SYSTEM 1 200mm

79276 DNS SDW-60-AVP PHOTORESIST DUAL DEVELOPER 1 150 MM 01.06.199379277 DNS SDW60 AVP PHOTORESIST DUAL DEVELOPER 1 150 MM 01.06.199334479 DNS FS-820-L WET HOOD 1 200mm34480 DNS FS-820-L WET HOOD 1 200mm34481 DNS FS-820-L WET HOOD 1 200mm34482 DNS FS-820-L WET HOOD 1 200mm34483 DNS FS-820-L WET HOOD 1 200mm

34484 DNS FS-820-L WET HOOD 7 200mm78776 DNS FC3100 CLEAN 1 300 MM 01.06.200778777 DNS FC3100 CLEAN 1 300 MM 01.06.200678778 DNS FC3100 CLEAN 1 300 MM 01.06.200678780 DNS SU-3100 CLEAN wet bench 1 300 MM good 01.06.200718878 DNS 806 9406 D-SPIN 60A Instruction manual 118879 DNS 803-9605 D-SPIN 80A Parts lis t 118880 DNS 8089702 D-SPIN 80A Engineer's manual 118881 DNS 2229 D-SPIN 80A Electrical c ircuit diagram 118882 DNS 603 9309 D-SPIN 60A Part lis t 182883 DNS FC-821L Wet Etching System 2 200 good 01.06.200618883 DNS 006 9105 D-SPIN 622 Service manual / part lis t 179044 DNS FC3100 Wet 1 300mm18884 DNS 6398 D-SPIN 60 A Electrical c ircuit diagram 118885 DNS 6398 D-SPIN 60 A Part lis t 118886 DNS 6398 D-SPIN 60A Service manual 118887 DNS 806-9311 D-SPIN 60A Instruction manual 19928 DNS SKW-80A-BVP Photoresist Coater Developer 1 200 mm excellent 01.10.199218888 DNS 603-9311 D-SPIN 60A Part lis t 118889 DNS 806-9371 D-SPIN 60 Instruction manual 184425 DNS 200W DNS INTERFACE 1 20071638 DNS SK-80B COATER DEVELOPER 171639 DNS 80B COATER DEVELOPER 171640 DNS 60A COATER DEVELOPER 171641 DNS SK200W COATER AND DEVELOPER TRACK 171642 DNS SK200W COATER AND DEVELOPER TRACK 171643 DNS SK200W COATER AND DEVELOPER TRACK 1

70111 DNS SK 2000 Resist coater /developer 1 200 mm excellent 01.10.200484715 DNS SC-200W-AV Resist coater 1

84716 DNS SK2000 I-LINE COATER/DEVELOPER 1 200 mm84717 DNS SR-8040A D-Spin 182928 DNS FS-820-L Acid Wet Etching Bench 1 200 mm excellent 01.05.199984464 DNS 80B COAT/DEVELOP 1 15084976 DNS SK-200 Photoresist coater and developer 1 200 MM82932 DNS WS-820C WET HOOD 1 200mm excellent 01.12.199683876 DOMNICK HUNTER QR 010 REV.4.0 FILTER 2 new in box 01.10.200736506 Dongwha BAKE OVEN 1 01.01.200462214 DPN CHAMBER 1

Page 5: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

62213 DPS POLY CHAMBER 183568 Driver board 005843 Stepper motor driver board, unknown 1 excellent51904 DSQ 879-0427-001 ROBOT ARM 167443 Dynatex DXB-525-01 WAFER BONDER, BONDS RUBBER DISC TO WAFERS UP TO 6" PRIOR TO DICING, SCRIBING 1 refurbished77218 Dynatex DXB 52501 Dynatex DXB 52501 177230 Dynatex DXB 52501 Dynatex DXB 52501 19933 DYNATEX DX111 Wafer Scriber 1 4 INCH for spares use84211 Dynax TT5-2CD-003-WT Teach pendant, controller 1 spares good84951 E-SUN Systems SEMI AUTO POD CLEANER SMIF POD CLEANER 1 200 mm 01.06.200884739 EagleTest System no model Power cabinet only, no test head or other components. 177313 Ebara EPO-223 - Tungsten Metal Layer CMP System (Tungsten) 1 20033800 EBARA 40x20 Dry Vacuum Pump, 42 CFM, 5 Pump Stages, Ultimate Pressure of 30 m Torr, 2ea Available 1

33801 EBARA 50X20 Dry Vacuum Pump, 127 CFM, 6 Pump Stages, 10ea Avaiable 133802 EBARA 80X25 Dry Vacuum Pump, 300 CFM, 6 Pump Stages 1

86028 EBARA UFP-300A AUTO PLATER 1 300 mm excellent82200 Ebara 40x20 Dry pump 2

82201 Ebara 50x20 Dry pump 382202 Ebara 80x25 Dry pump 1282203 Ebara A10S Dry pump 482204 Ebara A25S Dry pump 6 refurbished82205 Ebara A30W Dry pump 1082206 Ebara A70W Dry pump 1582207 Ebara A150W Dry pump 10

79394 Ebara A30W Vacuum Pump 1 Pump excellent84514 Ebara AA10 Vacuum pump 2 pump good79395 Ebara A30W Vacuum Pump 1 Pump excellent84515 Ebara AA20 Vacuum pump 2 pump good82239 Ebara FREX300 CMP (STI) Polisher 1 300mm excellent 01.06.200484820 Ebara 40x20 Mechanical Vacuum Pump 2 Pump84821 Ebara 50x20 Mechanical Vacuum Pump 3 Pump84822 Ebara 80x25 Mechanical Vacuum Pump 12 Pump84823 Ebara A10S Mechanical Vacuum Pump 35 Pump84824 Ebara A25S Mechanical Vacuum Pump 4 Pump84825 Ebara A30W Mechanical Vacuum Pump 35 Pump84826 Ebara A70W Mechanical Vacuum Pump 15 Pump77147 Ebara Ebanet 1250 AD Communications module for dry pumps 1 facilities excellent84827 Ebara A150W Mechanical Vacuum Pump 12 Pump61042 EBARA AA20N DryPUMP 161043 EBARA AA20N DryPUMP 161054 EBARA A70W DryPUMP 161058 EBARA AA40W DryPUMP 161059 EBARA AA20N DryPUMP 154923 EBARA EPO-223 Chemical Mechanical Polishing Equipment, Metal Layer CMP System (Tungsten) 1 200mm 01.08.199754925 EBARA EPO-223 Chemical Mechanical Polishing Equipment, Metal Layer CMP System (Tungsten) 1 200mm 01.10.199785138 Ebara Electroplate UFP-200/300A Wet Process Equipment 1 300 mm85139 Ebara Electroplate UFP-300A Wet Process Equipment 1 300 mm85657 Ebara FREX300 Dielectric CMP 1 300 mm85658 Ebara FREX300 Tungsten CMP 1 300 mm85659 Ebara FREX300 Tungsten CMP 1 300 mm76189 Ebara FREX 300E CMP System, used for Tungsten 1 300 mm 01.06.200570304 EBARA 30024-02 RING, ONE PIECE EBARA FREX 200 1 SPARES new unused70305 EBARA C-1120-008-0001 BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200 1 SPARES new in box

70306 Ebara FREX 200 (Spares) PRESSURE SENSITIVE VALVE FOR EBARA FREX 200 2 SPARES new unused71082 Ebara AA70W Vacuum Pump 256004 Ebara A70W DRY VACUUM PUMP 185213 EBARA EPO2228 CMP 1 200 mm 01.06.199885214 EBARA EPO222A Tungsten CMP 1 200 mm 01.06.200085215 EBARA EPO222A Tungsten CMP 1 200 mm 01.06.199985216 EBARA EPO222A Tungsten CMP 1 200 mm 01.06.200085217 EBARA EPO222A Tungsten CMP 1 200 mm 01.06.199985218 EBARA EPO222A Tungsten CMP 1 200 mm 01.06.199985219 EBARA EPO222A Tungsten CMP 1 200 mm 01.06.200085220 EBARA EPO223 Tungsten CMP 1 200 mm 01.06.199785221 EBARA EPO223 Tungsten CMP 1 200 mm 01.06.199785222 EBARA EPO223 Tungsten CMP 1 200 mm 01.06.199785223 EBARA FREX300S Tungsten CMP 1 300 mm 01.06.200685224 EBARA FREX300S Tungsten CMP 1 300 mm 01.06.200382922 EBARA A10S DRY PUMP 1 PUMP excellent82923 EBARA A10S DRY PUMP 1 PUMP excellent82924 EBARA A10S DRY PUMP 1 PUMP excellent61016 EBWARDS IH600 161017 EBWARDS IH600 161018 EBWARDS IH600 161019 EBWARDS IH600 161020 EBWARDS IH80 161021 EBWARDS IH600 DryPUMP 161022 EBWARDS IH600 DryPUMP 161023 EBWARDS IH600 DryPUMP 161024 EBWARDS IH600 DryPUMP 184446 ECI QL-10 STAND METROLOGY 1 20079310 ECI Technology QLC 7000 Series Chemical/Gas Treatment System 179604 EDA AETS Engineering Development Station 1 Reliability excellent 01.06.200679573 EDA /ELES DA24 Std HD/H -40 / Art 200 12 + 1 Dual Burn-In Oven 1 Reliability excellent 01.06.200679575 EDA /ELES DA 24 Std HD/H -40 / Art 200 12 + Dual burn-n chamber 1 Reliability good 01.06.200680001 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven 1 Reliability excellent80029 EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven 1 Reliability excellent80030 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven 1 Reliability excellent80031 EDA Industries PCBA 05431 DRIVER module for BIB oven 6 Reliability excellent

80032 EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD 1 Reliability new in box80040 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven 1 Reliability excellent80041 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven 1 Reliability excellent80042 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers 1 Reliability excellent80045 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers 1 Reliability excellent80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU 1 Reliability excellent80080 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven 1 Reliability excellent80081 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers 1 Reliability excellent80082 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU 1 Reliability excellent80084 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers 1 Reliability excellent82180 Edwards Flange Edwards pump flange 10 7/8" i.d. 11 3/8" O.D. 1 excellent71173 Edwards DP40 Vacuum Pump 182192 Edwards IH80 Vacuum Pump 582193 Edwards IL70 Vacuum Pump 382194 Edwards IL600 Vacuum Pump 282195 Edwards iH1000 Vacuum Pump 782196 Edwards iH1800 Vacuum Pump 5 refurbished82197 Edwards iF1800 Vacuum Pump 882198 Edwards iGX 100M Vacuum Pump 1 refurbished82199 Edwards IH600 Vacuum Pump 558427 Edwards IH600 New Look & New LK model Vacuum Pumps 584798 Edwards QDP40 Mechanical Vacuum Pump 15 Pump55870 EDWARDS IM Interface Module, 3ea Available 184799 Edwards IQDP40 Mechanical Vacuum Pump 20 Pump55871 EDWARDS Flash Module, 3ea Available 184800 Edwards QDP80 Mechanical Vacuum Pump 20 Pump84801 Edwards IQDP80 Mechanical Vacuum Pump 30 Pump

84802 Edwards QDP40/250 Mechanical Vacuum Pump 5 Pump84803 Edwards IQDP80/250 Mechanical Vacuum Pump 6 Pump84804 Edwards QDP80/500 Mechanical Vacuum Pump 25 Pump84805 Edwards iQDP80/500 Mechanical Vacuum Pump 12 Pump84806 Edwards QDP80/1200 Mechanical Vacuum Pump 2 Pump84807 Edwards IQDP80/1200 Mechanical Vacuum Pump 1 Pump84808 Edwards QMB1200 Mechanical Vacuum Pump 2 Pump

84809 Edwards QMB500 Mechanical Vacuum Pump 4 Pump84810 Edwards QMB250 Mechanical Vacuum Pump 5 Pump84811 Edwards IH80 Mechanical Vacuum Pump 8 Pump84812 Edwards IL70 Mechanical Vacuum Pump 3 Pump84813 Edwards IL600 Mechanical Vacuum Pump 2 Pump84814 Edwards iH1000 Mechanical Vacuum Pump 7 Pump84815 Edwards iH1800 Mechanical Vacuum Pump 5 Pump84816 Edwards iF1400 Mechanical Vacuum Pump 8 Pump84817 Edwards iF1800 Mechanical Vacuum Pump 8 Pump84818 Edwards GV400 Mechanical Vacuum Pump 10 Pump excellent84819 Edwards IH600 Mechanical Vacuum Pump 7 Pump76885 Edwards Pumps Refurbished pumps 161025 EDWARDS IQDP 80+QMB 500 DryPUMP 161026 EDWARDS IQDP 80+QMB 500 DryPUMP 161027 EDWARDS IQDP 80+QMB 500 DryPUMP 180228 Edwards TCU 40/80 CHILLER 1 FACILITIES excellent61028 EDWARDS IQDP 80 DryPUMP 161029 EDWARDS IQDP 80 DryPUMP 161030 EDWARDS IQDP 80 DryPUMP 161031 EDWARDS IL 70 DryPUMP 161032 EDWARDS QDP 40 DryPUMP 161033 EDWARDS QDP 40 DryPUMP 161034 EDWARDS QDP 40 DryPUMP 161035 EDWARDS QDP 40 DryPUMP 161036 EDWARDS QDP 40 DryPUMP 161044 EDWARDS QDP 40 + QMB 250 161045 EDWARDS QDP 40 + QMB 250 161046 EDWARDS QDP 40 + QMB 250 161047 EDWARDS QDP 40 + QMB 250 1

61048 EDWARDS QDP 40 + QMB 250 161049 EDWARDS QDP 40 + QMB 250 161050 EDWARDS IQDP 80 DryPUMP 161051 EDWARDS QDP 40 + QMB 250 176924 Edwards EH2400 Blower 1 good61052 EDWARDS QDP 40 DryPUMP 161053 EDWARDS IQDP 80 DryPUMP 183582 EDWARDS ETM63X PLASMA HEATING CONTROL UNIT 1 excellent61060 EDWARDS IL70 DryPUMP 161062 EDWARDS QDP80 + QMB500 DryPUMP 161064 EDWARDS IL70 DryPUMP 177209 Edwards Flange Edwards pump flange 5 1/8" OD 4" ID 1 excellent77214 Edwards Bellows Edwards Bellows 181822 Edwards E2M40 FSPX Rotary Vacuum Pump with oil filter 1 Pump good 01.06.198977232 Edwards QMB250 Edwards QMB250 177233 Edwards iHL70 Edwards iHL70 118865 EDWARDS A529-80-905 QMKII dry pumping system 272127 Edwards Speedivalve SPEEDI VALVE 1 Spares excellent72128 Edwards 040020030 Cable, motor drive, 5M seiko 1 Spares new unused74437 Edwards E73+A1+T1 ITIM Pump Control for iH pumps 574438 Edwards GV 600 Mechanical Vacuum Pump 1 PUMP54214 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good 01.06.200074439 Edwards GV 600 Mechanical Vacuum Pump 1 PUMP74440 Edwards GV 600 Vacuum Pump 1 Pump54216 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good 01.06.200074441 Edwards IH600 MK5 Dry pump refurbished 254217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good 01.04.200774442 Edwards iH600NL refurbished Vacuum Pump 154218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good 01.04.200774443 Edwards iH80 refurbished Vacuum Pump 154219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good 01.04.200774444 Edwards IL 70 Dry pump 154220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 pump good 01.06.200074445 Edwards iQDP 80 Dry vacuum pump 1 PUMP 01.06.200054221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 pump good 01.06.200074446 Edwards iQDP 80 Dry vacuum pump 1 PUMP 01.06.2000

54222 Edwards QDP80 Dry Vacuum Pump 1 pump good 01.06.200066767 Edwards 4080 Plus Chiller 4 Facilities refurbished74447 Edwards iQDP 80 + QMB 500F Dry vacuum pump COMBO 1 PUMP 01.06.200074448 Edwards iQDP 80 + QMB 500F Dry vacuum pump combo 1 PUMP 01.06.200074449 Edwards iQDP 80 + QMB 500F Dry vacuum pump combo 1 PUMP 01.06.200074450 Edwards iQDP 80 + QMB250F Dry vacuum pump combo 1 PUMP 01.06.20009940 EDWARDS DP40/EH250 Vacuum Dry Pump/Blower Package 1 excellent69850 Edwards TCU 4080 CHILLER 1 FACILITIES refurbished11233 EDWARDS GVI 100P Gate valve 1 SPARES excellent18921 EDWARDS 03-A344-02-881 Rotary vacuum pump E1M40, E1M80,E2M40,E2M80 118922 EDWARDS 03-A301-51-883 Eh series mechanical booster pump and combination units 118923 EDWARDS 03-A344-02-882 Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps 154524 Edwards iQDP80 Dry Vacuum Pump 1 pump good83966 Edwards IPX500A Pump 1 pump good 01.06.200283967 Edwards E1M275 with EH 500 booster mechanical vacuum Pump 1 pump good 01.06.200179521 Efector 500 Pressure sensor switch, programmable 1 new 01.01.200167409 EG 660 Manual probe station 167148 EJ Systems Wakefeild Watchman 75 With digital readout and chart recorder. ID: 2'x2'x4'h, 200 & 250 deg C (Email us for photo) 167149 EJ Systems Watchman 75 Deg C, N2, chart rec, 75 positions for printed circuit boards Back plane(upgraded for operation at 2 115658 ELCO MMC50-1 POWER SUPPLY 1 new in box83636 ELCO CO. LTD K50A-15 POWER SUPPLY 3 15V3.4A good83639 ELCO CO. LTD K SERIES POWER SUPPLY 0 K100 A-12 new in box80191 Electro Scientific Indu ICP 5530 ESI Laser 1 good 01.06.200677057 Electroglas EG2001X Prober 174544 Electroglas Wafer Inker 8 inch Semi Auto Die Inker 178132 Electroglas Horizon 4085X Prober 1 8" good 01.02.199871737 Electroglas 2080X Prober 1 good38467 Electroglas EG5/300 Wafer Prober 1 300mm67410 Electroglas 1034X ELECTROGLAS 2001X PROBER MANUAL LOAD WITH 6" CHUCK FOR UP TO 6" WAFERS. (IEEE OPI185074 Electroglas 2001X Prober 1 200 mm67411 Electroglas 2001X MANUAL LOAD PROBER 185075 Electroglas 2001X Prober 1 200 mm85076 Electroglas 2001X Prober 1 200 mm85077 Electroglas 2001X Prober 1 200 mm

62549 Electroglas 1034X Wafer Prober 1 excellent79446 Electroglas 2080X Prober 1 good

85078 Electroglas 2001X Prober 1 200 mm79447 Electroglas 2080X Prober 1 good85079 Electroglas 2001X Prober 1 200 mm79448 Electroglas 2080X Prober 1 good85080 Electroglas 2001X Prober 1 200 mm79449 Electroglas 2080X Prober 1 good85081 Electroglas 2001X Prober 1 200 mm85082 Electroglas 2001X Prober 1 200 mm85083 Electroglas 2001X Prober 1 200 mm85084 Electroglas 2001X Prober 1 200 mm85085 Electroglas 2001X Prober 1 200 mm85086 Electroglas 2001X Prober 1 200 mm85087 Electroglas 2001X Prober 1 200 mm85088 Electroglas 2001X Prober 1 200 mm85089 Electroglas 2001X Prober 1 200 mm85090 Electroglas 2001X Prober 1 200 mm85091 Electroglas 2001X Prober 1 200 mm85092 Electroglas 2001X Prober 1 200 mm85093 Electroglas EG4090 Automatic Wafer Probers 1 200 mm85094 Electroglas EG4090u Automatic Wafer Probers 1 200 mm85095 Electroglas EG4090u Automatic Wafer Probers 1 200 mm85096 Electroglas SVTR Prober 1 200 mm79470 Electroglas 2080X Prober 1 good79471 Electroglas 2080X Prober 1 good76912 ELECTROGLAS EG 2001 Wafer Probers 13 good79472 Electroglas 2080X Prober 1 good63652 Electroglas 4085 Auto Wafer Probe 1 200mm

63653 Electroglas 4085 Auto Wafer Probe 1 200mm62633 Electroglas 2001 Wafer Probers 168039 Electroglas 4080 Wafer Prober 179071 ELECTROGLAS EG5/300E Prober 1 300mm84724 ELECTROGLAS 2001X Prober 1 150 mm84725 ELECTROGLAS 2001X Prober 1 N/A84726 ELECTROGLAS EG2001 AUTO PROBER 1 N/A

60844 Elgar AT8000 Power Supply 179885 Elind 3232 power supply 0-3,2A current adj - 0 - 32 V voltage adj 1 Electronics Test and good

79887 elind 328 power supply 0-0,8A current adj - 0 - 32 V voltage adj 1 Lab Equipment excellent 01.06.199579596 Elind KL 1200W Laboratory Power supply 8 Electronics Test and excellent 01.06.2000

81967 Elma HS350 Wafer c leanng system 184747 EMC Cyberclean Cyber Clean Tool 1 N/A79625 EME Corporation V-mini 300 VACUUM 1 Assembly 01.07.200979632 EME Corporation VMX-N550 VACUUM 1 Assembly 01.07.200979693 EME Corporation V-mini 300 Vacuum Mixer 1 Assembly 01.06.200579694 EME Corporation V-mini 300 Vacuum Mixer 1 Assembly 01.06.200579695 EME Corporation V-mini 300 Vacuum Mixer 1 Assembly 01.06.200579696 EME Corporation V-mini 300 Vacuum Mixer 1 Assembly 01.06.200579697 EME Corporation V-mini 300 Vacuum Mixer 1 Assembly 01.06.200584852 EMI Vocus MSD Chemical s lurry delivery cart 10 Facilities 01.06.201479320 EMTEC Company WCS1217 Die Sorter 179321 EMTEC Company WCS1217 Die Sorter 179161 ENI OEM 12B3-02 RF Generator 076356 ENI 3013 SPECTRUM 13.56MHz 1,5kW RF Generator 176901 ENI Spectrum 3013 RF Generator 1 refurbished56682 ENI 12B RF Generator 1071788 ENI ACG 10 RF Generator 180239 ENI ACG 10B-02 RF Generator 1 refurbished83625 ENI HF-3000-50 HARMONIC FILTER ASSY 1 good 01.03.199476987 ENI DCG-100 DC Power Generator 179819 ENI OEM-28B-04 RF generator 3 spares refurbished79820 ENI OEM-25N-XL RF generator 4 refurbished84181 ENI OEM-2000-01M1 RF GENERATOR 1 SPARES refurbished27867 ENI MW5 RF Matchwork 1 SPARES refurbished74214 ENI ACG 10 RF Generator 1

35563 ENI MW5-21021 Rackmount RF Matchwork Control Unit 180368 ENI OEM-6J RF GENERATOR 1 excellent74226 ENI MWD 25LD-02 RF Generator 677091 Enidine MB21539 Shock Absorber 184764 Entegris FOUP 300mm FOUP Entegris Green SPFGGN-ANSRK-NNN 1 300 mm as new84770 Entegris Scanner Pre-filter System Filter for scanner optical components 1 Facilities excellent 01.05.200983513 Entegris RSPX-EUV-036 EUV Retic le stocker 1 Facilities excellent 01.08.201080290 Entegris Auronex PGPS4HT Dual Bed H2 Purifier 2 good80291 Entegris Auronex PGPS8HT Dual Bed H2 Purifier 1 good

85983 Entegris FOUP 300mm FOUP 185984 Entegris FOUP 300mm FOUP 1

79182 EO Tech CSM 3000 Wafer laser mark machine 1 300 mm excellent 01.06.201381868 EO TECH BM-4364 Laser Marking 1 good74296 EO Technic SY 9700 Laser Marker 174297 EO technics SFL 263 laser marking system 1 assembly 01.06.200962591 EPRO 142AX EPROM Tester 184090 ERACOND X3 MINIATURE AIR CYLINDER 1 as new84080 ERACOND Z3 M20 PD 50 MINIATUR AIR CYLINDER 1 excellent80033 ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). 256 Reliability new in box83524 ERS AC3 200 MM THERMAL CHUCK SYSTEM FOR A MANUAL PROBER 1 200 MM new82286 ESA ET5200LX2000 Touch Screen Computer 1 spares good 01.10.200782160 ESE US-1100V Screen printers 1 excellent 01.06.200976288 ESEC 2000CT Pick & Place 3 sets available 376292 Esec 2007HS+ Die Bonder 276293 Esec 2008 12 inch 176296 ESEC 2008XP Die Bonder 468903 ESEC 3006 F/X Wirebonder , fullyautom. 1 01.06.199968904 ESEC 3088 IP Wirebonder 1 refurbished 01.06.200069932 Esec 2008XP Die Attach 766104 Esec 2007 CPU Board 073036 ESEC 2004APLF Die Bonder soft solder 169738 ESEC 3088iP Bonder 133647 ESEC Cat 2000 Die Attacher, 3ea Available 167444 Esec 8003 The Esec 8003 Dicing Saw (wafersaw) is a precis ion machine used to cut semiconductor wafers into1

77941 ESEC 3088iP WIRE BONDER 28 ASSEMBLY 01.06.200277942 ESEC TSUNAMI 3100 WIRE BONDER 5 ASSEMBLY 01.06.200477943 ESEC TSUNAMI 3100 Plus WIRE BONDER 2 ASSEMBLY 01.06.200684347 ESEC 2005APLF Refurbished to OEM Specs for TO-220 1 6" refurbished27778 ESEC 2007IC8 Die Attach 1 01.05.199866701 ESEC 2008 XP3 Die Bonder 1 Assembly good52389 ESEC 2008xP Die Bonder 152390 ESEC 2008 HL Die Bonder 172917 ESEC 2008 Die Bonder 1 good84184 ESEC 3088 WIRE BONDER 1 Assembly excellent77061 ESI 44 Laser trimmer 1 01.05.1988

83739 ESI 44 LASER TRIMMER SPARE PARTS 1 excellent71219 ESI M9275 LASER MEMORY FUSER 1 good

71221 ESI M9275 LASER MEMORY FUSER 1 good86080 ESI 9350 Laser Repair 1 good 01.06.200483796 ESI 29286 ESI pcb Servo Preamp 1 excellent83797 ESI 29282 ESI pcb Transducer Preamp 1 excellent83798 ESI 43175 4 phase encoder logic assy 1 excellent83799 ESI 929284 MAX Velocity control board 1 excellent83801 ESI 40898 ESI pcb Interrupt control 1 excellent84569 ESI 5330 Laser Drill 183802 ESI 42328 ESI pcb Precharged Servo Preamp 1 excellent83803 ESI 29278 ESI pcb Power Amplifier driver 1 excellent83804 ESI 29800 Driver power supply 1 excellent83813 ESI 41751 Transducer Buffer PCB for ESI 44 2 excellent83814 ESI 42251 Approach Control PCB for ESI 44 1 excellent83815 ESI 29292 ESI pcb Position Encoder Logic 1 excellent83816 ESI 42119 ESI pcb 4 Phase Control Module 1 excellent83817 ESI 42253 ESI pcb Power Amplifier driver 1 excellent

83818 ESI 42252 ESI pcb Acceleration Control Assy 1 excellent83820 ESI 24971 Manual Functions PC Board 1 excellent83822 ESI 41207 IEEE 488 Interface 1 excellent75395 ESI 9275 Laser Fuser 1 4 to 8 inch 01.12.200077955 ESI 9300 LASER MEMORY FUSER 1 200 MM 01.10.199675396 ESI 9350 LASER FUSER 2 excellent 01.11.199677956 ESI 9300 LASER MEMORY FUSER 1 200 MM 01.10.1996

75397 ESI 9350 LASER FUSER 1 200 MM77957 ESI 9300 LASER MEMORY FUSER 1 200 MM 01.01.199775398 ESI 9350 LASER FUSER 1 200 MM77958 ESI 9350 LASER MEMORY FUSER 2 200 MM excellent 01.05.199783592 ESI 9830 Laser Fuser 1 300 mm good 01.02.200584361 ESI 9820 Laser Repair System 1 300 mm good 01.11.200284362 ESI 9820 Laser Repair System 1 300 mm good 01.02.200375403 ESI 9835 LASER FUSER 2 300 mm excellent 01.05.200584363 ESI 9820 Laser Repair System 1 300 mm good 01.11.200383857 ESI 48503 Linear motor/Laser Control PC Board 2 excellent83858 ESI 24961 Extender Board PC Board w/ cables 2 excellent

83859 ESI 42356 S&R Interface PC Board 1 excellent83860 ESI 24955 Scanner Module PC Board 3 excellent

71578 ESI 2050 LP laser trimmer 136507 ESI M9275 LASER REPAIR SYSTEM 1 01.12.199862619 ESI 9350 Laser Repair Station 1 200 mm good

62620 ESI 9800 Laser Fuser 179302 ESI 9300 laser repair 1 200 mm good 01.06.2005

79826 ESI 9825 Laser fuser 1 good 01.06.200580342 ESI M9830 LASER REPAIR 8 300 mm excellent 01.06.200583936 ESI 41506 Bridge Caliobrator PCB for ESI 44 1 excellent83942 ESI 9820 Laser Repair System 1 300 mm good 01.02.200384210 ESI Power Assy Power supply assy, ESI 44/4400 1 excellent78330 ESI 9830 LASER REPAIR 179638 ESPEC PH-201M OVEN 1 Assembly 01.06.200979639 ESPEC PH-201M OVEN 1 Assembly 01.06.200979640 ESPEC PH-201M OVEN 1 Assembly 01.09.200879641 ESPEC PH-201M OVEN 1 Assembly 01.12.200979642 ESPEC PHH201 OVEN 1 Assembly 01.06.2000

79643 ESPEC PH-201M OVEN 1 Assembly 01.12.200979644 ESPEC PH-201M OVEN 1 Assembly 01.12.200979645 ESPEC PH-201M OVEN 1 Assembly 01.09.201061220 Espec TSA-201D-W Thermal Shock Chamber with humidity 179702 ESPEC PH-201M OVEN 1 Assembly 01.01.200479703 ESPEC PH-201M OVEN 1 Assembly 01.05.200479704 ESPEC PHH-201 OVEN 1 Assembly 01.07.200479705 ESPEC PH-201 OVEN 1 Assembly 01.07.200479706 ESPEC PH-201 OVEN 1 Assembly 01.07.200479707 ESPEC PH-202 OVEN 1 Assembly 01.06.200479708 ESPEC PH-202 OVEN 1 Assembly 01.07.200479709 ESPEC PH-202 OVEN 1 Assembly 01.06.200479710 ESPEC PH-201M OVEN 1 Assembly 01.06.200469327 Espec TSA-71L-A Thermal Shock Chamber 1 excellent 01.01.200884706 ESPEC VAC-300 Oven 184707 ESPEC VOS40 Oven 184708 ESPEC VOS40 Oven 179611 ESPEC PH-201M OVEN 1 Assembly 01.09.200879356 Espec PVHC-331MS Clean Room Oven 179357 Espec PVHC-431MS Clean Room Oven 1

71740 ETEC /Applied Materia MEBES 4500S electron beam lithography mask writer 1 retic le excellent86086 ETS 364 Automated Test System 2 test good84570 Eurostat Three Door Drying Cabinet 1 01.06.2007

84571 Eurostat Three Door Drying Cabinet 179520 Eurotherm 2408f Temperature controller 3 as new 01.01.2001

86029 EVATEC / OERLIKON STARLINE 300 PVD , Backside Metal Deposition 1 300 mm excellent77059 EVG 620 Mask Aligner 171967 EVG 101 SPRAY COATER 1 200 mm excellent 01.08.200384572 EVG 40 Alignment mesurement system 1 01.06.200884828 EVG 620 Bond/Mask Aligner 1 200 mm excellent 01.01.200884573 EVG 620 Bond Aligner 1 01.06.200884574 EVG IQA Replication IQ-ALIGNER 1 01.06.200884575 EVG IQA Replication IQ-ALIGNER 1 01.06.201079258 EVG 850 TB wafer bonder 1 150 mm 01.06.2005

79259 EVG 850 DB wafer de-bonder 1 150 mm 01.06.200779260 EVG 850 TB wafer de-bonder 1 150 mm 01.06.2007

67131 Ewald Instruments 778A EWALD INSTRUMENTS 778A BONDER 1 excellent84519 Exatron 902-2 Laser Marker Engraver Etcher Pick and Place Test Handler 19LV-R10 1 TEST excellent 01.02.200683515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer 1 Facilities excellent 01.06.200472905 Fabsurplus 4 tube horizontal furnace 1 200 mm and 150 mm new unused72906 Fabsurplus PECVD system 1 200 mm / 156 mm squa refurbished72921 Fabsurplus Laminator Automatic Solar Module Laminator 4 solar new 01.06.201572922 Fabsurplus Air Cooler Automatic Solar Module Laminator 1 solar refurbished 01.06.200972923 Fabsurplus Conveyers Automatic Solar Module conveyers 1 solar refurbished72924 Fabsurplus Top Roller/Brush Mechanism and lifTop Roller/Brush Mechanism Plus Lifting Pins for solar 1 solar refurbished36508 FAITH RAP/TRAN-II MASS-TRANSFER 136509 FAITH RAP/TRAN-II MASS-TRANSFER 136510 FAITH RAP/TRAN-II MASS-TRANSFER 184701 FAITH Rapitran II Wafer transfer System 155875 FAITH TECHNOLOGYRapiTran Wafer Transfer Station 19954 FAITH TECHNOLOGYRapiTran 2 Wafer Transfer Station 1 150 mm excellent36511 FBA solution FS-2000 E-BEAM INSPECTION 186036 FEI 1265 DUAL BEAM FIB 1 300 mm86037 FEI EXPIDA 1255S MANUAL LOAD, NO STEM, NGSEM COL 1 300 mm71708 FEI 820 Dual beam Fib 1 for spares use 01.06.199682223 FEI XL 30 e-sem (Strictly for spares use) 1 Laboratory for spares use85058 FEI Micrion Vectra 986 FIB Microscope 1 Laboratory and Scientific85059 FEI Tecnial TF-20 TEM Microscope 1 Laboratory and Scientific83786 FEI STRATA 400S Dual Beam FIB 1 Laboratory excellent 01.06.2005

79224 FEI Tecnai G2 F30 S/TEM 1 good 01.06.200679226 FEI DB 235 Dual Beam FIB SEM 1 01.06.2000

79227 FEI Helios 400 with Omniprobe Dual FIB SEM 1 good 01.06.200779228 FEI Strata 400S Dual Beam FIB SEM 1 good 01.06.2006

86168 FEI STRATA 400S Dual beam FIB 1 01.06.200514505 FEI XL835 Dual beam FIB workstation 1 excellent 01.06.199878513 FEI Strata 400 FIB Sem 1 300 mm excellent 01.06.200585947 FEI Strata 400 Focused Ion Beam System 185948 FEI Strata 400S Focused Ion Beam System 1 300 mm85949 FEI V600FIB Focused Ion Beam System 174953 FEI CLM FIB SEM 1 200 MM

79561 FEI XL30 SEM 2 refurbished74954 FEI FEI SNP XP Rapid CD Photomask Metrology System 1 S/N: 31001

79562 FEI XL30 SEM 2 good82884 FEI Company DB820 Focused Ion Beam 1 good

86235 FEI Company 820 Dual Bem Fib SEM 1 200 mm excellent80169 Festo 150857 SME-8-S-LED-24 Proximity switch 1 as new 01.12.201254584 FICO MOLD Spare Parts 137753 Fico AMS 24M Molding System 177946 Fico AMS 36M1 Molding System 1 ASSEMBLY 01.02.199870028 FICO AMS 24 MOLD PRESS 1 ASSEMBLY excellent 01.06.199266703 Fico AMS-I 360 Molding System 60 Ton 434715 Fico AMS-M Automolding System 4 01.01.200134716 Fico TFM UF Trim and Form System 177304 Fico AMS 24 Molding Equipment 1 N/A85950 First Ten Angstroms FTA2000 Life Sciences, Partic le Analysis 160304 FK Delvotec 6320 Bonder System 168907 Fluke 8505A Digital Multimeter 184954 Fluoroware HTC-8020-FE Box Pod cleaner 1 200 mm 01.06.200160982 FOI Rydeen 7000 STELLA NONA4133 1 good79722 Fortrend Lumina 202 PHOTO 1 5"67403 Four Dimensions 4 point probe DIMENSIONS 4 POINT PROBE FOR UP TO 8" WAFERS PROBES MULTIPLE POINTS AUTOMATICAL 155974 FRONTIER FSM900TC-VAC FILM STRESS AND WAFER BOW MEASUREMENT 1 200 mm excellent 01.05.200084748 FSI Excalibur Pre clean 179373 FSI Magellan Wet Hood 183757 FSI ZETA 300 Wet Cleaning 1 300 mm excellent 01.05.201083512 FSI Polaris 3500 DUV Photoresist coater and developer track 1 300 mm excellent 01.06.199983771 FSI Zeta 300 WET CLEANING SYSTEM 1 300 mm good 01.01.2013

83516 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track 1 spares good 01.06.200078160 FSI Mercury OC Acid processing system 1 200 mm excellent 01.05.1991

85140 FSI Mercury Wet Process Equipment 1 200 mm 01.07.199785141 FSI ORION Wet Process Equipment 1 300 MM85142 FSI Zeta Wet Process Equipment 1 200 mm 01.02.200318849 FSI 906498-100 FSI CHEM FILL Dec scotland system manual and print 118850 FSI 905403-001 Print and Product Structures (Poly) Mercury MP 118851 FSI 907575-100 Mercury MP spray processing system operation and maintenance manual 1 manual good 01.05.199418852 FSI 501666-000 Operations and maintenance Excalibur ISR 218853 FSI 500539-001 Saturn MP comprehensive technical package 2 excellent18854 FSI 906496-100 Bit bus node installation system requirement and guidelines 1

18855 FSI 906487 Chemblend 350 operation and maintenance manual 218856 FSI 905521 Model 1000 CDM operations and maintenance manual 1

18857 FSI 501442-058 Booster pump operations and maintenance 118858 FSI 504099-001 Motorola mos 16 PLC expansion project 218859 FSI 912631 Excalibur ISR and EOS systems operations and maintenance manual 218860 FSI 903943-200 Heated recirculations operations and maintenance manual 127820 FSI Excalibur ISR & EOS Manual 118861 FSI 906488-100 Model 1000 CDM operations and maintenance manual 127821 FSI Proteus 11 Manual 118862 FSI 916197-100 Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual 127822 FSI Chemfill systems Manual 1 manual excellent18863 FSI 903893-200 Helios 52 di water meter operation and maintenance manual 227823 FSI Excalibur Manual 127824 FSI SATURN & TITAN Manuals 127825 FSI Mercury Manual 127826 FSI Mercury MP Manual 127827 FSI Excalibur ISR Manual 227828 FSI 912629 Manual 127829 FSI 904602-200 Manual 127830 FSI 906496-100 Manual 127835 FSI Excalibur Manual 905364-200 127836 FSI Excalibur ISR Manual Prints & Product Structures 127837 FSI Booster Pump Manual 134493 FSI EXCALIBUR ISR ANHYDROUS HF VAPOR CLEANERS 5 200 mm excellent 01.01.199627838 FSI Saturn MP Manual 500539-001 1

27839 FSI Saturn MP Manual 500539-004 327840 FSI Booster Pump Manual 1 excellent27841 FSI Proteus 1 Manual 127842 FSI Saturn OC Manuals 282886 FSI Mercury MP Wet Etching System 1 200 mm good 01.12.200027871 FSI ISR Maual In Situ Rinse Prints & Parts Structures Manual 170112 FSI Zeta 200 semi automatic batch spray system 1 200 mm excellent 01.05.200127872 FSI Excalibur Vapour Phase Processing system Supplementary training manual 127873 FSI PLC Expansion PLC expansion Project Manual 127874 FSI 907051-100 Excalibur ISR Operation and Maintenance Manual 127875 FSI HELIOS 52 Prints & Products structures Manual 127876 FSI Excalibur 200mm Supplier Manual 227877 FSI 500539-004 Parts & Structures Manual 127878 FSI Saturn Manual General 184199 FSI Titan wet processing 1 for spares use27879 FSI Mercury MP Spray Processing system Operation & Maintenance Manual 1 spares good27880 FSI Chemfill systems Model 1000 CDM/ PPS Manual Rev D 2 manual excellent27881 FSI Chemfill systems - Manual Model 1000-4 PLC CDM Supplementary Training Package 1 Manual excellent83965 FSI Aries Cryo-Kinetic wafer c leaning system 1 200 mm for spares use 01.06.199875296 FSI * Mercury MP * Acid Spray Process 1 200 mm refurbished82885 FSI International Antares CX200 Single Wafer CryoKinetic Cleaning System 1 200 good 01.06.200277547 FSI International Antares CX200 Single Wafer CryoKinetic Cleaning System 1 20085057 FSM 128 Interferometer 1 200 mm83616 FSP GROUP INC 100-240 W POWER SUPPLY 10 10A 50-60 Hz new in box 01.02.200856935 Fuji CP642 SMT Line 163084 Fuji CP643e Pick & Place Machine 1 SMT excellent 01.05.200083884 FUJI CP32 CIRCUIT PROTECTOR 5 new in box58796 Fuji CP842E Pick & Place 072888 Fuji CP643E Pick and Place machine 1

71145 Fuji CP643E Pick & Place Machine 153045 FUJI ELECTRIC PE-LA 5 D Inductive Linear Sensor 3 spares excellent53046 FUJI ELECTRIC PE-LA 5 D Inductive Linear Sensor 1 spares excellent53047 FUJI ELECTRIC PE-LA 5 D Inductive Linear Sensor 1 spares excellent60806 Fuji Impulse FG-400E-SG Wafer Cassette Vacuum Bag Sealer 184260 FUJI SEIKI & SILENT FA-1612HCB-C FA.FWM. 180252 FUJITSU BH5-3500 POWER SUPPLY 1 AC250V 5A excellent 01.03.1997

83544 Fujitsu Denso FDS26-29 750W 26V29A Power supply 2 Spares good 01.02.199683549 Fujitsu Denso FDS11+11 750W 34A Power supply 1 Spares good 01.12.199552182 Fujitsu denso BH5-3523 Power Supply Unit for Canon FPA3000 series 1 spares excellent 01.07.199653020 FUJITU DENSO 4250-E921 Hi-Voltage power supply 1 spares excellent 01.07.199953021 FUJITU DENSO 4250-E921 Hi-Voltage power supply 1 spares excellent 01.07.199953023 FUJITU DENSO 4250-E921 Hi-Voltage power supply 1 spares excellent 01.07.199952371 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952373 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952374 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952375 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952377 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952379 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952380 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952381 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952382 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952383 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent 01.07.199952384 FUJITU DENSO 4247-E924 1ch power supply module 1 spares excellent52385 FUJITU DENSO 4249-E922 4 channel power supply module 0 spares excellent 01.07.199952929 FUJITU DENSO 4249-E925 4 channel power supply module 1 spares excellent 01.07.199952446 FUJITU DENSO 4249-E922 4 channel power supply module 1 spares excellent 01.07.199952447 FUJITU DENSO 4249-E923 4 channel power supply module 1 spares excellent 01.07.199952448 FUJITU DENSO 4248-E922 2 channels power supply module 1 spares excellent 01.07.199952449 FUJITU DENSO 4248-E921 2 channels power supply module 1 spares excellent 01.07.199952450 FUJITU DENSO 4248-E921 2 channels power supply module 1 spares excellent 01.07.199983712 Fusion 200 PCU UV Bake 1 8"83713 Fusion 200 PCU UV Bake 1 8"55877 FUSION M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers 1

55878 FUSION M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers 155879 FUSION M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers 1

55880 FUSION M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers 184609 Fusion Microlite 150 Ultra Violet Cure System 1 150 mm84610 FUSION MP150PC Ultra Violet Cure System 1 150 mm82831 FUSION PCU uv bake 1 01.06.199577257 Fusion 202 MCU Fusion Semiconductor Systems 202MCU: 200mm 135564 FUSION M150 UV Photoresist Stabilization 137689 FUTEK PF3103 Magnetic Annealing Furnace 1 good79375 Future Fab Custom Wet Process Equipment 183903 GACHOT DN 15-10 STAINLSS STEEL BALL VALVE 2 excellent

Page 6: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

71683 GASONICS PEP 4800 IRIDIA ASHER 171684 GASONICS IRIDIA ASHER 371685 GASONICS AURA 2000 ASHER 284485 GASONICS 2000LL ASHER 1 20071686 GASONICS AURA 1000 ASHER 184486 GASONICS 2000LL ASHER 1 20071687 GASONICS 2000LL ASHER 284488 GASONICS IRIDIA ASHER 1 20084489 GASONICS IRIDIA ASHER 1 20084490 GASONICS IRIDIA ASHER 1 20079409 Gasonics Aura 2000LL Asher 3 200 mm excellent69945 Gasonics AE 2001 Single wafer etch system 1

60985 GASONICS 3510 1 good84075 Gasonics DTC2280 ISA IDE control board floppy HDD 1 as new

64117 GaSonics Aura 1000 884352 Gasonics PEP3510 Asher 1 200 mm good

84623 GASONICS Aura Asher 1 N/A84624 GASONICS Aura Asher 1 N/A71077 Gasonics Aura 1000 Plasma Asher 6 150 excellent 01.10.199538837 Gasonics A2000 Spareparts 184407 GASONICS PEP3510 Asher 4 200mm excellent51905 GASONICS VAC ARM PCB A2000 151906 GASONICS VAC ARM PCB A2000 1

51907 GASONICS 90-2608 PCA,LOADLOCK,INTERFACE BD A2000 151908 GASONICS 90-2658 CONTROLLER BOARD A2000 151909 GASONICS A90-005-01 CONTROLLER BOARD 151910 GASONICS A90-031-03 PLASMA LAMP FAILURE DETECTION A2000 151911 GASONICS 90-2736 DUAL SETPOINT SCR CONTROLLER 182888 Gasonics 3010 PEP Etch Equipment 2 200 good 01.06.199851912 GASONICS 90-2609 DISPLAY DECODER A2000 182889 Gasonics 3500 PEP Series Stripper/Asher 1 200 good 01.06.199751913 GASONICS A90-040-01 HEATER/LAMP DETECT A2000 182890 Gasonics PEP Iridia Stripper/Asher 1 200 good 01.06.200051914 GASONICS 06764-802 ARM CONTROOLER BOARD 182891 Gasonics Strata Oxide Etch System 1 200 good 01.06.199751915 GASONICS 810-2140-005A 48V CONTROL BOARD A2000 184428 GASONICS 4800DL ASHER 1 20051916 GASONICS 810-2140-005A 48V CONTROL BOARD A2000 151918 GASONICS AO1-132-01 CHAMBERS A2000 151919 GASONICS 95-4477 ASSY,STRIPPER MODULE,WAVEGUIDE A2000 151920 GASONICS A95-053-02 GAS 429 LAMP TRAY A2000 151922 GASONICS POWER GAUGE A2000 151923 GASONICS 5735-9142 WAFER ALLIGN JIG A2000 151924 GASONICS 95-4477 ASSY STRIPPER MODULE WAVEGUIDE A2000 151925 GASONICS 853-12600-101 38A ELEVATOR A2000 151926 GASONICS A95-107-01 CONTROL PANEL A2000 151927 GASONICS 853-4290-001 / 94-1119 LOAD LOCK ELEVATOR A2000 151928 GASONICS 14939-001 VACUUM ARM EFFECTOR A2000 151929 GASONICS 04220-001 ARM THETA W/EFFECTOR A2000 185210 Gasonics PEP3510A Asher 1 200 mm 01.06.199681890 GaSonics PEP 3510A Stripper/Asher 1 200mm excellent 01.09.199784460 GASONICS AURA 2000 ASHER 1 200

84461 GASONICS AURA 2000 ASHER 1 20084462 GASONICS AURA 2000 ASHER 1 20084463 GASONICS AURA 2000 ASHER 1 20077553 Gasonics PEP Iridia Stripper/Asher 1 20077557 Gasonics PEP Iridia Stripper/Asher 1 200mm excellent 01.05.200577558 Gasonics PEP Iridia Stripper/Asher 1 20077559 Gasonics PEP Iridia Stripper/Asher 1 20067212 GASONICS HI-POX 505025 id 15-16", 3 zone/4 zone possible bruce 7351 controls 179723 GATAN 691 PIPS Precis ion Ion Polishing System 1 excellent84853 Gatan 691- PIPS CMP system 152149 Gatan 691 PIPS tm Precis ion ion polishing system for TEM Specimens 183920 GAZEL MG.FE 14 SUPERCLEAN 14 new in box83921 GAZEL MG.FC 14 GSI 6 new in box83922 GAZEL MG.FE 38 SUPERCLEAN 4 new in box83923 GAZEL EMC 38 AB GSI 9 new in box83924 GAZEL ECF 14 SUPERCLEAN 6 new in box83925 GAZEL ECM 14 SUPERCLEAN-GSI 6 new in box83926 GAZEL ECF 12 SUPERCLEAN 5 new in box83927 GAZEL ECM 12 GSI 1 new in box9961 GCA TROPEL 9000 Surface Flatness Analyzer 1 excellent83964 GDP General ProductDS 9000 Dispenser 1 SMT excellent61200 GE Fanuc Series 90-30 Programmable Logic Controller w/Programmable Controller 161201 GE Fanuc Series 90-30 Programmable Logic Controller w/Standard Power Supply Controller 185053 GeMeTec Elymat 2 Electrolytical Metal Analysis Tool 1 200 mm85054 GEMETEC Elymat III wafer contamination measurement 1 300 MM85055 GEMETEC WSPS 2 M S Spectrometers 1 200 mm 01.06.200885056 GEMETEC WSPS53 Spectrometers 1 300 MM36512 GEMINI GEMINI 3 EPI Reactor Batch 183852 Genmark GB7S Robot 1 Robot excellent83853 Genmark GB4 Robot 1 Robot excellent67093 Genrad 2287A Board Tester 160782 Genrad 2282 Board Tester 160783 Genrad 2283I Board Tester 160784 Genrad 2284E Board Tester 163649 Genrad 2284i Board Tester 163650 Genrad 2286i Board Tester 1

63651 Genrad 2287i Board Tester 167095 Genrad/Seica S20 Flying Prober 166412 Genus Stratagem 300 160843 Gigatronics 8652A Power Meter 179600 Gigi Molina Brevetti Pl Custom Manual wet hood 3 200 mm good 01.06.200076735 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm excellent 01.07.200476736 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm excellent 01.07.200476737 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm excellent 01.07.200476738 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm excellent 01.07.200476739 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm excellent 01.09.200421525 Glow Research Auto Glow Plasma surface treatment equipment 1 new79844 Glow research ST1200 Plasma System Anisotropic Etch and Substrate Treatment 1 excellent63819 GN Elmi EPM05 PCM Meter 163820 GN Elmi LITE3000 1 STUKS 179892 Gossen Konstanter IEC625 Power supply Gossen Konstanter UOP 1 Electronics Test and excellent83800 GSC P140-74 Gleichstrom Servo Motor 1 as new84668 GSI M350 Laser Trim 184669 GSI M350 Laser WaferTrim 184670 GSI M350 M350 Laser System 1 N/A84671 GSI M350 M350 Laser System with Upgrades 1 N/A83943 GSI M430 Laser Repair System 4 good 01.10.200383944 GSI M435 Laser Repair System 4 good 01.12.200467124 GSI LUMONICS SuperClean YAG Laser Marking System 1 100mm-200mm refurbished75404 GSI LUMONICS 435 LASER REPAIR 185916 GSI Lumonics WafeRepair M550 Laser Repair System 1 300 mm85917 GSI Lumonics WafeRepair M550 Laser Repair System 1 300 mm85918 GSI Lumonics WafeRepair M550 Laser Repair System 1 300 mm

85919 GSI Lumonics WafeRepair M550 Laser Repair System 1 300 mm85920 GSI Lumonics WafeRepair M550 Laser Repair System 1 300 mm71585 GSI LUMONICS WH4100 LASER MARKING SYSTEM 185921 GSI Lumonics WafeRepair M550 Laser Repair System 1 300 mm85922 GSI Lumonics WafeRepair M550 Laser Repair System 1 300 mm85923 GSI Lumonics WafeRepair M550 Laser Repair System 1 300 mm84441 GSI LUMONICS WH4100 METROLOGY 1 200

85118 GSI Lumonics Inc. Wafer Mark Sigma Clean Laser Marking 1 200 mm excellent81966 GT Solar DSS 270 Solar Silicon Casting furnace 1 01.01.200584576 Hacker VICO520 Pick and Place 1 01.06.201084577 Hacker VICO520 Pick and Place 1 01.06.201084578 Hacker VICO520 Pick and Place 1 01.06.201052151 Hamamatsu R1924A Photomultiplier 1 spares new unused 01.04.200771907 Hamamatsu C7103 IC Back-side Lapping System 1 200 mm excellent78601 Hamilton Safeaire Chemical Fume Hood 1 200 mm good84413 HAMPTON CYCLETROL 240 CIRCUIT BREAKER 1 good74299 HAN-MI Trim D2PPAK Trim for D2PAK 181935 HANMI INGOT INSPECTION2000 Brick 8t¬� 281950 HANMI Wafer inspection 3000 Geometry v is ion! 181951 HANMI Wafer inspection 3000 Geometry v is ion! 281952 HANMI Wafer inspection 3000 Geometry v is ion! 184952 Harmotec WPO-67-B Wafer Production Equipment 1 200 mm81929 HCT SQUARER G5 650kg Ingot 181931 HCT CROPPER Mutli,Single ̧ © 283986 HCT SQUARER mono ingot squaring. 2 excellent 01.01.201067396 Headway EC101 HEADWAY EC101 PHOTORESIST COATER 167389 Heller 112 axial lead bender (90 deg), cascade fed 182892 Heller 1705EXL Convection Oven 1 150 good 01.06.200337783 Heraeus VK5050 Cure oven 185049 Hermes Microvis ion EScan 320 Ebeam inspection system 1 300 MM 01.06.201276327 Hesse & Knipps Bondjet 710M Wedge Bonder 177035 Hesse & Knipps Bondjet 710M Wedge/Wedge Wire Bonder 1 excellent56862 HEWLETT PACKARD 54601A 4 Channel 100MHz Bandwidth Oscilloscope 156863 HEWLETT PACKARD 54602B 4(2+2) Channel 150MHz Bandwidth Oscilloscope 151930 HEWLETT PACKARD 4193A VECTOR IMPEDANCE METER 162605 HILEVEL ETS300 Digital Test System 1

62606 HILEVEL ETS300 Digital Test System 178848 HITACHI CM-700H BONDER 1 ASSEMBLY excellent 01.06.200782688 HITACHI S9380a CD SEM 1 300 mm 01.06.200651968 HITACHI NUPS 500 UNIT CD-SEM S-8820 178849 HITACHI CM-700H BONDER 1 ASSEMBLY excellent 01.06.200782689 HITACHI S9380a CD SEM 1 300 mm 01.06.200651969 HITACHI STACK (STANDARD) CD-SEM S-8820 178850 HITACHI CM-700H BONDER 1 ASSEMBLY excellent 01.06.200751970 HITACHI STACK TURBO PUMP CD-SEM S-8820 162210 HITACHI S-9300 1 200 mm78851 HITACHI CM-700H BONDER 1 ASSEMBLY excellent 01.06.200751971 HITACHI LOAD LOCK TURBO PUMP CD-SEM S-8820 155299 HITACHI S-5000H Metrology Equipment, CD SEM 1 200mm 01.01.200062211 HITACHI BOND HOT PLATE 178852 HITACHI CM-700H BONDER 1 ASSEMBLY excellent 01.06.200751972 HITACHI SERVO STAGE MOTOR AND SCREW BACK CD-SEM S-8820 178853 HITACHI CM-700H BONDER 1 ASSEMBLY excellent 01.06.200751973 HITACHI SERVO STAGE MOTOR AND SCREW SIDE CD-SEM S-8820 178854 HITACHI CM-700H BONDER 1 ASSEMBLY excellent 01.06.200751974 HITACHI LOAD LOCK LIFTER CD-SEM S-8820 156838 Hitachi S-4500 FE Inspection SEM Type 1 chamber 1 refurbished51976 HITACHI MAGNETIC HEATER UNIT (SIDE) CD-SEM S-8820 151977 HITACHI MAGNETIC HEATER UNIT (BACK BTM) CD-SEM S-8820 160937 HITACHI M501AWE 1 01.06.199651978 HITACHI 7743D D.1 MAGNETIC HEATER UNIT (BACK TOP) CD-SEM S-8820 160938 HITACHI M501AWE 1 01.06.199651979 HITACHI LOAD LOCK ROBOT CD-SEM S-8820 160939 HITACHI S4160 1 01.06.199651980 HITACHI 343976 hd-bignl HARD DRIVE UNIT CD-SEM S-8820 160940 HITACHI IS2700 1 01.06.200551981 HITACHI 568-5598 MHV PS2 CD-SEM S-8820 186030 HITACHI M712XT ETCHER 1 300 mm51982 HITACHI COLD DC POWER SUPPLY CD-SEM S-8820 151983 HITACHI PHOTO MULTIPLIER (SHORT) CD-SEM S-8820 151984 HITACHI PHOTO MULTIPLIER (LONG) CD-SEM S-8820 151985 HITACHI STACK (BIG) CD-SEM S-8820 1

51986 HITACHI POWER UNIT CD-SEM S-8820 151987 HITACHI ion gun and gun baking power unit with 4 transformers CD-SEM S-8820 151988 HITACHI 568-5585 SGTW LD PCB CD-SEM S-8820 151989 HITACHI 546-5513 CD-SEM S-8820 PS DIST PCB 151990 HITACHI EWS-50-24 EWS50-24 24V POWER SUPPLY CD-SEM S-8820 151991 HITACHI 546-5514 PS CONT CD-SEM S-8820 151992 HITACHI EWS-25-24 EWS25-24 24V POWER SUPPLY CD-SEM S-8820 151993 HITACHI EWS-300-5 EWS 300-5 5V POWER SUPPLY CD-SEM S-8820 151994 HITACHI PDM-100 PDM-100 POWER SUPPLY CD-SEM S-8820 151995 HITACHI 568-5526 VME-MB CD-SEM S-8820 178108 Hitachi S4700 Type 2 FE-SEM 1 Up to 6 inch refurbished 01.06.200651996 HITACHI 271-0011 EBSI CD-SEM S-8820 160957 HITACHI S6280 184254 HITACHI S-2700 1 good34078 Hitachi S9220 CD SEM 3 200 mm excellent 01.05.200051998 HITACHI 271-2863 ECPU263 CD-SEM S-8820 160958 HITACHI S6280 178111 HITACHI FB2000A FIB SEM 1 Laboratory excellent 01.06.200160959 HITACHI S6180 183744 HITACHI CM-700H BONDER 1 ASSEMBLY excellent 01.06.200652000 HITACHI 568-5510 SG/VA CD-SEM S-8820 160960 HITACHI S8800 171969 HITACHI S4160 FE SEM 1 8"83745 HITACHI CM-700H BONDER 1 ASSEMBLY excellent 01.06.200552001 HITACHI 568-5544 OPTAFC CD-SEM S-8820 160961 HITACHI S8820 5 01.06.199971970 HITACHI S4160 FE SEM 1 8" 01.06.199679138 Hitachi S8840 (Parts) upper column for an S-8840 CD SEM 1 200 mm new unused 01.06.200052002 HITACHI 568-5559 PS DISP CD-SEM S-8820 160962 HITACHI S7000 152003 HITACHI 568-5502 IMSUB CD-SEM S-8820 160963 HITACHI S8800 152004 HITACHI 568-5591 SIPMAIN2 CD-SEM S-8820 160964 HITACHI S7800HSA 1

71461 Hitachi S9220 CD SEM 1 200 mm refurbished52005 HITACHI 568-5589 PASW CD-SEM S-8820 1

83750 Hitachi S9360 CD SEM 1 300 MM excellent 01.12.200352006 HITACHI 568-5584 SGTW I/F CD-SEM S-8820 152007 HITACHI SEC-4400MC-UC MASS FLOW CONTROLLER CD-SEM S-8820 152008 HITACHI IP-308A HCU-3 CD-SEM S-8820 152009 HITACHI IP-253B HCU-IB CD-SEM S-8820 174794 Hitachi S5200 FE SEM with EDX 1 Inspection 01.06.200552010 HITACHI IP-248A CD-SEM S-8820 152011 HITACHI IP-268A CD-SEM S-8820 152012 HITACHI D37209100 ETCH PROCESS PUMP CD-SEM S-8820 152013 HITACHI LANI-4 CD-SEM S-8820 152014 HITACHI DISI-2 CD-SEM S-8820 152015 HITACHI PM02-1 CD-SEM S-8820 185040 Hitachi RS4000E Scanning Electron Microscope 1 300 mm 01.06.200552016 HITACHI AI16-2 CD-SEM S-8820 185041 Hitachi RS4000E Scanning Electron Microscopes 1 300 mm 01.06.200552017 HITACHI MM96-2 CD-SEM S-8820 185042 Hitachi RS5000 Defect review sem 1 300 mm 01.06.200652018 HITACHI DO24-2 CD-SEM S-8820 185043 Hitachi S-4500 FE SEM 1 200 mm 01.06.199552019 HITACHI SBC09-2 CD-SEM S-8820 185044 Hitachi S-7800 Critical Dimension Scanning Electron Microscope 1 200 mm 01.06.200852020 HITACHI A008-2 CD-SEM S-8820 185045 Hitachi S4500 FE SEM 1 200 mm52021 HITACHI IL36-1 CD-SEM S-8820 185046 Hitachi s5000 FE SEM 1 200 MM 01.06.199552022 HITACHI EPDI-1 CD-SEM S-8820 185047 Hitachi Z-5700 AA spectrophotometer 1 Laboratory and Scientific

52023 HITACHI ILC4-1 CD-SEM S-8820 152024 HITACHI MM512-1 CD-SEM S-8820 152025 HITACHI RYBXC-1 CD-SEM S-8820 185050 Hitachi AS5000 Scanning Electron Microscope 1 200 MM52026 HITACHI LANI-1 CD-SEM S-8820 185051 Hitachi BIST Memory Test System 1 test 01.11.200452027 HITACHI RYB308N-1 CD-SEM S-8820 1

85052 Hitachi LA-3100 Lithography Equipment 1 200 mm52028 HITACHI PTPA-01 CD-SEM S-8820 1

82749 HITACHI M-308AT Etcher 1 6" 01.06.199352029 HITACHI 1001354 MASS FLOW SYSTEM 308 1

52030 HITACHI RF POWER GENERATOR 308 153054 HITACHI 6280H SORD Computer 1 spares excellent52031 HITACHI TUNER CONTROLLER 308 183776 HITACHI S9380 CD SEM 1 300 mm good 01.10.200452032 HITACHI ETCHING CHAMBER TEMP CONTROLLER 308 152033 HITACHI BUFFER CHAMBER TEMP CONTROLLER 308 152034 HITACHI LCD1012X 308 183779 HITACHI S9360 CD SEM 1 300 mm good 01.05.200352035 HITACHI TOUCH SCREEN MONITOR 308 152036 HITACHI TOUCH DATA CONTROLLER 308 152037 HITACHI 3REA043343 BELLOWS 152038 HITACHI 3ROT004194 CKD 1/2 SOLENOID VALVE 152039 HITACHI 3ROT002834 CLAMP,T-80 138472 Hitachi S9300 CD SEM 1 200mm, 300mm refurbished52040 HITACHI 3ROT004756 CENTER RING/HS-80 186089 Hitachi CM700X Wire Bonder 4 ASSEMBLY good 01.06.200852041 HITACHI 3HOT023559 UNIBODY TORCH 152042 HITACHI PC-SD40 B32 DX COMPUTER 152043 HITACHI JULABO 152044 HITACHI 567-6686 CD-SEM PC 152045 HITACHI 203692 IRRADIATOR DUV TOOL CD-SEM 152301 Hitachi 545-5516 7 Channel Power Supply module 0 spares excellent52046 HITACHI 567-6685 MONITOR CD-SEM 152047 HITACHI 05551-001 LOADER CD-SEM 165359 HITACHI S-9220 SIP baord for CD-SEM S9220,P/N 568-5591 1 excellent

74576 Hitachi WA1350 AFM 1 200 MM61008 HITACHI S-9300 CD SEM 1 300 mm52049 HITACHI WAFER HOLDER CD-SEM 152050 HITACHI 2111A-20SLAT / 8462 LAZER POWER SUPPLY CD-SEM 152052 HITACHI STACK TURBO PUMP CD-SEM 178165 Hitachi S8820 CD SEM 1 200 mm good 01.07.199682261 HITACHI S-9360 CD SEM 1 8"/12" excellent 01.06.200352053 HITACHI 1738129 STAGE LAZER CD-SEM 178166 Hitachi S8620 CD SEM 1 150 mm for spares use 01.06.1995

82262 HITACHI S-9360 CD SEM 1 8"/12" excellent 01.06.200452054 HITACHI DC MAGNETIC FIELD SENSOR CD-SEM 1

52055 HITACHI MAGETIC HEATER UNIT CD-SEM 152056 HITACHI TMP2 CD-SEM 152312 Hitachi 545-5522 VG brd 1 spares excellent 01.06.199484313 Hitachi HD2300/FB2100 STEM / FIB 1 300 mm excellent 01.06.200652057 HITACHI 857-01 TURBOTRONIC NT10 CD-SEM 152058 HITACHI FIELD CANCELLING SYSTEM CD-SEM 152059 HITACHI 76718 POWER SUPPLY UNIT CD-SEM 152060 HITACHI 249141 LOADER PLATFORMS CD-SEM 178685 HITACHI DES-9300 METRO 1 300 MM 01.04.200152061 HITACHI 323703 3 AXIS ROBOT CD-SEM 152062 HITACHI MONITOR CD-SEM 152063 HITACHI 6712-2000/0000 CAMARA MODULE CD-SEM 152064 HITACHI 19308111-01 DIGITAL DATA STORAGE CD-SEM 152065 HITACHI 14VC4CLV2 MONITOR CD-SEM 178690 HITACHI S-9300 CD-SEM 1 300 MM good 01.06.200152066 HITACHI Y7601000 CD-SEM 184323 Hitachi HIT-8502 BAKE OVEN 1 300 mm for spares use 01.06.200752067 HITACHI 00-8163 ROBOT CD-SEM 152068 HITACHI NM4050 ROBOT ARM CD-SEM 178693 HITACHI S9360 CD-SEM 1 300 MM 01.01.200352069 HITACHI Y5602000 PICK AND PLACE PNEUMATICS MODULE CD-SEM 185350 Hitachi I6300 Inspection station 1 300 mm52070 HITACHI Y6100000 X18 ILLUMINATION MODULES CD-SEM 1

84839 Hitachi S2700 Scanning Electron Microscope 1 Laboratory excellent85351 Hitachi I6300 Inspection station 1 300 mm52071 HITACHI 8943AL139/1709/9 CAMARA DRIVE TRK2/3 CD-SEM 185352 Hitachi IS2700 Defect inspection 1 300 mm 01.06.200552072 HITACHI SINGLE BOARD COMPUTER CD-SEM 185353 Hitachi RS3000 Review SEM 1 200 mm, 300 mm 01.06.200385354 Hitachi RS3000T Review SEM 1 300 mm 01.06.200752074 HITACHI AN09SA8570 PC 185355 Hitachi RS4000 Review SEM 1 300 mm 01.06.200652075 HITACHI 083223-131 MFC 400 SCCM 179724 Hitachi S-5000 FE SEM 1 Laboratory excellent 01.06.1999

85356 Hitachi S4700 FE SEM 1 300 mm 01.06.200252076 HITACHI 31390-002 MEC CONTROLLER 1

85357 Hitachi S5200 FE SEM 1 01.06.200152077 HITACHI 5TOT052980 SPIKE TC OVERHEAT DETEC 185358 Hitachi S8640 CD SEM 1 150 mm 01.06.199752078 HITACHI 203-0026 COGNEX BOARD 185359 Hitachi S9200S CD SEM 1 200 mm 01.06.199952079 HITACHI 7400-0136-01B BAFFLE 185360 Hitachi S9300T CD SEM 1 300 mm 01.06.200252080 HITACHI 4QOD179653 VACUUM PIPE 185361 Hitachi S9360 CD SEM 1 300 mm 01.06.200352081 HITACHI 2414-0020 DIGI CAT COMBUSTIBLE GAS TRANSMITTER 185362 Hitachi S9380 CD SEM 1 300 mm 01.06.200471539 Hitachi S-2300 SEM with EDX 185363 Hitachi S9380II CD SEM 1 300 mm 01.06.200752083 HITACHI LOAD UNLOAD CARRIER MOTOR 152339 Hitachi 545-5521 1 spares excellent 01.06.199485364 Hitachi S9380II CD SEM 1 300 mm 01.06.2007

52084 HITACHI DISI-2 PCB 152340 Hitachi 5455537 IP-PC2 1 spares excellent 01.06.199479221 Hitachi S-9220 CD SEM 1 300 mm 01.06.200085365 Hitachi S9380II CD SEM 1 300 mm 01.06.200752085 HITACHI PTPI-I PCB 179222 Hitachi S-9200 CD SEM 1 300 mm 01.06.199985366 Hitachi VR120SD Resistiv ity Measurement 1 300 mm

52086 HITACHI SBCO9-2 PCB 152087 HITACHI D024-2 PCB 152343 Hitachi 377-7592 Power Supply Module 1 01.06.199452088 HITACHI MM96-2 PCB 184345 Hitachi EA8000 X-ray Partic le Contaminant Analyzer 152089 HITACHI LANI-4 PLASTIC LIDS 152090 HITACHI RF POWER GENERATOR 152091 HITACHI BUFFER CHAMBER TMP CONTROLLER 152092 HITACHI BE6138A2 MONITOR 152093 HITACHI TOUCH DATA CONTROLLER 152094 HITACHI 7743D D.1 MAGNETIC HEATER UNIT (BACK TOP) 1

52095 HITACHI PC 152096 HITACHI VM-920-S2 VIDEO MONITOR 2

83585 Hitachi S5000H Field Emission SEM 1 Laboratory excellent52097 HITACHI 249181 DUAL CASSETTE HANDLER CARD 152099 HITACHI 271-2863 ECPU263 1

82820 HITACHI S-8620 CD SEM 1 5 01.06.199652100 HITACHI 568-5510 SG/VA 1

52101 HITACHI 568-5502 MEM 171558 Hitachi S-2400 SEM with new EDX 152102 HITACHI 568-5559 PSDISP 183849 Hitachi S4500 0 01.06.199570030 Hitachi Mi-Scope 10 Scanning Acoustic Microscope (SAM) 1 ASSEMBLY excellent 01.06.199282841 Hitachi PXH-1 Compact Printer 1 good 01.06.200886169 HITACHI FB-2000A FIB Sem 1 200 mm 01.06.200186170 HITACHI RS3000 Defect Review SEM 1 200 mm/300 mm86171 HITACHI S-4700 (w/EDAX) FE SEM with EDX 1 01.06.199886172 HITACHI S-5000 FE SEM 1 200 mm 01.06.199486173 HITACHI S-5000 FE SEM 1 200 mm 01.06.1996

86174 HITACHI S-5000 FE SEM 1 200 mm 01.06.199936254 Hitachi S9300 CD-Sem 1 200 mm excellent 01.12.200086175 HITACHI S-5000 FE SEM 1 200 mm 01.06.200086176 HITACHI S-9220 (SMIF) CD-SEM 1 200 mm 01.06.200186177 HITACHI S-9220 (SMIF) CD-SEM 1 200 mm 01.06.200186178 HITACHI S-9360 CD-SEM 1 300 mm 01.06.200336259 HITACHI S-9300 SEM - CD (CRITICAL DIMENSION) 1 200-300 excellent36515 HITACHI C-708 ASYMTEK GLUE DISPENSER 136516 HITACHI I-5230 E-BEAM INSPECTION SYSTEM 1 01.03.200336517 HITACHI S-2700 136518 HITACHI S-5000 181831 Hitachi M712E ECR Plasma Etcher 1 200mm good84655 HITACHI M-308 Metal Etcher 184656 HITACHI M-308 Metal Etcher 184657 HITACHI M-501 Metal Etcher 184658 HITACHI M-602 Metal Etcher 138839 Hitachi S-8820 Spare Parts 1 spares good38840 Hitachi SEM Spares Hitach spares 185951 Hitachi FB-2100 Focused Ion Beam System 1 300 mm85952 Hitachi LS6800 Partic le Measurement 1 300 mm

83649 Hitachi IS2700SE Wafer Inspection System 1 300 mm excellent 01.06.200484419 Hitachi S-8820 CD SEM 1 200mm84677 Hitachi S-4500 INSPECTION SEM 1 N/A

84678 Hitachi S-6000 CD SEM 1 150 mm52166 Hitachi 545-5515 DC power supply module 1 spares excellent

84679 Hitachi S-628OH CD SEM 1 150 mm52167 Hitachi 6280H Power Supply Module 4channels 1 excellent84680 HITACHI S-8840 CD SEM 152168 Hitachi 6280H Power Supply unit 1 excellent71635 HITACHI 8820 CD SEM 180339 Hitachi CM-700H DIE Bonder 1 Assembly good 01.06.200552184 HITACHI 568-5556 SEMPANEL 152185 HITACHI 568-5544 OPTAFC 152186 HITACHI 238781 SIGNAL TOWER INTERFACE CARD 1

51931 HITACHI 3 PCB MODULE CD-SEM S-8820 152187 HITACHI 569-5505 STSENSE 1

51932 HITACHI 658-5571 EVAC COUNT CD-SEM S-8820 152188 HITACHI 248411 PWB ASSY 2 excellent51933 HITACHI OF250 POWER SUPPLY CD-SEM S-8820 152189 HITACHI 568-5591 SIP 178303 Hitachi Mi-scope CP11U Scanning Acoustic Tomograph 1 Laboratory excellent 01.06.200151935 HITACHI 546-5516 ANTI INTERFERENCE PCB AREA IF1 CD-SEM S-8820 151936 HITACHI JCR12V50W20H LIGHT SOURCE MEGA LIGHT 50 CD-SEM S-8820 152192 HITACHI 8946-0001 VME/OVERLAY INTERFACE 151937 HITACHI WAFER READER CD-SEM S-8820 152193 HITACHI 249141 DUAL CASSETTE HANDLER BOARD 152194 HITACHI 250261 UNICASSETTE SYSTEM INTERCONNECT BOARD 151939 HITACHI 568-5514 CD-SEM S-8820 MHV PS 152195 HITACHI 568-5512 E/Q CONT 151940 HITACHI 568-5513 LENS POWER SUPPLY CD-SEM S-8820 152196 HITACHI ETCHING CHAMBER TMP CONTROLLER 151941 HITACHI 568-5509 DEF POWER SUPPLY CD-SEM S-8820 151942 HITACHI ION PUMP POWER SUPPLY CD-SEM S-8820 160902 HITACHI UA5200 Asher 1 01.06.199382151 Hitachi S-9260A SMIF CD SEM 1 300 mm 01.06.200551943 HITACHI DC-77RR / 14732 CAMERA ADAPTER CD-SEM S-8820 160903 HITACHI UA5200 Asher 1 01.06.199351944 HITACHI 568-5530 COLD DC POWER SUPPLY CD-SEM S-8820 151945 HITACHI EVAC POWER DISTRIBUTION UNIT CD-SEM S-8820 1

78826 HITACHI FB2100 FIB SEM 1 200 mm excellent 01.06.200651946 HITACHI CD-SEM S-8820 STAGE POWER UNIT 1

78827 HITACHI S-5000 Inspection sem 1 Laboratory excellent 01.06.199851947 HITACHI 568-5562 COLD CN PCB CD-SEM S-8820 1

78828 HITACHI S5000 Inspection sem 1 200 mm excellent 01.06.200018925 HITACHI 131-9008-1 Model U-3210 / U 3410recording spectrophotometer available commands 151949 HITACHI PNEUMATIC PANEL CD-SEM S-8820 184206 Hitachi S9380 II CD SEM 1 300 mm excellent 01.11.200518926 HITACHI 131-9007-1 Model U3210 / U3410 Recording spectrophotometer operating procedure 151950 HITACHI 568-5590 CD-SEM S-8820 ST SENSOR PCB 19967 HITACHI S7000 CD SEM 1 150 mm excellent 01.06.1989

51951 HITACHI 568-5532 CD-SEM S-8820 CHUCK PS PCB 151952 HITACHI 568-5531 CD-SEM S-8820 SENSE CN PCB 1

51953 HITACHI FAB NO 85701 / Z9700155 TURBOTRONIK NT10 CD-SEM S-8820 151954 HITACHI CD-SEM S-8820 TURBOTRONIK NT 340 M 1

51955 HITACHI CD-SEM S-8820 MAIN CONTROLLER SWITCH PANEL 151956 HITACHI 568-5569 CD-SEM S-8820 NPSD AMP 183701 Hitachi S8820 CD SEM 1 8"51957 HITACHI PHOTO MULTIPLIER (STANDARD) CD-SEM S-8820 151958 HITACHI CD-SEM S-8820 APERATURE ASSEMBLY 151959 HITACHI CD-SEM S-8820 APERATURE ASSEMBLY 151960 HITACHI 113680 CD-SEM S-8820 CAMERA ASSEMBLY (SONY) 151961 HITACHI MONITOR CD-SEM S-8820 151962 HITACHI PC CD-SEM S-8820 151964 HITACHI 568/5527 DCPS PCB CD-SEM S-8820 151965 HITACHI CONTROL MODULE ASSEMBLY CD-SEM S-8820 179870 Hitachi SEM S-2300 Scanning electron microscope 1 good51966 HITACHI ion gun and gun baking power CD-SEM S-8820 160926 HITACHI M501AWE Metal Etch 1 01.06.199682687 HITACHI S9380a CD SEM 1 300 mm 01.06.200651967 HITACHI POWER BREAKER UNIT CD-SEM S-8820 182893 Hitachi Finetech WA1200 AFM Surface Profiler 1 200 good69619 Hitachi Finetech WA1350 Surface Profiler 1 300 mm77264 HOLADAY HI-1801 MICROWAVE SURVEY METER 1 excellent52197 HOLADAY 8100-1230 MICROWAVE SURVEY METER 152198 HONEYWELL 2430-0021 REV 4 HONEYWELL ANALYTICS 1 good52199 HONEYWELL MDA SCIENTIFIC MIDAS GAS DETECTION 152200 HONEYWELL X000145 4 JCT,2-B,W/SIC TUBES 1

69466 Hong Tech HT-1000 185048 Horiba emax energy Micro-analysis system for SEM 1

85367 Horiba PRPD2 Retic le/Mask Partic le Detection System 1 150 mm 01.06.200685368 Horiba PRPD2 Retic le/Mask Partic le Detection System 1 150 mm 01.06.200584681 Horiba PD-3000A Retic le inspection system 1 N/A53031 HP GPIB IEEE488 Cable 1 spares excellent10544 HP 4261A LCR METER 1 TEST excellent38473 HP 82000 TESTER 138474 HP 82000 Mini Tester 138475 HP 83000-F50T TESTER 160786 HP 3070 Board Tester 1

60802 HP E1085a HP 3070 Communication Tester VXI Chassis 160812 HP E1095a LCU -Line Conditioning Unit 1

60813 HP E1095-66501 LCU -Line Conditioning Unit 160814 HP E1095-66510 PCA Terminal Block 160815 HP E1485A Signal Processor and FIFO 160816 HP E1490B Breadboard W/O Interface 160817 HP E1401B High Power Mainframe 160818 HP E1419A Multifunctional Card 160819 HP E1433A Digitizer 160820 HP E1433B Digitizer 160821 HP E1438A Digitizer 160822 HP E1482B VXI Bus Extender 160823 HP 41425A Analog Feedback Unit 162635 HP 6023A Power Supply 162636 HP 6625A DC Power Supply 162637 HP 6626A DC Power Supply 162638 HP 6627A Power Supply 162639 HP 6624A DC Power Supply 162640 HP 6552A DC Power Supply 162641 HP 6572A DC Power Supply 138838 HP 4193A Vector Impedance Meter 163808 HP Agilent OMNIBER717 363809 HP Agilent OMNIBER718-001 163810 HP Agilent 37717B 263811 HP Agilent 37724A SDA PDH Test Set 1

63812 HP Agilent 37724 183579 HP HEWLETT PACKA 9145A PC HP HEWLETT PACHARD 9145 1 115/230V excellent 01.03.199082181 hps mks 90 degree flange HPS MKS Stainless Steel VACUUM FITTING 1 excellent77156 HTC ISO1010OCRVSA Pump flange PN 192112412 1 Spares as new67364 HTG MASK ALIGNER 36-3 INCLUDES B&L STEREO ZOOM 7 CONFIGURED FOR 4X4" SUBSTRATES 350 W LAMP 500W POW177145 Huber Unichiller UC060Tw chiller 1 excellent67487 Huges MCW 500 166372 Hughes 2470-III Automatic Wedge Wire Bonder 1 Assembly good67155 Hughes HDB 985 The Hughes HDB 985 is a semiautomatic epoxy die bonder. (Email us for photo) 167156 Hughes HPB-360 HUGHES HPB360 PULSE HEAT WIRE BONDER (INCLUDES STEREO ZOOM OPTICS) 184953 Hugle UPC-12100 300 MM FOUP CLEANER 1 300 mm 01.06.200784426 HUNT NOT LISTED BLOWER UNIT 1 20071500 HUVITZ Trinocular Microscope 167132 Hybond 572-40 Vertical Feed Wedge Bonder with Extended Transducer (for bonding on large substrates or pcb's) I 167133 Hybond 626 Vertical Feed Wedge Bonder with Extended Transducer (for bonding on large substrates or pcb's) I 167134 Hybond 572A Wedge bonder with soft touch Vertical (deep access) 45 degree feed systems in stock 167135 Hybond 552A HYBOND 552 THERMOCOMPRESSION WEDGE BONDER INCLUDES HEATED WORKHOLDER INCLUD167488 Hybond 522A 167489 Hybond 552 167490 Hybond 572 167491 Hybond 616 184854 Hypersonic Chip Eraser 1630 eprom eraser 1 300 mm79408 Hypervis ion PTF1 082839 i-PULSE K2H Inline SPI/AOI 1 good 01.06.200867787 I-Pulse M4e Pick and Placer 1 01.06.200467788 I-Pulse M2 Pick and Placer 1 01.06.200467789 I-Pulse M2 Pick and Placer 1 good 01.01.200482159 I-Pulse M10 Pick and Placer 1 excellent 01.06.201267151 IBR B460-4 Liquid partic le counter for v iscous, corrosive liquids and strong solvents 1

80362 ICOS CI9450 Vision Systems (2 sets) 2 assembly good 01.06.200377055 ICOS CI-9450 Lead inspection Tray to Reel 1 01.05.200474236 ICP DAS DB-8025 2-port Data Acquisition Board 2 01.01.200877004 ICP DAS Omega DB-16R Daughter Board 2 as new 01.01.200684238 IGUS 07.10.038.0 Belt 1 Spares good13025 IKO LWES 15 C1 R460 S2 Linear way with s ingle bearing block,set of 4 4 Spares new in box83617 IKO NIPPON THOMP LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 1 new in box 01.12.2008

83618 IKO NIPPON THOMP T1 H S2 LINEAR BEARING AND RAIL 1 new in box 01.12.200883619 IKO NIPPON THOMP BCS H S2 LINEAR BEARING AND RAIL 1 new in box 01.12.200383626 IKO NIPPON THOMP PS1 LINEAR BEARING 1 new in box 01.12.200384222 IKO NIPPON THOMP LWL 12 C2 LINEAR BEARING AND RAIL 1 new in box 01.12.200879407 IMS XTS 60E 079465 Imtec Acculine 2000 Vacuum Oven 1 Oven excellent 01.06.199533751 IMTEC QRT/S-A2002-51 Acculine/Accubath Quartz Tank, Laminated Heating for Dual 200mm Cassettes 1 200mm excellent35566 IMTEC QZ-A1252-14 Acculine/Accubath Quartz Tank, Laminated Heating for Dual 125mm Cassettes 1 125mm excellent67457 IMTRAN Screen Printer 177604 Incal Technology i9470 Burn-in Tester 1 N/A83583 INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER 1 RS232 excellent79725 Infrared Labratories IREM1 Infrared Emission Microscope 1 200mm/300mm excellent80192 Innolas ILS 700P Laser Drill with Loader and unloader 1 good 01.06.200756141 Innolas ILS 700P Laser Edge Isolation 1 156mm excellent 01.06.200567092 Inovus Ocelot SOC Tester 156884 Insidix TDM Topographic deformation measurement of JEDEC packages 1 assembly excellent 01.10.200862744 Integrated Design Inc 4-715-003R PUMP_RESIST_CYBOR 155918 Intergen Superscribe 212 Wafer Marking Centre 12" 167390 INTEX SMX 123-1.5 3 zone, 2" c learance, 18" belt, 9' long 186108 INVALID[INVALID[EvestEML-61D LED mounter 1 excellent84552 INVALID[JSC Systems510 Controller 1 spares good55883 ION TECH 5cm Ion Source 179403 IPEC Avant Gaard 776 034441 IPEC 676 CMP PLANARIZER 1 200mm excellent79271 IPEC/Westech AVANTI 472 cmp polisher 1 200 mm 01.06.199579272 IPEC/Westech AVANTI 472 cmp polisher 1 200 mm 01.06.199684312 IPS LTD Bluetain MCVD 1 300 mm good 01.06.2008

56841 IRVINE OPTICAL Ultrastation 3 Macro Inspection Station 111069 IRVINE OPTICAL UltraSpec III Wafer Inspection microscope 1 3 to 6 inch excellent

85016 Irv ine Optical Co. UL208 wafer mapper 1 200 mm79192 ISIS SemDex 301-34 Measurement of substrate layer thickness and total thickness variation 1 300 mm good 01.06.201373245 Ismeca TMBU-CA Tube to Tape and Reel 1 excellent77947 Ismeca G316 MARK, SCAN AND PACK MACHINE 1 ASSEMBLY 01.09.199777948 Ismeca G316 MARK, SCAN AND PACK MACHINE 1 ASSEMBLY 01.06.199877949 Ismeca G316 MARK, SCAN AND PACK MACHINE 1 ASSEMBLY 01.06.199577950 Ismeca G316 MARK, SCAN AND PACK MACHINE 1 ASSEMBLY 01.06.199771613 ISMECA TMBUGA TESTER 171614 ISMECA NT216 TEST SYSTEM 1 excellent

Page 7: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

74803 IVS 8000-05 CD MEASUREMENT 1 200 MM84682 IVS ACV-4 8000 measurement tool 1 150 mm35569 IWASHITA Shotmatic 3 Epoxy Dispenser, 2ea Available 160826 IWATSU TS8123 Storage Scope 178173 J.A.Woollam VASE Ellipsometer 1 200 mm good79609 JAM HYP 505S PRESS 1 Assembly 01.08.200879610 JAM HYP 505S PRESS 1 Assembly 01.08.200883989 Jasco FP 8500 Fluorescence Spectrometer 1 Laboratory good21667 JDS 2214-30 SLQ TT Uniphase LASER FOR KLA 7700 SURFSCAN 1 SPARES good 01.02.199877999 JEC P6502 Burn-In Oven 1 TEST 01.01.200378000 JEC P6502 Burn-In Oven 1 TEST 01.01.200378001 JEC P6502 Burn-In Oven 1 TEST 01.03.2006

78002 JEC P6502 Burn-In Oven 1 TEST 01.04.200678003 JEC P6502 Burn-In Oven 1 TEST 01.12.2006

78004 JEC P6502 Burn-In Oven 1 TEST 01.01.200778005 JEC P6502 Burn-In Oven 1 TEST 01.01.2007

78006 JEC P6502 Burn-In Oven 1 TEST 01.01.200778007 JEC P6502 Burn-In Oven 1 TEST 01.01.200778008 JEC P6502 Burn-In Oven 1 TEST 01.01.200778009 JEC P6502 Burn-In Oven 1 TEST 01.01.200778010 JEC P6502 Burn-In Oven 1 TEST 01.06.200378011 JEC P6502 Burn-In Oven 1 TEST 01.01.200778012 JEC P6502 Burn-In Oven 1 TEST 01.01.2007

78013 JEC P6502 Burn-In Oven 1 TEST 01.01.200777345 JEL (Jusung EngineerCyclone Plus Metal CVD (Chemical Vapor Deposition) 1 30077347 JEL (Jusung EngineerCyclone Plus Metal CVD (Chemical Vapor Deposition) 1 30084579 Jenway 171172 JEOL JWS 8755S SEM 1 good61195 JEOL JWS-7505ZH CD-SEM 169647 JEOL JWS-3000 CD SEM 1 300 mm69648 JEOL JWS-3000 CD SEM 1 300 mm55317 JEOL JWS-7515 Metrology Equipment, CD SEM 1 150mm 01.10.200083990 JEOL JBX 5500FS E-Beam lithography system 1 2 inch, 3 inch and 4 in excellent 01.12.200885017 JEOL JEM-2500SE TEM Microscope 1 Laboratory and Scientific85018 JEOL JEM-2500SE TEM Microscope 1 Laboratory and Scientific85019 JEOL JWS-7515 DEFECT REVIEW SEM 1 200 mm 01.06.199585020 JEOL JWS7555S Defect review sem 1 200 mm 01.01.200085021 JEOL JWS7555S Scanning Electron Microscopes 1 200 mm 01.01.200035620 JEOL 7515 SEM 1 excellent32811 JEOL JSM6320 Field Emission SEM 1 N/A good74804 JEOL 7555S INSPECTION SEM 1 200 MM74805 JEOL JM2010-F TEM 1 200 MM84540 JEOL 2100F TEM 1 200 mm good84315 JEOL JSM-7401F SEM 1 300 mm good 01.06.200684316 JEOL JSM-7401F SEM 1 300 mm good 01.06.200683590 JEOL JSM 7401F High Resolution FE SEM 1 200 mm good 01.06.200686179 JEOL JSM-840A FE SEM 184683 JEOL JOL7505 01 TE SEM 1 150 mm84684 JEOL JSM6600FX SEM 1 N/A84685 JEOL JWS-3000 Defect Review Sem 1 300 mm84686 JEOL JWS-3000 Defect Review Sem 1 300 mm15055 Jeol JWS-7505ZH CD Scanning Electron Microscope 1 100-200 mm good 01.06.1997

71633 JEOL JWS-7700 INSPECTION SEM 184435 JEOL 7505 METROLOGY 1 20036564 JEOL CD-SEM, JSM-6340F JEOL 184319 JET BW3000I PR STRIP-3(BW3001) 1 300 mm for spares use 01.06.200784325 JET BW3000F PR STRIP-3 1 300 mm for spares use 01.06.200664792 JET PROCESS CORP GW-01 Metal Deposition Tool 1 150mm, 200mm62630 Jetlight 9290 EEPROM Chip Eraser 177014 Jonas and Redmann SDB A AUTOMATED Loader for baccini Print Line 1 156 mm excellent 01.06.200656310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 1 156 mm and 125 mm excellent 01.06.200370118 Jordan Valley JVX 6200 X-ray reflectometer including small spot XRF 1 200 mm excellent 01.06.200767790 Juki FX-1 Pick and Placer 1 01.06.200361168 Juki KE-750L Placer 1 excellent78855 K & S MAXUM plus Wire Bonder 30 ASSEMBLY excellent 01.06.200550972 K & S 4123 Manual Wedge Bonder For Gold (0.5 to 3.0 mils) or Aluminum (1.0 - 4.0 mils)? Wire 1 excellent63602 K & S 366-1 Semi-Automatic Wafer Mounter 1 150mm51070 K & S 1470-4? Automatic Wedge Bonder 151074 K & S 4123 Manual Wedge Bonder For Gold (0.5 to 3.0 mils) or Aluminum (1.0 - 4.0 mils)? Wire 167493 K & S 1419 Automatic ball bonder 1 Assembly good50970 K & S 4122 Semi-Automatic and Manual Gold Single Point Tab and Standard Ball Bonder 151073 K & S 4122 Semi-Automatic and Manual Gold Single Point Tab and Standard Ball Bonder 177221 K & S/ MAT 6900 KNS/MAT 6900 Flip Chip Die Attachment System 118866 K AND S 98060-0000-001-01 Manual for Model 8060 automatic wedge bonder 179595 K Tech Engineering BK04A Blister tape applicator for microelectronic components 1 Assembly excellent 01.06.201061186 K&S 9388 Laser Pro Automatic Ball Attach System 156840 K&S 6497 Semi-Automatic Flip Chip Die Bonder with PRS 150448 K&S 8028 Wire Bonder 20 good 01.01.199933824 K&S 982-10 Dicing Saw with 10" XY Travel 1 excellent 01.06.199876349 K&S 4526 vertical feed wedge bonder for Ribbon or wire 167136 K&S 4526 4526 Wedge Bonder Vertical Feed Includes Calibrated Leica MZ6 Microscope 183520 K&S Maxum Ultra Wire Bonder 1 excellent 01.01.200867137 K&S 4524 K&S 4524 BALL BONDER 167157 K&S 642 The K&S 642 is an euctectic die bonder with heated workholder. 167158 K&S 643 The K&S 643 makes it possible to bond chips to hybrid packages without the risk of causing previously 167159 K&S 648 The K&S 648 bonder is an eutectic die attacher. 133630 K&S 1471 Wire Bonder, 2ea Available 133631 K&S 1472 Automatic Wedge Bonder 1

33633 K&S 6495 Semi-Automatic Epoxy Die Bonder with PRS 1 excellent33638 K&S 1470-4 Automatic Hybrid Wedge Bonder, 100mm x 100mm Travel 133639 K&S 1488 Turbo+ Automatic Gold Wire Bonder 1 excellent67445 K&S 775-4 6" COMPUTER CONTROLLED HIGH POWER DICING SAW, W/VIDEO MANGETIC CHUCK, CAN BE 153134 K&S 4124 BALL BONDER WITH NEGATIVE EFO INCLUDES HEATED WORK HOLDER AND MICROSCOPE 670294 K&S 8020 Ball Bonder 1 01.05.199767492 K&S 1419 Parts tool 167494 K&S 1470 Parts tool available 167495 K&S 1484 167496 K&S 4123 Wedge Bonder 167497 K&S 4124 167498 K&S 4129 vertical feed ultrasonic wedge bonder 167499 K&S 484 The K&S 484 is an ultrasonic wedge bonder. It uses wire up to 1.5 mil. 177008 K&S 984 Dicing Saw with 4 inch spindle 135571 K&S 6496 Semi-Automatic Die Attacher 150443 K&S / ADT 7100 AD Dicing Saw 1 200 mm excellent56832 Kaijo FB-700 Wire Bonder 179622 Kaijo FB880 Wire Bonding 1 Assembly 01.05.200979623 Kaijo FB880 Wire Bonding 1 Assembly 01.05.200979624 Kaijo FB880 Wire Bonding 1 Assembly 01.05.200984749 Kaijo RT-1030T-C PR strip wet bench 150975 Kaijo FB-118AF?? Gold Ball Thermosonic Bonder 1 01.03.199351078 Kaijo FB-118AF?? Gold Ball Thermosonic Bonder 1 01.03.199384231 Kalrez O-RING AS-568A o-ring seal 2 Spares good72194 Karl Suss MA150RSH Top and Botton Side Mask Aligner 185506 Karl SUSS MA150 Mask Aligner 1 150 mm 01.06.199585507 Karl SUSS MA150 Mask Aligner 1 150 mm 01.06.1994

85508 Karl SUSS MA200 Mask Aligner 1 200 mm 01.06.198959155 Karl Suss MA 150 Mask Aligner 1 excellent 01.06.199269915 Karl Suss MJB-21 Double Sided Mask Aligner 153027 Karl Suss MA150 mask aligner 1 150 mm excellent 01.06.199267365 Karl Suss MJB3 Front and backside alignment capability 350 watt lamp High resolution alignment for up to 3" wafers S 167366 KARL SUSS MA 45 MASK ALIGNER 167367 Karl Suss MA1006 MASK ALIGNER 1000 WATT POWER SUPPLY 1 MICRON RESOLUTION ON 6" WAFERS SPLIT FIELD1

69681 Karl SUSS MA 150 CC Mask Aligner 178157 Karl Suss MA 200 Mask Aligner 1 200 mm excellent 01.06.199377146 Karl Suss MA 25 EL Mask Aligner Top side and Bottom Side Alignment 184580 Karl Suss ACS200 Spray coater 167446 Karl Suss RA120M SCRIBE RANGE WITH SKIP IS 3X3" 173081 Karl Suss ACS 200 Spin Coater 1 150 mm excellent 01.06.199871079 Karl Suss MA45 Mask Aligner 172901 Karl Suss MJB3 Mask Aligner (350W) 369335 Karl Suss MA56 MASK ALIGNER 1 excellent86234 Karl Suss MA 150 Mask Aligner 1 150 mm excellent79856 Karl Suss PM5 Wafer Probe Station 0 6" Chuck9982 KARL SUSS RA120M Wafer Scriber 1 missing parts36539 KARLSUSS RC 16 PIN COATER 1 excellent67368 Kasper 2001 KASPER 2001 MASK ALIGNER ZEISS SPLIT FIELD OPTICS, 200 WATT LIGHT SOURCE CURRENT 174301 Kawasaki 30D63E-A002 Robot controller 160932 KE DD-803V PYRO 1 150 mm60933 KE DD-803V PYRO 1 150 mm60918 KE DJ813V CVD 160919 KE DJ813V Vertical low-pressure CVD 169762 KED 2000 Pneumatic Stencil Cleaner 176802 KEITHLEY 487 PICO AMMETER 1 Electronics Test and excellent85022 Keithley 590 CV MEASUREMENT 184272 Keithley S425 Parametric tester 1 TEST excellent53100 Keithley 2420 60W High-Current Sourcemeter 053101 keithley 2420 60W High-Current Sourcemeter 085369 Keithley 2430 CV characterization System 1 01.06.200679598 Keithley 617 Programmable Electrometer 1 Electronics Test and excellent67177 Keller ST 80 The Keller ST 80 is a die shear Digital readout with 80 transducer. 167529 Keller BT 30 1

56844 KELLER TECHNOLO BondTest 30 Wire Bond Pull Tester - Parts Tool Only 183854 Kensington 15-3702-1425-25 Robot - Fully refurbished 1 Robot refurbished 01.09.201362631 Kensington Scope 176333 Kensington Labs CSMT-4 Wafer Sorter 1 200 mm18761 Kensington Labs WFH4D TRI-CCD Wafer Handler w/ PA 1 ADE refurbished18762 Kensington Labs 25-3700-1425-06 25-3700-1425-06 1 AMAT CMP refurbished18764 Kensington Labs 25-3700-1425-08 25-3700-1425-08 1 AMAT STD refurbished18765 Kensington Labs WFH3C Wafer Handler IR/PA 1 excellent18766 Kensington Labs WFH3 Wafer Handler IR/PA 118767 Kensington Labs WFH3C Wafer Handler IR/PA 1 refurbished 01.06.199118768 Kensington Labs WFH4D Wafer Handler 1 ESI18769 Kensington Labs 25-3700-1125-02 Dual Paddle Robot 1 Equinox18770 Kensington Labs Dual Multi-link Dual Multi-link Robot 1 Gasonics18771 Kensington Labs Dual Multi-link Dual Multi-link Robot 1 Gasonics18772 Kensington Labs Dual Multi-link Dual Multi-link Robot 1 Gasonics18773 Kensington Labs 25-3700-1125-02 Dual Paddle Robot 1 Equinox18774 Kensington Labs 25-3700-1725-05 Dual Paddle Robot 1 Equinox35681 Kensington Labs CSMT-4 Wafer Sorter 135682 Kensington Labs CSMT-4 Wafer Sorter 1 excellent79563 Kensington Labs WFH4D TRI-CCD Robot with 4000D controller 1 excellent84388 KEYENCE FU-12 PHOTO SENSOR 4 new in box84392 KEYENCE PS SERIES PHOTO SENSOR 6 new in box84393 KEYENCE PS SERIES PHOTO SENSOR 1 new in box84394 KEYENCE PS SERIES PHOTO SENSOR 3 new in box83644 Keyence VH-7000 175X Digital HD Microscope 1 refurbished79207 Keysight (Agilent) 4156 Parametric Analyzer 1 test 01.06.200660971 KIHEVNG KLM-2000 ROUTER M/C 152201 KIMMON H-FRIM15-KDRN 184474 KIMMON VDK-200-AWCLM-STI DRYER 1 20052224 KLA LIGHT INTENSITY CONTROLLER AIT 1 153248 KLA 215805 TENCOR INDEXER 200mm TENCOR 6200 152225 KLA COLLECTION CHANNEL 315 DEGREES AIT 1 153249 KLA PMT & FIBRE OPTIC BUNDLE ASSY TENCOR 6200 152226 KLA LASER POWER SUPPLY AIT 1 153250 KLA OPTICAL ELEMENT PLATE WITH LASER SHUTTER ASSY TENCOR 6200 152227 KLA VAS BUFFET UNIT AIT 1 1

53251 KLA SCAN AXIS MOTOR TYPE 1 TENCOR 6200 152228 KLA AXIS MODULE AIT 1 152229 KLA AXIS MODULE AIT 1 153253 KLA PMT-10C N-3 PMT HIGH VOLTAGE POWER SUPPLY TENCOR 6200 154533 KLA BELOW WAFER MIRROR TENCOR 6200 152230 KLA MICROSCOPE BODY AIT 1 154534 KLA BELOW WAFER BEAM DUMP TENCOR 6200 152231 KLA AXIS DRIVE CONTROLLER AIT 1 153255 KLA WAFER SHUTTER ASSY RIGHTTENCOR 6200 TENCOR 6200 154535 KLA LASER POWER DETECTOR TENCOR 6200 152232 KLA LENS UNIT1 AIT 1 153256 KLA WAFER SHUTTER ASSY LEFT TENCOR 6200 152233 KLA MICROSCOPE TURRET WITH OPTICS AIT 1 154537 KLA 164437 PEAK PROCESSOR PCB PAIR TENCOR 6200 152234 KLA LENS UNIT 2 12 X ANAMORPHIC TELESCOPE AIT 1 152235 KLA LENS UNIT 3 DOUBLET 2 AIT 1 154539 KLA 361259 HAZE SEPERATOR PCB ASSY TENCOR 6200 152236 KLA LENS UNIT 4 SPATIAL FILTER AIT 1 154540 KLA 273163 PEAK PARTICLE AREA PROCESSOR PCB PAIR TENCOR 6200 152237 KLA LENS UNIT 5 DOUBLET 1 AIT 1 154541 KLA 239704 INSW INTERFACE PCB TENCOR 6200 152238 KLA LENS UNIT 6 12 X ANAMORPHIC TELESCOPE AIT 1 154542 KLA 238740 GPIO PCB TENCOR 6200 152239 KLA LENS UNIT 7 AIT 1 154543 KLA 502529 ANALOG PCB TENCOR 6200 152240 KLA LPM POWER MODULE AIT 1 154544 KLA 223670 ANALOG BOARD S6400 TENCOR 6200 152241 KLA CMP POWER MODULE AIT 1 152242 KLA KEYBOARD MOUSE JOYSTICK ASSY AIT 1 154546 KLA 198331 4 CHANNEL MOTOR CONTROLLER PCB TENCOR 6200 152243 KLA ESC-212 REV4.0 EQUIPE4 TECHNOLOGIES ESC-212 REV4 AIT 1 154547 KLA 188859 4 CHANNEL PWM MOTOR DRIVER PCB TENCOR 6200 152244 KLA CHUCK AIT 1 154548 KLA PT101458-04 EMULEX PCB TENCOR 6200 1

52245 KLA TENCOR 299650 & TECO DES 43 PNEUMATIC ASSY AIT 1 154549 KLA SMC NETWORK ADAPTER PCB TENCOR 6200 1

52246 KLA COLLECTION CHANNEL 45 DEGREES AIT 1 154550 KLA VGA MONITOR PCB TENCOR 6200 152247 KLA CHUCK STAGE ASSY AIT 1 154551 KLA 187151 BELOW WAFER DETECTOR PCB TENCOR 6200 152248 KLA DOOR WITH LINEAR PNEUMATICS AIT 1 152249 KLA TURRET WITHOUT OPTICS AIT 1 152250 KLA RC4260 LIGHT RECIEVER AIT 1 152251 KLA PNEUMATIC LENS UNIT AIT 1 152253 KLA 356662 PSF DRIVER AIT ASSY AIT 1 152254 KLA 100162 KBBD BREAKOUT S8 ASSY AIT 1 152255 KLA MINI CIRCUITS ASSY AIT 1 184000 KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122 1 SPARES excellent 01.02.199652256 KLA 4109-1 CRYSTAL TECK PIXEL PICKER AIT 1 152257 KLA PNEUMATIC REFLECTOR BLOCK AIT 1 152258 KLA LENS BLOCK AIT 1 152259 KLA MIRROR BLOCK AIT 1 152260 KLA ATM105-1-CE EQUIPE TECHNOLOGIES ROBOT ARM THERMAWAVE OPTIPROBE 2600 152261 KLA CMK2220 ONEAC POWER INTERFACE 2000 THERMAWAVE OPTIPROBE 2600 184527 KLA 2810 0 01.03.200852286 KLA 18-007283 POWER SUPPLY THERMAWAVE OPTIPROBE 2600 152287 KLA ESC-200 3 AXIS ROBOT CONTROLLER THERMAWAVE OPTIPROBE 2600 852288 KLA MC 18-010918 MAIN POWER SUPPLY THERMAWAVE OPTIPROBE 2600 152289 KLA 18-009858 LAMBDA ELECTRONICS LMS-6018 THERMAWAVE OPTIPROBE 2600 152290 KLA 18-010968 LOADER ROTATOR ROBOT THERMAWAVE OPTIPROBE 2600 134115 KLA 740-401-320 P-N 073-401-320 AIRLOCK 152291 KLA PCA 71-6457-03 COMPUMOTOR PC 23 ADAPTER THERMAWAVE OPTIPROBE 2600 134116 KLA AIT 1 Network Card 1 excellent

52292 KLA S.O.78824 COMPUMOTOR C-DRIVE THERMAWAVE OPTIPROBE 2600 152293 KLA P90W MITSUBUSHI P90 PRINTER THERMAWAVE OPTIPROBE 2600 134118 KLA Ceramic table 8" Ceramic Table & Misc Parts 152294 KLA 18-009941 AC TO DC POWER MODULE THERMAWAVE OPTIPROBE 2600 134119 KLA Misc Lens PCB 152295 KLA 18-009966 LAZER DRIVER THERMAWAVE OPTIPROBE 2600 152296 KLA 18-007240 POWER -ONE HCC 15-3-A THERMAWAVE OPTIPROBE 2600 1

34121 KLA 7700m robot Dist. PCB 1 excellent52297 KLA 21-006091 PARKER DC4 POWER SUPPLY THERMAWAVE OPTIPROBE 2600 1

34122 KLA 7700m Keyboard Assy 152298 KLA 18-013828 HARD DRIVE THERMAWAVE OPTIPROBE 2600 1

34123 KLA 7700m 253537 Rev A PCB Microscope Dist 152299 KLA 18-023437 POWER SUPPLY THERMAWAVE OPTIPROBE 2600 184300 KLA 710-661726-00 Random defect filter k la 21XX 1 Spares excellent34124 KLA 7700m Quartz chuck 152300 KLA 14-008645 ASSY IN-CIRCUIT TEST THERMAWAVE OPTIPROBE 2600 184301 KLA 710-658036-20 Alignment Processor board REV C3 1 Spares excellent84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 1 Spares excellent52302 KLA 14-007003 AUTO FOCUS AT DRIVER ASSY THERMAWAVE OPTIPROBE 2600 184303 KLA 710-658046-20 PRocessor Board REV E0 1 Spares excellent52303 KLA 14-009631 DIGITAL INTERFACE THERMAWAVE OPTIPROBE 2600 184304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 2 Spares excellent34128 KLA 7700m (Spares) p/n 156256 Rev C PCB Handler CPU 1 spares excellent52304 KLA 14-010502 EMULEX PCB THERMAWAVE OPTIPROBE 2600 184305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 2 Spares excellent52305 KLA 18-009376 CENTER FLAT FIND THERMAWAVE OPTIPROBE 2600 184306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 1 Spares excellent52306 KLA PC-23-NCM COMPUMOTOR PC-23-NCM THERMAWAVE OPTIPROBE 2600 184307 KLA 710-659412-00 Mass Memory PCB REV C0 1 Spares excellent34131 KLA 7700m Pittmann Motor 94337528 Microscope driver 152307 KLA DT5726 DATA TRANSLATION PCB THERMAWAVE OPTIPROBE 2600 184308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 1 Spares excellent34132 KLA 7700m 181137 Drive Assy PCB 1 excellent52308 KLA 14-010064 ANALOG PROCESSOR ASP THERMAWAVE OPTIPROBE 2600 184309 KLA 710-658086-20 PC Board, REV E0 1 Spares excellent52309 KLA 14-013582 ROCKY 548TX PROCESSOR BOARD THERMAWAVE OPTIPROBE 2600 1

34135 KLA 7700m 174203 Rev D PCB Flat finder pwd Driver 1 excellent52311 KLA 14-010187 SHUTTER CONTROL BOARD THERMAWAVE OPTIPROBE 2600 152313 KLA 14-010523 VGA DISPLAY CARD THERMAWAVE OPTIPROBE 2600 134138 KLA 7700m (spares) p/n 252948 Rev B Motor Lamp Drive SFS 76 1 SPARES excellent52314 KLA 14-011574 INTERFACE PCB THERMAWAVE OPTIPROBE 2600 154874 KLA P2 Long Scan Surface Profilometer 134139 KLA 7700m Front Panel PCB 1 excellent52315 KLA 14-023119 SCSI ADAPTER THERMAWAVE OPTIPROBE 2600 152316 KLA 14-012176 COMMUNICATION CARD THERMAWAVE OPTIPROBE 2600 1

52317 KLA 18-014554 AC POWER MODULE THERMAWAVE OPTIPROBE 2600 152318 KLA FULL OPTIC ASSY THERMAWAVE OPTIPROBE 2600 1

34143 KLA 7700m 181830 Rev D, National Instruments AT-GPIB/TNT 152319 KLA STAGE ASSY THERMAWAVE OPTIPROBE 2600 134144 KLA 7700m EMO Switch 152320 KLA 18-010422 LIGHT SOURCE THERMAWAVE OPTIPROBE 2600 184833 KLA Alphastep 200 Profiler Refurbished 1 refurbished34145 KLA 7700m Leadscrew and Servo Motor CMC MT2115-014DF 134147 KLA 7700m Mirror Assy with Fiber Optic 152326 KLA 655-650219-00 KLA TENCOR AUTOFOCUS COLLIMATING LENS 2135/ 2132 LOADER WITH MOTOR ASSY 2135 152328 KLA WAFER ROBOT AND CASSETTE MAPPER ASSY 2135 134154 KLA 7700m 201989 Concave Mirror 152330 KLA 200MM CHUCK 2135 152331 KLA MOTOR 740-651222-00 REV A3 F FILTER HOUSE ASSY WITH MOTOR 2135 184076 KLA 050-654234-00 Lamp Micro Line Filament w/ c lips 1 as new 01.10.199652332 KLA 655-652673-00 LINEAR ACTUATOR ASSY 2135 152333 KLA 655-680524-000 REV AC 655-680FILTER ASSY 2135 152334 KLA 655-656156-00 LINEAR ACTUATOR ASSY 2135 184335 KLA 8100 252335 KLA END EFFECTOR 2135 184336 KLA 8100 234160 KLA 7700m Detector Assy 152336 KLA 655-658899-00 LENS BLOCK 2135 184337 KLA AIT 234161 KLA 7700m Mouse & PCB 240C 1

52337 KLA 710-663424-000 KLA S.A.T. Random Defect Processor 2135 134162 KLA 7700m Convex Glass Plate 155922 KLA AIT XP Patterned Wafer Inspection 1 01.05.200134163 KLA 7700m two cables 7 brackets 134164 KLA 7700 Misc Bracket 134167 KLA 7700m Photomultiplier 131614 KLA 8100 PICOAMP 11 P/N 720-02964-000 231615 KLA 8100 (Spares) P/N 731-09404-047 Rev 2 1 spares new in box31616 KLA 8100 Plate Wafer P/N 731-08507-004 2 01.01.200131618 KLA 8100 Block, Pivot ,Keybd P/N 740-03389-000 1031619 KLA 8100 Bracket retainer keybd P/N 740-03390-000 10

31620 KLA 8100 Interface cable set P/N 810-09072-002 REV A 280261 KLA ATM-207-2-S-CE Robot 1 refurbished

82821 KLA OmniMap Auto RS35C resistiv ity measurement 1 6 01.06.199031621 KLA 8100 MCA Module P/N 720-02847-000 182822 KLA P-1 profilometer 1 6 01.06.199031622 KLA 8100 P/N 740-05635-000 REV A 231623 KLA 8100 T Piece P/N 471-07945-000 131624 KLA 8100 Ground Strap P/N 810-04308-005 1231625 KLA 8100 Ground Strap P/N 810-04308-004 1031626 KLA 8100 Bracket P/N 740-07893-000 131627 KLA 8100 P/N 740-05728-000 231628 KLA 8100 P/N 740-07892-000 Rev A 231629 KLA 8100 Flex Pipe 131630 KLA 8100 PCB 830-10172-000 Rev 3 131631 KLA 8100 Bracket P/N 740-05415-000 Rev A 131632 KLA 8100 Festo PU-3 Duo air Pipe 131633 KLA 8100 Plastic Disc 167476 KLA 2029 WAFER INSPECT/DEFECT DETECTOR. 1

76948 KLA RS 50e resistiv ity mapping system 167481 KLA Alphastep 200 Profiler 167482 KLA Alphastep 100 STYLUS PROFILOMETER FOR METAL THICKNESS MEASURMENT. 127802 KLA 720-05721000 Column Control Chassis for KLA 8100 3 good27803 KLA 8100 KLA 8100 SEM Part 740-03565-000 527804 KLA 486-33E Model 5V AST Computer for KLA 259 131645 KLA 259 Set of 4 Objectives 4

27807 KLA 720-05887-000 MCP Detector Control Chassis 3 spares good27809 KLA 259 (spares) Retic le inspection system Microscope Head & Msk Holders 1 SPARES good 01.06.199238841 KLA AIT 1 Spares 138843 KLA 2135 Spare Parts 138844 KLA AIT 2 Spares 169822 KLA Alpha Step 200 profilometer 14288 KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP 1 Spares4289 KLA 710-102570-02 AUTOFOCUS PRE-AMPLIFIER 2 Spares4290 KLA VLSI 845 DUPONT VERIMASK 1 Spares good 01.01.199084420 KLA AMRAY 4200 Inspection 1 200mm53189 KLA 710-650044-20 KLA DD ASSY 2135 1

53190 KLA 710-678525-00 KLA DF ASSY 2135 153192 KLA 710-658807-00 KLA VIF 2135 1

53193 KLA 710-679375-001 KLA DP ASSY 2135 153194 KLA 710-658956-00 MASS MEMORY 2 2135 153195 KLA 710-658909-001 KLA XYI ASSY 2135 1

53197 KLA 710-660800-00 KLA MSC3 ASSY 2135 153198 KLA 710-664601-000 KLA ROBOT PCB 2135 1

53199 KLA 710-651090-20 KLA OPTICS INT. ASSY 2135 153200 KLA 710-679958-00 BASEFLEX EMC 2135 153201 KLA 710-657068-20 KLA PULSE SENSOR PREAMP ASSY 2135 153202 KLA 710-678272-00 FLOW VALVE POWER AMP ASSY 2135 153203 KLA 740-695511-000 PLLAD ASSY 2135 153204 KLA 665-680090-00 PS 14 +5 VDC 2135 153205 KLA PS 10 +5 VDC ANALOG 2135 153206 KLA PS 11 -5VDC ANALOG 2135 153207 KLA PS 13 +18VDC ANALOG 2135 153208 KLA PS 16 -5.2 VDC 2135 153209 KLA PS 15 -12 VDC 2135 1

53210 KLA PS 12 +120 VDC 2135 153211 KLA 730-679836-00 CABLE IMAGE DATA 1B PLLAD 2135 153212 KLA 730-679835-00 CABLE IMAGE DATA 1A PLLAD 2135 153213 KLA 730-679837-00 CABLE IMAGE DATA 2A PLLAD 2135 153214 KLA 730-679840-00 CABLE IMAGE DATA 3B PLLAD 2135 153215 KLA 730-679838-00 CABLE IMAGE DATA 2B PLLAD 2135 153216 KLA 730-679839-00 CABLE IMAGE DATA 3A PLLAD 2135 153217 KLA 730-679834-00 CABLE IMAGE DATA OB PLLAD 2135 153218 KLA 730-679833-00 CABLE IMAGE DATA OA PLLAD 2135 153219 KLA 730-652095-01 CABLE FLEX 80 POSITION 22" 2135 153220 KLA 730-651209-01 CABLE FLEX 40 POSITION 22" 2135 153221 KLA 730-652095-02 CABLE FLEX 80 POSITION 16" 2135 153222 KLA 730-651209-02 CABLE FLEX 40 POSITION 16" 2135 153223 KLA 740-693022 ROBOT 2135 153224 KLA ATM-207-2-S-CE PRI EQUIPE ROBOT AIT2 1 excellent53225 KLA 545473 TECO PNEUMATIC PANEL AIT2 152202 KLA 285714 DATA PROCESSING ASSY AIT 1 153226 KLA 390500 POWER ASSY LMP AIT2 152203 KLA 294365 WAFER APS ASSY AIT 1 152204 KLA 289787 TIMING GENERATOR AIT 1 1

52205 KLA 285293 GP10 S8000 ASSY AIT 1 153229 KLA 525227 VIDEO MULTIPLIER ASSY AIT2 152206 KLA 363251 4 CHANNEL MOTOR CONTROL AIT 1 1

53230 KLA 505269 WAFER ALIGNER ASSY AIT2 152207 KLA 261408 4 CHANNEL MOTOR CONTROL AIT 1 1

53231 KLA 2854-22 PMT BERTAN HIGH VOLTAGE SUPPLY AIT2 182672 KLA 2132 bright-field WAFER INSPECTION 1 200mm good52208 KLA 317195 ROBOT DISTRIBUTION ASSY AIT 1 153232 KLA 530573 200MM CHUCK AIT2 184209 KLA 21xx computer KLA 21xx computer 1 excellent52209 KLA 317416 CPU BOARD AIT 1 1 excellent53233 KLA 542440 CPU PCB ASSY AIT2 152210 KLA U500 ULTRA AIT 1 153234 KLA 371343 6 PCI BACKPLANE AIT2 1

52211 KLA MRV2/VID AIT 1 177044 KLA Alpha Step 500 Surface Profiler 1 01.05.2001

52212 KLA 328014 CRADLE PWR AIT 1 153236 KLA 530352 ROBOT DISTR AIT2 152213 KLA 284718 ANALOG ASSY AIT 1 153237 KLA 373583 ANALOG AIT2 AIT2 152214 KLA 369470 ILLUMINATION MODULE AIT 1 153238 KLA 188859 4 CHANNEL PWM MOTOR DRIVE AIT2 152215 KLA 188859 4 CHANNEL PWM MOTOR DRIVE ASSY AIT 1 153239 KLA 328014 CRADLE PWR AIT AIT2 152216 KLA 294420 MOTOR DIST S8000 ASSY AIT 1 153240 KLA ES13497 U500 ULTRA MOTION SYSTEM PCB AIT2 152217 KLA 285315 DISTRIBUTION S8000 ASSY AIT 1 153241 KLA 538590 VGA CORONA/8/E PCB AIT2 352218 KLA 327484 NET CARD AIT 1 153242 KLA 363251 4 CHANNEL MOTOR CONTROLLER PCB AIT2 152219 KLA 327450 FASTCOM 4W CARD AIT 1 153243 KLA 261408 4 CHANNEL MOTOR CONTROLLER PCB AIT2 152220 KLA ROBOT AIT 1 153244 KLA FASTCOM 232/8-ISA 8 CHANNEL RS 232 INTERFACE AIT2 152221 KLA WAFER READER AIT 1 153245 KLA 214752 AC MODULE TENCOR 6200 152222 KLA PMT HIGH VOLTAGE SUPPLIES AIT 1 152223 KLA LIGHT SOURCE AIT 1 153247 KLA SCAN ACCESS ASSY TENCOR 6200 1

34117 KLA -TENCOR 7700 CASSETTE PLATE + PCB 8" 1 SPARES excellent 01.09.199534126 KLA -Tencor 7700m (Spares) Mirror Curved 1 SPARES excellent

34137 KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75 1 SPARES excellent83562 KLA -TENCOR 770O M CONCAVE MIRROR 201969 1 ASSY excellent

83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM 1 SPARES new unused 01.06.199883573 KLA INSTRUMENTS 720-0588-000 CONTROLLER CHASSIS 1 230 WATTS excellent 01.02.199783897 KLA TENCOIR 7700 AER DASI-POT 1 SPARES excellent 01.09.199560935 KLA Tencor Archer 10XT Overlay measurement 1 200 mm good60947 KLA Tencor 2138-IS 1 01.06.199960950 KLA Tencor FLX-2908 160951 KLA Tencor 5100? 1

60952 KLA Tencor AIT 160953 KLA Tencor 2135 brightfield wafer defect inspection 1 200 mm good 01.06.1996

55327 KLA TENCOR 2135 Metrology Equipment, Wafer Inspection Equipment 1 200mm 01.08.199755334 KLA TENCOR 5300 Metrology Equipment, Overlay Measurement System 1 200mm 01.05.2011

60976 KLA Tencor ALPHA-STEP 200 184275 KLA Tencor 5105 Overlay Measurement 1 good55365 KLA TENCOR Surfscan-6200 Metrology Equipment, Surface Analyzer 1 200mm55368 KLA TENCOR Surfscan-6200 Metrology Equipment, Surface Analyzer 1 200mm 01.11.199455371 KLA TENCOR Surfscan-6420 Metrology Equipment, Surface Analyzer 1 200mm55373 KLA TENCOR Surfscan-6420 Metrology Equipment, Surface Analyzer 1 200mm 01.05.199579440 KLA Tencor SP1 TBI DARKFIELD SURFACE INSPECTION SYSTEM 1 200 mm excellent 01.03.200134140 KLA TENCOR 7700M COMPUTER HARD DISK 1 excellent83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 good83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 1 SPARES excellent 01.02.199783574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 1 150 WATTS excellent 01.02.199783577 KLA TENCOR 7700 M MODEL 2214-30SLOTT 1 D1,D2,D3 excellent 01.09.199831612 KLA TENCOR 8100 PART 740 05584 000 C CONNECTOR INTERFACE 3 excellent83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 1 230 WATTS excellent 01.02.199767483 KLA Tencor Sigmascan STYLES PROFILOMETER 183614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp power supply 1 SPARES good27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 1 excellent77220 KLA Tencor UV-1080 KLA Tencor UV-1080: 200mm 121670 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 1 SPARES good 01.02.199621671 KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY 1 SPARES good 01.02.199683895 KLA TENCOR 710-410 PIN DIODE PRE AMP. 1 SPARES excellent 01.06.199283896 KLA TENCOR 7700 HEDS-6310 522G 1 SPARES excellent83898 KLA TENCOR 7700 REV C OPTICAL 1 SPARES excellent

83899 KLA TENCOR 259 OPTICAL 2 SPARES good83900 KLA TENCOR 7700 SPARES 1 SPARES excellent

83901 KLA TENCOR 7700 SPARES 1 SPARES excellent38845 KLA TENCOR 6200 Spare Parts 177258 KLA Tencor AIT II KLA-Tencor AIT II: 150mm 132230 KLA TENCOR SFS6400 MECHANICAL CALIBRATWAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE 1 MANUAL excellent32231 KLA TENCOR Surfscan 64X0 Calibration Proced Surfscan 64X0 Calibration Procedure 1 MANUAL excellent32232 KLA TENCOR surfscan 64XX optical alignment WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE 1 MANUAL excellent32233 KLA TENCOR SFS6x00 MECHANICAL CALIBRATWAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE 1 MANUAL excellent27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem 3 SPARES good34149 KLA-TENCOR 242163 Rev B PCB ADC-PFE Inte PCB FOR KLA 7700M 1 spares excellent

86107 KLA-Tencor Archer AIM+ Wafer Overlay Measurement 1 300 mm excellent 01.06.200679104 KLA-Tencor 0255764 000 FRU,OLD MIRR,90 DEG,SP2 1 Spares

79105 KLA-Tencor 0253311 000 ASSY,SERVO MIRROR,SAPPHIRE,BBS,EC,SP2 1 Spares79106 KLA-Tencor 0253453 000 FRU,CHANGER ASSY,QTR/HALF WAVE,EC,SP2 1 Spares79107 KLA-Tencor 0214553 001 FRU,CHANGER ASSY,QTR/HALF WAVE,SP2 1 Spares79108 KLA-Tencor 0253458 000 FRU,ROTATOR ASSY,HALF WAVE,EC,SP2 1 Spares79109 KLA-Tencor 0253755 000 FRU,BSC ASSY,NRML/OBL CHNL,EC,SP2 1 Spares79111 KLA-Tencor 0253456 000 FRU,MIRROR,PICK OFF,QC1,EC,SP2 1 Spares79112 KLA-Tencor 0278802 000 FRU,MIRROR,PICK OFF,QC,EC,SP2 1 Spares64776 KLA-Tencor 2351 WAFER INSPECTION 1 300 MM excellent 01.05.200279113 KLA-Tencor 0259855 000 FRU,L1A,L1B,L1 L2 ASSY,EC,SP2 1 Spares79114 KLA-Tencor 0220038 001 FRU,L1A,L1B,L1 L2 ASSY,SP2 1 Spares31242 KLA-Tencor 5100 XP registration tool / overlay measurement 1 200 mm excellent 01.04.199979115 KLA-Tencor 0108009 002 L1/L2/SPATIAL FILTER ASSY,SP2 1 Spares79116 KLA-Tencor 0065817 001 MIRROR,DUMP,BPS,SP1 UV 1 Spares84492 KLA-TENCOR ULTRA METROLOGY 1 20079117 KLA-Tencor 0214580 001 BPS ND FILTER ASSEMBLY 1 Spares79118 KLA-Tencor 0092493 001 PHOTO MULTIPLIER TUBE,SP2 1 Spares79120 KLA-Tencor 0271477 000 FRU,HWP,NOR ISO,EC,SP2 1 Spares79121 KLA-Tencor 0259856 000 FRU,QWP,NOR ISO,EC,SP2 1 Spares79122 KLA-Tencor 0220032 003 FRU,QWP,NOR ISO,INTEL,SP2+ 1 Spares79123 KLA-Tencor CHAMBER PRESSURE MONITOR 1 Spares79124 KLA-Tencor 0023892 000 VACUUM CHUCK FOR 200MM AND 300MM WAFER 1 Spares79125 KLA-Tencor 0102491 000 MOTOR ASSY,BALL SCREW,X STAGE,SP2 1 Spares79126 KLA-Tencor 0085136 002 CENTERING DEVICE MOTOR,Y AXIS 1 Spares

86038 KLA-Tencor AIT UV++ DARKFIELD PATTERN INSPECTION 1 300 mm79127 KLA-Tencor 0024053 001 MOTOR ASSY,Z AXIS,ECWA,300MM 1 Spares86039 KLA-Tencor AIT-XP PLUS PATTERNED WAFER INSPECTION, DARK FIELD 1 300 mm79128 KLA-Tencor 0094103 001 MODULAR MAIN LOW VOLTAGE POWER SUPPLY 1 Spares86040 KLA-Tencor SCD-XT SCATTEROMETRY METROLOGY TOOL, NC 1 300 mm79129 KLA-Tencor 0214561 001 NORMAL INCIDENT AUTOCAL OD FILTER CHANGED ASSEMBLY 1 Spares86041 KLA-Tencor SL3UV-URSA RETICLE INSPECTION 1 300 mm79130 KLA-Tencor L5 ASSEMBLY OBLIQUE 1 Spares86042 KLA-Tencor SL586H-T3 RETICLE INSPECTION 1 Retic le79131 KLA-Tencor L5 ASSEMBLY NORMAL 1 Spares79132 KLA-Tencor SP2 OPTICS DECK PURGE KIT 1 Spares79133 KLA-Tencor 0212131 000 FILTER CHGR ASSY,THK,OD,DARKFIELD,SP2 1 Spares79134 KLA-Tencor 0212130 000 CAROUSEL ASSY,THK,ND FILTER,DFW,SP2 1 Spares79135 KLA-Tencor 0096808 000 FILTER CHANGER ASSY DFW,SP2 1 Spares84255 KLA-Tencor 6220 wafer partic le inspection, as-is 1 good 01.01.199985023 KLA-Tencor 2132 Optical Inspection 1 200 mm 01.06.199579136 KLA-Tencor 0220037 001 SP2 NORMAL CHANNEL M1/M2 MIRROR 1 Spares85024 KLA-Tencor 2132 Optical Inspection 1 200 mm 01.06.199679137 KLA-Tencor 0220037 003 FRU,MIRROR,M1/M2,NORMAL,INTEL,SP2+ 1 Spares85025 KLA-Tencor 5300 Overlay Measurement 1 200 mm 01.12.200785026 KLA-Tencor 8100XP Critical Dimension Scanning Electron Microscope 1 200 mm 01.12.199953026 KLA-Tencor MT E2610-177EP Complete lead screw and stepping motor 1 spares excellent85027 KLA-Tencor AIT Surfscan Partic le Counters 1 200 mm 01.06.199985028 KLA-Tencor AIT XUV Surface partic le measurement 1 300 MM 01.06.200685029 KLA-Tencor CRS1010 Wafer Inspection Microscopes 1 200 mm 01.06.199785030 KLA-Tencor ES31 Scanning Electron Microscope 1 300 MM 01.06.200485031 KLA-Tencor ES32 Scanning Electron Microscope 1 300 MM 01.06.200785032 KLA-Tencor es810 Scanning Electron Microscopes 1 300 MM 01.09.201485033 KLA-Tencor es810 Scanning Electron Microscopes 1 300 MM 01.09.2014

85034 KLA-Tencor INS3300 Wafer Inspection Microscopes 1 300 MM 01.06.200584523 KLA-Tencor RS 55 (TC) Resisitiv ity Measurement 1 150 MM AND 200 MM good 01.06.199785035 KLA-Tencor LMS IPRO5 Photomask registration and CD Metrology system 1 retic le 01.06.201253035 KLA-Tencor 2132 Wafer chuck, 8" 1 spares excellent85036 KLA-Tencor OP-2600 Interferometers 1 200 mm 01.06.200082221 KLA-Tencor Aleris HX Thin Film Metrology 1 200mm, 300mm good 01.06.200685037 KLA-Tencor RS 55 Resistiv ity Measurement 1 200 mm 01.06.1994

85038 KLA-Tencor RS55 Resistiv ity Measurement 1 200 mm 01.06.199485039 KLA-Tencor UV 1050 FTIR surface film measurement 1 200 mm 01.06.199579152 KLA-Tencor 6420 Surface Partic le Detection System 1 200 mm refurbished 01.06.199855862 KLA-TENCOR UV 1080 UV Film Thickness Tool, for up to 200mm Wafers 183777 KLA-Tencor ARCHER10XT Overlay measurement 1 300 mm good 01.11.200384034 KLA-Tencor SP2 wafer surface inspection system 1 300 mm good 01.02.200676358 KLA-Tencor 710-039524-01, rev C PCB from KLA 259 retic le inspection system 1 parts excellent84299 KLA-Tencor SP2 XP Wafer surface partic le detection 1 300 mm excellent 01.02.201434125 KLA-Tencor 7700m (spares) Mirror Assy Flat 1 spares excellent34127 KLA-Tencor 7700m (Spares) p/n 186392A PCB Controller Handler 1 spares excellent34129 KLA-Tencor 7700m (Spares) p/n 172502-F PCB Universal Handler 1 SPARES excellent34130 KLA-tencor 7700m (Spares) 18458 Rev B $ CH Motor Control 1 SPARES excellent80213 KLA-Tencor 6220 wafer partic le inspection 1 good80214 KLA-Tencor 6420 wafer partic le inspection 1 good84054 KLA-TENCOR 860A MOTORIZER S3732 2 excellent34134 KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical 1 spares excellent34136 KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB 1 SPARES excellent34905 KLA-TENCOR AIT XP Fusion Darkfield defect inspection system 2 300 mm excellent 01.06.200264089 KLA-Tencor RS-35A RESISTIVITY MEASUREMENT 1 100 mm to 200 mm excellent4958 KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE 2 FACILITIES good34142 KLA-Tencor 7700m and FLX 184527 Rev H Robot parts Assy 1 spares excellent 01.06.19964959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132 1 200 mm excellent34148 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB 1 spares excellent34150 KLA-Tencor 7700m 242163 Rev B PCB ADC-PFE Interface S76 1 spares excellent34152 KLA-Tencor 7700m (spares) p/n 213780 Rev C PCB MASK SFS 1 spares excellent67945 KLA-TENCOR ES30 E-BEAM DEFECT INSPECTION 1 200 MM good 01.12.200278697 KLA-Tencor INS3300 MICROSCOPE INSPECTION STATION 1 300 MM 01.06.2006

34153 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror 1 SPARES excellent66414 KLA-Tencor Archer 10XT overlay measurement 1 200 mm good

79214 KLA-Tencor Aleris 8350 Thin Film Measurement System 1 300 mm good 01.06.201079726 KLA-TENCOR ES20 e-beam defect inspection system 1 200 mm excellent34165 KLA-TENCOR 3005503 OPTICAL ASSY 1 excellent84086 KLA-TENCOR ASSY.CBL.GND EATHING STRAP 14 as new 01.06.200034166 KLA-TENCOR 7700M POSITIONING LASER 1 excellent84087 KLA-TENCOR DMO 9-6 SPARES 1 excellent84088 KLA-TENCOR LENS 1 excellent84089 KLA-TENCOR 7600M ACTUATOR ASSY 1 WFR DR. S 7600 excellent 01.03.199885370 KLA-Tencor AIT Dark field inspection 1 150 mm

Page 8: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

84091 KLA-TENCOR 7700M 4-CHANNEL PWM MOTOR DRIVE ASSY 1 excellent85371 KLA-Tencor AIT FUSION XUV Dark field inspection 1 300 mm84092 KLA-TENCOR 655-6500504-00 CHUCK 200 MM(8*),2132 185372 KLA-Tencor AITUV Dark field inspection 1 200 mm 01.06.200283837 KLA-TENCOR 1 SPERES excellent84093 KLA-TENCOR 259 MASK MICROSCOPE 1 good85373 KLA-Tencor AITUV Dark field inspection 1 200 mm 01.06.200331613 KLA-Tencor 8100 (Spares) Bracked Lift Keyboard KLA Part 740-03393-000 5 spares excellent85374 KLA-Tencor Aleris Thickness measurement 1 300 mm 01.06.200885375 KLA-Tencor Archer 10 AIM+ Overlay 1 300 mm 01.06.200285376 KLA-Tencor Archer 10XT Overlay 1 300 mm 01.06.200874625 KLA-Tencor 2410 STRESS MEASUREMENT 1 200 MM

85377 KLA-Tencor Archer AIM MPX Overlay 1 300 mm 01.06.200585378 KLA-Tencor Archer AIM+ OVERLAY 1 300 mm 01.06.2006

85379 KLA-Tencor Archer XT+ Overlay 1 300 mm 01.06.200576676 KLA-TENCOR AIT XP FUSION Dark Field WAFER PARTICLE DETECTION 1 200 mm excellent 01.10.2002

85380 KLA-Tencor Caliper Elan OVERALY 1 200 mm76677 KLA-TENCOR AIT FUSION Dark Field WAFER PARTICLE DETECTION 1 200 mm excellent 01.06.200285381 KLA-Tencor EDR5210 Defect Review SEM 180262 KLA-Tencor SP3 wafer inspection system 1 excellent85382 KLA-Tencor EDR5210 METRO 1 300 mm 01.06.201085383 KLA-Tencor HRP340 Profiler 1 300 mm 01.06.200585384 KLA-Tencor INM100+INS10 Metrology 1 150 mm

76681 KLA-TENCOR AIT UV Dark Field WAFER PARTICLE DETECTION 1 200 mm excellent 01.01.200385385 KLA-Tencor INS3300G1 Optical Review 1 300 mm 01.06.200176682 KLA-TENCOR AIT XUV Dark field wafer partic le inspection system 1 200 mm excellent 01.10.200385386 KLA-Tencor KLA2133 Metrology 1 150 mm85387 KLA-Tencor KLA2370IS Bright field inspection 1 300 mm 01.06.200276684 KLA-TENCOR P20H PROFILOMETER 1 200 mm excellent 01.04.199685388 KLA-Tencor KLA2552 Data review station 1 200 mm 01.06.199685389 KLA-Tencor KLA2830 Bright field 1 300 mm 01.06.201085390 KLA-Tencor KLA5200XP Overlay 1 150 mm27790 KLA-Tencor 259 (Spare parts) PCBs for retic le inspection system 1 excellent85391 KLA-Tencor KLA5200XP Overlay Measurement 11680 KLA-TENCOR 2132 bright-field WAFER INSPECTION 1 200 mm excellent 01.08.199574640 KLA-Tencor 6420 Surface Analyzer 1 200 01.07.199585392 KLA-Tencor LDS3300M Defect Review Microscope 1 200 mm85393 KLA-Tencor P11 Profiler 1 200 mm85394 KLA-Tencor Puma 9000S Dark field inspection 174643 KLA-Tencor 710-013838-00 Rev 1 PCB Universal Video Mux 1 spares 01.06.199376947 KLA-Tencor RS 55 Resisitiv ity Measurement 1 150 mm and 200 mm good85395 KLA-Tencor Puma 9130 Dark field inspection 1 300 mm 01.06.200585396 KLA-Tencor SP2XP Partic le counter 1 300 mm 01.06.20141691 KLA-TENCOR 259 (spare parts) Retic le Inspection - SPARE PARTS 1 up to 7 inch excellent 01.12.199127806 KLA-Tencor TFE Gun Controller Gun Controller Chassis Part No 720-05888-000 2 Spares good33694 KLA-TENCOR Surfscan 7700 Patterned Wafer Surface Inspection Tool 133695 KLA-TENCOR Surfscan AIT Patterned Wafer Surface Inspection Tool 133696 KLA-TENCOR Surfscan AIT 8020 Patterned Wafer Surface Inspection Tool with Autofocus Review 186180 KLA-TENCOR AIT-UV WAFER PARTICLE INSPECTION 1 200 mm 01.06.200283621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM 1 0,4-0,7 MPa new unused 01.06.199886181 KLA-TENCOR AIT-XP WAFER PARTICLE INSPECTION 1 200 mm83622 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM 1 SWAGELOK 152086 excellent 01.06.2000

18598 KLA-TENCOR 5015 Spare Parts 1 150 mm good 01.01.199186182 KLA-TENCOR ARCHER 10XT OPTICAL OVERLAY MEASUREMENT 1 300 mm 01.06.200383623 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM 1 spares new unused 01.06.200018599 KLA-Tencor 710-401249-01 DRIVE BOARD 1 Rev. F excellent 01.06.199286183 KLA-TENCOR KLA2370 (BLAZER) BRIGHTFIELD WAFER INSPECTION 1 200 mm 01.06.200218600 KLA-Tencor 710-401249-01 Driver Board 1 Rev. F excellent 01.06.199264168 KLA-Tencor 2131 Wafer Defect Inspection 1 200mm good 01.06.199518602 KLA-Tencor 750-40426.. BIT 3 COMPUTER COP 1 01.06.199218603 KLA-Tencor 710-401536-00 ASSY NO 401536 00 ENCODER INTERFACE 1 01.06.199218604 KLA-Tencor 710-401249-00 Driver Board 1 Rev. E excellent 01.06.199218605 KLA-Tencor 710-404146-00 ASSY BOARD 1 Rev. A 01.06.199283630 KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM 1 spares new unused 01.12.200018606 KLA-Tencor 750-400159-00 MATROX VIP 1024 1 Rev. A good 01.06.199218607 KLA-Tencor 730-400083-00 PZT CONTROLLER 1 Rev. G excellent 01.06.199218608 KLA-Tencor 712-404056-00 ASSY BOARD 1 Rev. B excellent 01.06.199218609 KLA-Tencor 710-400412-00 ASSY BOARD 1 Rev. K 01.06.199218610 KLA-Tencor 712-404056-00 ASSY BOARD 1 Rev. B 01.06.199283635 KLA-Tencor 2xx (SPARES) CCD TV camera for retic le inspection system 1 SPARES excellent18611 KLA-Tencor 750-400339-00 ASSY BOARD 1 Rev. H excellent 01.06.199218612 KLA-Tencor 073-401-320 AIRLOK 1 01.06.199236532 KLA-TENCOR 2135 brightfield wafer defect inspection 1 200 mm good 01.06.200036533 KLA-TENCOR 2135 Brightfield Wafer Inspection 1 200 mm good 01.06.199618614 KLA-Tencor POWER SUPPLY LAMBDA 1 Rev. A 01.06.199236534 KLA-TENCOR 2552 DATA ANALYSIS STATION Model - 2552 1 200mm excellent 01.04.199818615 KLA-Tencor 851391-101 LH RESEARCH 1 REV.B 01.06.199218871 KLA-TENCOR 546860-27 Operation manual 136535 KLA-TENCOR 2552 DATA ANALYSIS STATION Model - 2552 1 200mm good 01.06.199618872 KLA-TENCOR 563234-27 Reference manual 136536 KLA-TENCOR 6220 1 good18873 KLA-TENCOR 990-039410-00 210 e-series theory 174682 KLA-Tencor Starlight SL3-UV HR URSA Retic le inspection system 1 retic le excellent 01.06.199918874 KLA-TENCOR 905-664046-000 25x2 analysis workstation 184410 KLA-TENCOR 7700M SENSOR OPTICAL 1 SPARES excellent83643 KLA-Tencor RIBBON CABLE 2 SPARES excellent18875 KLA-TENCOR 563226-27 Software version 5.0 manual 184411 KLA-TENCOR 7700M SENSOR OPTICAL 1 SPARES excellent

84156 KLA-TENCOR SP1 TBI Darkfield Wafer Inspection 1 300 mm excellent 01.12.200218876 KLA-TENCOR 546879-27 Software version 4.1 manual 184412 KLA-TENCOR 7700M SPARES 1 excellent83645 KLA-Tencor 2xx (SPARES) Control Paddles for retic le inspection system 2 spares good84157 KLA-TENCOR SP2 Darkfield Wafer Inspection 1 300 mm excellent 01.12.200418622 KLA-Tencor 750-404260 BIT 3 COMPUTER COP 1 good 01.06.199285953 KLA-Tencor AIT XP Parts/Options 1 200 mm85954 KLA-Tencor AIT XP Parts/Options 1 200 mm85955 KLA-Tencor Archer AIM+ Overlay Measurement System 1 300 mm85956 KLA-Tencor Archer AIM+ Overlay Measurement System 1 300 mm85957 KLA-Tencor Archer AIM+ Overlay Measurement System 1 300 mm85958 KLA-Tencor Puma 9000D Darkfield Inspection 1 300 mm85959 KLA-Tencor Puma 9000D Darkfield Inspection 1 300 mm18632 KLA-Tencor 073-401-320 AIRLOK 1 01.06.199285960 KLA-Tencor Quantox XP In-Line Electrical Monitoring and Characterization 1 300 mm18634 KLA-Tencor POWER SUPPLY LAMBDA 1 Rev. A 01.06.199218635 KLA-Tencor 851391-101 LH RESEARCH 1 REV.B 01.06.199271628 KLA-TENCOR 6200 WAFER DEFECT INSPECTION 153196 KLA-Tencor 710-661726-00 KLA S.A.T. RANDOM DEFECT FILTER 2135 1 SPARES excellent71629 KLA-TENCOR 2552 WAFER DEFECT INSPECTION 136557 KLA-TENCOR 6200 1 01.06.199771630 KLA-TENCOR 2132 WAFER DEFECT INSPECTION 183918 KLA-TENCOR 7700m PARTS 1 SPARES excellent36558 KLA-TENCOR 6200 1 01.06.199771631 KLA-TENCOR 2132 WAFER DEFECT INSPECTION 182895 KLA-Tencor 2139 Wafer Inspection Equipment 1 200 good 01.06.199784687 KLA-TENCOR 6220 Wafer Partic le inspection 1

36559 KLA-TENCOR 3800C 1 01.06.200071632 KLA-TENCOR 2122 WAFER DEFECT INSPECTION 1 200 mm excellent79056 KLA-TENCOR AIT-XP Wafer partic le measurement 1 200 mm82896 KLA-Tencor 5300 Overlay Measurement System 1 200 good 01.06.200184688 KLA-TENCOR 6420 Wafer Partic le inspection 136560 KLA-TENCOR AIT 1 01.06.199779057 KLA-TENCOR AIT-XP Wafer partic le measurement 1 200 mm

79825 KLA-Tencor EV 300 Scanning Electron Microscope with Oxford EDX 1 excellent 01.06.200215057 KLA-Tencor FT-750 Film Thickness Inspection System 182897 KLA-Tencor 5300 Overlay Measurement System 1 200 good 01.06.200184177 KLA-Tencor HRP-340 High Resolution Profiler 1 200 mm excellent 01.05.200184689 KLA-TENCOR 6420 Wafer Partic le inspection 136561 KLA-TENCOR AIT 1 01.06.199982898 KLA-Tencor ICOS HM-200 Wafer Handler 4 150 good 01.06.199684690 KLA-TENCOR eS20XP Inspection SEM 182899 KLA-Tencor ICOS WI-2000 Wafer Inspection Equipment 8 150 good84691 KLA-TENCOR FLX-5400 Auto TF Stress Measure 182900 KLA-Tencor Viper 2401 Wafer Inspection Equipment 1 200 good 01.06.200036565 KLA-TENCOR FILM THICK, LTS-M/SP 136567 KLA-TENCOR M-GAUGE 300 RESISTANCE MEASUREMENT 1 good83929 KLA-TENCOR MCA MODULE POWER SUPPLY 1 excellent83930 KLA-TENCOR PICOAMP II POWER SUPPLY 2 excellent77532 KLA-Tencor Archer 10 Overlay Measurement System 1 20083932 KLA-TENCOR 7700m OPTICS 1 SPARES excellent 01.12.198536575 KLA-TENCOR SFS-AIT PATTERNED WAFER INSPECTION 1 01.01.199779847 KLA-Tencor 2915 inspection and metrology 1 300 mm excellent 01.06.201282153 KLA-Tencor Aleris 8360 Thin Film Metrology 1 300 mm good 01.06.201053227 KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2 1 SPARES excellent 01.12.198970127 KLA-Tencor AIT UV PATTERNED WAFER INSPECTION 0 200mm good83698 KLA-Tencor AIT XP+ Darkfield Inspection 1 8"58866 KLA-Tencor Ultrapointe CRS-1010 Mask Inspection System 1 200 mm82931 KLA-Tencor ALERIS CX Thin Film charaterization / Spectroscopic Ellipsometer 1 300 mm excellent 01.09.200779092 KLA-Tencor 0235019-000 Laser head, UV, 355 nm, 50 mW, T sesam mo 1 spares good83700 KLA-Tencor FLX 2908 METRO 1 8" good79093 KLA-Tencor 0113630-000 Laser head, UV, 355 nm, 50 mW, T sesam mo 1 spares good79094 KLA-Tencor 0092416-001 Power supply w/diode, uv laser, J40 55 mw 1 spares good

83702 KLA-Tencor AMRAY 4200 Review SEM 1 8"79095 KLA-Tencor 0253452-000 FRU, Atteniator assy, half-wave, EC, SP2 1 spares good84215 KLA-TENCOR 259 ASSY181830 1 REV.D excellent79096 KLA-Tencor 0220037-001 SP2 NORMAL CHANNEL M1/M2 MIRROR 1 spares good83704 KLA-TENCOR Optiprobe 2600DUV Metrology 1 8"84216 KLA-TENCOR 259 RETICLE ISPECTION SYSTEM MICROSCOPE 1 excellent 01.06.199279097 KLA-Tencor 0253457-000 FRU, FOLD MIRROR, 90 DEG, INCIDENT, EC, SP2 1 spares good84217 KLA-TENCOR 259 RETICLE ISPECTION SYSTEM MICROSCOPE 1 excellent 01.06.199279098 KLA-Tencor 0255764-000 FRU, OLD FOLD MIRROR, 90 DEG, SP2 1 spares good84218 KLA-TENCOR 259 RETICLE ISPECTION SYSTEM MICROSCOPE 1 excellent 01.06.199277051 KLA-Tencor 2351 WAFER INSPECTION 1 300 MM excellent 01.01.200279099 KLA-Tencor 0253311-000 ASSEMBLY, SERVO MIRROR SAPPHIRE BSS EC SP2 1 spares good84219 KLA-TENCOR 259 RETICLE ISPECTION SYSTEM MICROSCOPE 1 excellent 01.06.199279100 KLA-Tencor 0253453-000 FRU, CHANGER ASSY QTR/HALF WAVE SP2 1 spares good84220 KLA-TENCOR 7700 ASSY 1 excellent79101 KLA-Tencor 0063021-005 AUTO-CAL ASSEMBLY, SP2 1 spares good79102 KLA-Tencor 0251977-000 FRU M1 ASSY OBLIQUE SP2 1 spares good53246 Kla-Tencor 160431 DC MODULE TENCOR 6200 1 Spares excellent15103 KLA-TENCOR ALPHA STEP 300 Profilometer 1 refurbished 01.04.199270458 KLA-Tencor Corp. AIT XP+ Darkfield Inspection 1 200 mm35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 2 spares excellent 01.06.19981736 KLA-TENCOR(SPAREKLA 2XX P/N 710-101836-02 1 SPARES good 01.01.19851737 KLA-TENCOR(SPAREKLA 2XX P/N 710-102570-02 1 SPARES good1738 KLA-TENCOR(SPAREVERIMASK VLSI 845 VERIMASK TEST RETICLE 1 SPARES good 01.01.201454906 KLA/Tencor AIT UV Metrologi 1 200mm 01.06.200254907 KLA/Tencor AIT UV Metrologi 1 200mm 01.06.200267791 KME CM202-D Pick and Placer 1 01.06.200280185 KNF Neuberger 057359 Diphagrahm service kit for N40.3FT pump 2 excellent 01.01.201274239 KNF NEUBERGER N 840.3 FT.18 DIAPHRAGM VACUUM PUMP LABOPORT D-79112 1 spares71449 KNS 4124 Ball Bonder 176320 KNS 4524 Ball Bonder 276322 KNS 8090 Large Area Bonder 1 01.05.200156732 KNS 8068 Triton RDA Ribbon Bonder 1 01.11.200172871 KNS 4524AD Ball Bonder 1 01.11.201372875 KNS 8090 AL Wedge Bonder 171102 KNS 8068 Triton RDA Automatic Wedge Bonder 1 excellent

71103 KNS 8028PPS Wedge Bonder 179727 Kobelco LEM-310C Wafer edge inspection Microscope 1 300mm/200mm excellent84224 KOGANEI ORCA 16X120 ORIGA 1 as new84258 KOGANEI SLIM AIR CYLINDER 4 excellent84261 KOGANEI PDA S AIR CYLINDER 2 excellent84265 KOGANEI KA CMA AIR CYLINDER 1 excellent84266 KOGANEI TWDA AIR CYLINDER 1 excellent84270 KOGANEI SLIM AIR CYLINDER 2 excellent83846 KOGANEI JDAS32X5-165W AIR CYLINDER 2 excellent83873 KOGANEI BDAS10X30 2 SPARES excellent83880 KOGANEI AME07-E2-PSL VACUUM EJECTOR 8 new in box83891 KOGANEI A200-4E1 AIR VALVE 2 excellent84223 KOGANEI ORCA 16X120 3 as new84055 KOGANEI LTD KA.CMA MINI CYLINDER 3 excellent84056 KOGANEI LTD KA.CMA MINI-CYLINDER 1 excellent84057 KOGANEI LTD KA.CMA MINI-CYLINDER 1 excellent83092 Koh Young KY3020T Desktop SPI 1 SMT excellent 01.06.200683525 Kohyoung KY8030 II Inline 3D SPI (L Size) 1 good 01.06.201183863 Kohyoung KY8030L Inline 3D SPI (L Size) 1 SMT good 01.06.201083938 Kohyoung KY8030L Type 2 Inline 3D Solder Paste Inspection (L Size) 1 SMT good 01.06.201085760 Kokusai Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm85761 Kokusai Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm82719 Kokusai DJ-802V Diffusion 1 6 01.06.199882720 KOKUSAI DJ-853V Vertical Furnace 1 6" 01.06.199678700 KOKUSAI VR120S METRO 1 300 MM 01.06.200666165 Kokusai DD-853V-8BL Wet Oxide Furnace 0 20055948 Kokusai DJ-853V-J2 Vertical Diffusion Furnace PH3,NH3(POLY) 1 200 mm excellent 01.12.200384884 Kokusai DD-1223VN Vertical Furnace 1 300 MM84885 Kokusai DJ-1236VN-DF Vertical Furnace, Process for TiN 1 300 MM 01.06.201384886 Kokusai DJ-1236VN-DF Vertical Furnace 1 300 MM84887 Kokusai DJ-1236VN-DF Vertical Furnace 1 300 MM84888 Kokusai DJ-853V Vertical Furnace 1 200 mm 01.03.199884889 Kokusai Quixace Ultimate dj-1236vn-df Vertical Furnace 1 300 MM38820 Kokusai DD-853V-8BL 1 Lot of Vertical Furnace, Dry Ox, Wet Ox, Gpox, Dry Ox Pyro 1 200mm good

84647 Kokusai Quixace Poly Vertical furnace (Poly Process) 1 300 mm84648 Kokusai Vertex-III(F) VF Anneal(Mid. Temperature) 1

38846 Kokusai Spare Parts Spares 164191 KOKUSAI DD-823V-8PL H2 Anneal, SMIF 1 200 MM good 01.06.199764194 KOKUSAI DD-823V-8BL PYRO, SMIF 1 200 MM excellent 01.06.199785703 Kokusai Quixace II Vertical Diffusion Furnace 1 300 mm85704 Kokusai Quixace II Vertical Diffusion Furnace 1 300 mm85705 Kokusai Quixace II Vertical Diffusion Furnace 1 300 mm85706 Kokusai Quixace II ALD High-k Vertical LPCVD Furnace 1 300 mm85707 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85708 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85709 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85710 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85711 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85712 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85713 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85714 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85715 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85716 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85717 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85718 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85719 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85720 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85721 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85722 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm83931 KOKUSAI M 152 WRL THERMO COUPLE 11 excellent85723 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85724 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85725 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm

85726 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85727 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85728 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm77537 Kokusai VR-120 Resistiv ity Test 1 30085729 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm77538 Kokusai VR-120 Resistiv ity Test 1 30085730 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm

77539 Kokusai VR-120 Resistiv ity Test 1 30085731 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm

85732 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85733 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm

85734 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85735 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85736 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85737 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85738 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm83947 Kokusai DJ-833V Vertical Diffusion Furnace H2 Anneal 1 200 mm excellent 01.04.199685739 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm83948 Kokusai DJ-833V Vertical Diffusion Furnace SIO N 1 200 mm excellent 01.04.199685740 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm83949 Kokusai DD-853V J1 Vertical Diffusion Furnace H2 Anneal 1 200 mm excellent 01.07.199685741 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm83950 Kokusai DD-853V J1 Vertical Diffusion Furnace H2 Anneal 1 200 mm excellent 01.09.199785742 Kokusai Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm85743 Kokusai Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm79344 Kokusai VR-120/085D resistiv ity measurement 183952 Kokusai DJ-853V J2 Vertical Diffusion Furnace HTO 1 200 mm excellent 01.03.200085744 Kokusai Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm79345 Kokusai VR-120/085D resistiv ity measurement 183953 Kokusai DD-853V J2 Vertical Diffusion Furnace D2 Anneal 1 200 mm excellent 01.06.200285745 Kokusai Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm83954 Kokusai DD-853V J2 Vertical Diffusion Furnace D2 Anneal 1 200 mm excellent 01.12.200085746 Kokusai Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm83955 Kokusai DJ-853V J2 Vertical Diffusion Furnace HTO 1 200 mm excellent 01.06.199685747 Kokusai Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm85748 Kokusai Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm

85749 Kokusai Quixace II Nitride Vertical LPCVD Furnace 1 300 mm85750 Kokusai Quixace II Poly Vertical LPCVD Furnace 1 300 mm85751 Kokusai Quixace II Poly Vertical LPCVD Furnace 1 300 mm85752 Kokusai Quixace II Poly Vertical LPCVD Furnace 1 300 mm85753 Kokusai Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 185754 Kokusai Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 1 300 mm85755 Kokusai Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 1 300 mm85756 Kokusai Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 1 300 mm85757 Kokusai Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm

85758 Kokusai Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm85759 Kokusai Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm

54552 KOKUSAI ELECTRIC T2DD1-15987-001 CASSETTE LOADER CX1209 154553 KOKUSAI ELECTRIC T2DD1-15333 TEOS GAS RETURN PANEL 185509 Komatsu G20K21 KrF Laser 1 01.06.199985510 Komatsu G20K21 KrF Laser 1 01.06.199985511 Komatsu G20K41 KrF Laser 1 01.06.200285512 Komatsu G20K41 KrF Laser 1 01.06.200285513 Komatsu G20K41 KrF Laser 1 01.06.200181957 Komax Xcell 300 Stringer, PV Solar 1 excellent81963 Komax contact printer front/back wafer contact printer 180360 KOSES Strip Laser Marker strip marking 1 good 01.06.200079698 KOTOBUKI BKM-002K-04 PCB Breaking 1 Assembly 01.01.201079699 KOTOBUKI BKM-002K-04 PCB Breaking 1 Assembly 01.02.201279700 KOTOBUKI TH004K(SUNX LP400 CO2 lase HANDLER 1 Assembly 01.02.201078645 Koyo VF5300B V35X Polyimide bake furnace 1 200 mm excellent84773 KOYO LINBERG VF5100B Set of Cleanroom Manuals 1 spares excellent 01.06.199861011 KRUSS DSA10-MK2? MICROSCOPE 163782 Kulicke Soffa 4124 Thermosonic Gold Ball Wire Bonder 160301 Kulicke Soffa 1484 Automatic Wire Bonder 160291 Kulicke-and-Soffa 4126 Step-Back Wedge Bonder 160303 Kulicke-and-Soffa 4123 Manual Wedge Wire Bonder (Refurbished) 162674 Kulicke-and-Soffa 4123 Manual Wedge Wire Bonder 184228 Kurt J Lesker ISO160AVCRT Pump centering ring 2 Spares good84229 Kurt J Lesker ISO100AVCRT Pump centering ring 2 Spares good

84230 Kurt J Lesker ISO Flange ISO63, 5-hole, *NEW* 5 hole pump flange 2 Spares good84282 Kurt J Lesker QF-SSC-ALM Single c law clamp 8 Spares good85107 Kurt J Lesker Custom Aluminium sputter system 1 200 mm 01.06.200184212 Kurt J Lesker QF160-SAVR Pump centering ring 2 Spares good82235 Kurt J Lesker / Ichor SL4 (Custom Built) Experimental ALD cluster tool for SiC superlattice deposition 1 200 mm excellent 01.06.201071854 Kurt J. Leske PVD PVD Sputtering system 3" 1 3" excellent81877 Kurt J. Lesker Nano 36 Thermal Evaporator 1 excellent81878 Kurt J. Lesker Nano 36 Thermal Evaporator 1 excellent81879 Kurt J. Lesker Nano 36 Thermal Evaporator 1 excellent60994 Kwang Myung Electronics 1 01.06.199481979 KYOSAN HPL10ZI-TE2 ETCH 3

82100 KYOSAN JFK85TH-TC6 ETCH 479346 Kyowa Interface Scie Drop Master 700D surface analyser 1

77561 Kyowa Interface Scie Drop Master 700D Surface Analyzer 1 30084625 L3 PX107 X-RAY Machine 1 N/A84581 Labo-Moderne Hood KL 8692 Hood 1 01.06.200884582 Labo-Moderne KL 8692 Hood 1 01.06.200879879 LAM TCP 9400 Rainbow platform (single Chamber) 1 good76574 LAM VERSYS 2300 ETCH - POLY 4 CHAMBER 1 200 mm excellent 01.03.200450208 Lam TCP 9600 Metal Etch 1 200 mm excellent69923 Lam 4520i dry etcher 1 200 MM good86058 LAM Vector CVD 1 200 MM excellent 01.06.200383762 LAM SPEED CVD 1 300 mm good 01.07.200783763 LAM SPEED CVD 1 300 mm good 01.07.200779159 Lam 4420 Dry etcher 2 200 mm excellent85315 Lam 2300 Exelan Oxide 1 300 mm 01.06.200385316 Lam 2300 Versys Poly 1 300 mm 01.06.200385317 Lam Kiyo45 Metal Chamber DRY ETCHER 1 300 mm 01.06.201685318 Lam R4728 DRY ETCHER 1 200 mm

85319 Lam Rainbow 4528 Exelan Oxide 1 200 mm85320 Lam Rainbow 4528 Exelan Oxide 1 200 mm85321 Lam Rainbow4428 Oxide Etch 185322 Lam TCP9400 Poly Etcher 1 200 mm85323 Lam TCP9600 Etch 1 200 mm 01.06.199685324 Lam TCP9600 Etch 1 200 mm 01.06.199585325 Lam TCP9600 Etch 1 200 mm 01.06.1996

85326 Lam TCP9600 Etch 1 200 mm 01.06.199485327 Lam TCP9600SE Al 1 200 mm85328 Lam TCP9600SE Al 1 200 mm85329 Lam TCP9600SE Al 1 200 mm84314 LAM KIYO45 METAL CH DRY ETCHER 1 300 mm excellent 01.06.201684320 LAM EXTRIMA 6000 BEVEL ETCHER 1 300 mm 01.06.200782017 LAM 832-034908-009 ETCH 182018 LAM 853-040482-502 ETCH 184322 LAM EXTRIMA 6000 BEVEL ETCHER 1 300 mm 01.06.201082019 LAM RFRB-ENCL MATCH ETCH 1284326 LAM EXTRIMA 6000 BEVEL ETCHER 1 300 mm 01.06.2007

79728 LAM Rainbow 4420 Etch 1 200 mm excellent79729 LAM Rainbow 4420 Dry Etch - used with Copper process 1 200mm excellent

79730 LAM Rainbow 4420 Dry Etch - used with Copper process 1 200mm excellent76932 LAM 480 Etcher 176945 LAM 590 Etcher 1

76958 Lam 590 Etcher 184915 LAM RAINBOW 4428XL Nitride etcher 1 200 mm 01.06.1997

84916 LAM TCP 9608 METAL ETCHER 1 200 mm 01.06.199684917 LAM TCP9408SE Poly Etch 1 200 mm 01.06.199684918 LAM TCP9408SE Poly Etch 1 200 mm 01.06.199784919 LAM TCP9408SE Poly Etch 1 200 mm 01.06.199784920 LAM TCP9408SE POLY ETCH / sti etch 1 200 mm 01.06.199838847 LAM 44XX Spare Parts 179843 LAM 4420 etch 2 200mm36581 LAM 4528 RAINBOW RECEIVE 160923 LAM 4720 179273 Lam / OnTrack DSS 200/II post cmp wafer scrubber 2 200 mm 01.06.199584481 LAM RESEARCH TCP9600 ETCHER 1 200

84482 LAM RESEARCH TCP9600 ETCHER 1 20084483 LAM RESEARCH TCP9600 ETCHER 1 20076573 Lam Research VERSYS 2300 poly etcher - 4 chamber 1 200 mm excellent 01.01.200377363 Lam Research 9600 series Metal Etch System 1 1502873 LAM RESEARCH 4520 (spares) REMOTE CART 2 FACILITIES good 01.01.199577371 Lam Research 2300 Exelan Oxide Etch System 1 20077372 Lam Research 2300 Exelan Flex Oxide Etch System 1 20077373 Lam Research 2300 Exelan Flex Oxide Etch System 1 20077374 Lam Research 2300 Exelan Flex Oxide Etch System 1 20082750 LAM RESEARCH 490 Etcher 1 6" 01.06.198782751 LAM RESEARCH 490 Etcher 1 6" 01.06.198782752 LAM RESEARCH 490 Etcher 1 6" 01.06.199082753 LAM RESEARCH 490 Etcher 1 6" 01.06.199982754 LAM RESEARCH 490 Etcher 1 6" 01.06.198882755 LAM RESEARCH 490 Etcher 1 6" 01.06.199085827 LAM Research 2300 Exelan Flex 45 Dielectric Etch 1 300 mm82756 LAM RESEARCH 4420 etcher 1 5 01.06.199085828 LAM Research 2300 Exelan Flex 45 Dielectric Etch 1 300 mm82757 LAM RESEARCH 4500 Etcher 1 01.06.199085829 LAM Research 2300 Exelan Flex 45 Dielectric Etch 1 300 mm

82758 LAM RESEARCH 4500 Etcher 1 01.06.199085830 LAM Research 2300 Exelan Flex 45 Dielectric Etch 1 300 mm82759 LAM RESEARCH 4500 Etcher 1 5" 01.06.1995

85831 LAM Research 2300 Exelan Flex 45 Dielectric Etch 1 300 mm82760 LAM RESEARCH 4500 Etcher 1 5" 01.06.1994

85832 LAM Research 2300 Exelan Flex 45 Dielectric Etch 1 300 mm78665 Lam Research VECTOR_EXPRESS CVD 1 300 MM 01.06.200782761 LAM RESEARCH 4500 Etcher 1 5" 01.06.199485833 LAM Research 2300 Exelan Flex 45 Dielectric Etch 1 300 mm78666 Lam Research VECTOR_EXPRESS CVD 1 300 MM 01.06.200882250 Lam Research A6 9400DFM-P Dry etcher 1 8" excellent 01.06.200085834 LAM Research 2300 Exelan Flex 45 Dielectric Etch 1 300 mm78667 Lam Research VECTOR_EXTREME CVD 1 300 MM for spares use 01.06.201382251 Lam Research A6 Frame 9400PTX Dry etcher 1 8" excellent 01.06.2000

85835 LAM Research 2300 Exelan Flex 45 Dielectric Etch 1 300 mm82252 Lam Research TCP9600SE Dry etcher 1 8" refurbished

85836 LAM Research 2300 Exelan Flex EX+ Dielectric Etch 1 300 mm82253 Lam Research TCP9600SE Dry etcher 1 8" refurbished 01.06.199385837 LAM Research 2300 KIYO Multi-Process Etch 1 300 mm55885 LAM RESEARCH OnTrak DSS-200 Series II Wafer Scrubber for 200mm Wafers 185838 LAM Research 2300 Versys Kiyo Polysilicon Etch 1 300 mm82255 Lam Research RAINBOW 4520i Dry etcher 1 8" excellent 01.06.199585839 LAM Research 2300 Versys Kiyo Polysilicon Etch 1 300 mm85840 LAM Research 2300 Versys Kiyo45 Polysilicon Etch 1 300 mm82257 Lam Research 2300 EXELAN Dry etcher 1 8" excellent 01.06.200485841 LAM Research 2300e5 Kiyo Polysilicon Etch 1 300 mm82258 Lam Research 2300 EXELAN Dry etcher 1 8" excellent 01.06.200478676 Lam Research 2300 METAL Etch METAL 1 300 MM excellent 01.06.200977173 LAM Research 9600 TCP SE metal etcher 1 150 mm refurbished86150 LAM Research A4 4520 PM Dry etch Chamber 1 200 mm86151 LAM Research A4 9400SE PM Dry etch Chamber 1 200 mm86152 LAM Research A4 9400SE PM Dry etch Chamber 1 200 mm86153 LAM Research A4 9400SE PM Dry etch Chamber 1 200 mm86154 LAM Research A4 9600SE PM Dry etch Chamber 1 200 mm86155 LAM Research A4 TM(Alliance) DRY ETCHER HUB 1 200 mm86156 LAM Research A4 TM(Alliance) DRY ETCHER HUB 1 200 mm86157 LAM Research Alliance4 4420XL DRY ETCHER 1 200 mm86158 LAM Research Alliance4 9400SE dry etcher 1 200 mm86159 LAM Research Rainbow 4528 dry etcher 1 150 mm

86160 LAM Research Rainbow 4528 dry etcher 1 200 mm86161 LAM Research TCP9400SE dry etcher 1 200 mm

86162 LAM Research TCP9400SE dry etcher 1 200 mm71831 LAM RESEARCH A6 EXELAN OXIDE ETCHER (refurbished) 1 refurbished

71840 Lam Research Alliance (A6) Exelan Oxide Etch 1 200 mm refurbished55979 Lam Research 4520i DRY Etcher 1 125 MM good84659 LAM RESEARCH LAM 4400 Poly / Oxide Etch System 1 150 mm84167 Lam Research Alliance A6 Exelan Dry Etcher, 2 chamber, Oxide etch 1 200 mm good 01.11.200118898 LAM RESEARCH 406-240121-008 TCP 9600SE Drawings and schematics Vol 3 118899 LAM RESEARCH 406-240121-005 TCP 9600SE Maintenance procedure 118900 LAM RESEARCH 406-240122-007 TCP 9600SE Drawing and schematics Vol 2 1

18901 LAM RESEARCH 406-240122-006 TCP 9600SE Drawing and schematics Vol 1 118902 LAM RESEARCH 406-240121-006 TCP 9600SE Drawing and schematics Vol 1 1

18903 LAM RESEARCH 406-240121-007 TCP 9600SE Drawing and schematics Vol 2 118904 LAM RESEARCH 406-240121-008 TCP 9600SE Manual set addendum 1

18905 LAM RESEARCH 409-240164-001 TCP rainbow e oxide 9500 118906 LAM RESEARCH 406-240040-002 TCP Rainbow 4500 system descriptions 118907 LAM RESEARCH 406-240203-074 TPC 9400/9600 PTX alliance installation guide 118908 LAM RESEARCH 406-240101-001 TCP 9400 SE installations and start up 118909 LAM RESEARCH 407-240100-001 TCP 9400 illustrated part identifier 118910 LAM RESEARCH 406-240121-003 TCP 9600 SE system operation 118911 LAM RESEARCH 406-240121-002 TCP 9600 SE system description 118912 LAM RESEARCH 406-240101-005 TCP 9400 SE maintenance classic 118913 LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide 1 excellent79330 LAM Research 490 Oxide etcher 118914 LAM RESEARCH 409-240163-001 Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface 118915 LAM RESEARCH 406-240100-005 TCP 9400 maintenance procedure 118916 LAM RESEARCH 406-240101-008 Drawings and schematics Vol3 118917 LAM RESEARCH 406-240101-006 Drawings and schematics Vol3 218918 LAM RESEARCH 406-240101-005 Drawings and schematics Vol1 118919 LAM RESEARCH 406-240101-007 Drawings and schematics Vol2 218920 LAM RESEARCH 406-240122-009 Drawings and schematics Vol4 118927 LAM RESEARCH 406-240122-008 Drawing and schematics Vol3 118928 LAM RESEARCH 406-240122-010 Drawing and schematics Vol5 171675 LAM RESEARCH TCP9600 DRY ETCHER 371676 LAM RESEARCH 4520XL DRY ETCHER 185143 LAM Research Corp. 2300 ELD Wet Process Equipment 1 300 MM85144 LAM Research Corp. 2300 ELD Wet Process Equipment 1 300 MM

85145 LAM Research Corp. 2300 ELD Wet Process Equipment 1 300 MM85146 LAM Research Corp. 2300 Stretch ATM + VTM Poly etch and wet s ink 1 300 MM 01.06.2005

84921 LAM Research Corp. 4428 Rainbow Nitride etcher 1 200 mm 01.06.199684922 LAM Research Corp. Rainbow 4428xl Nitride etcher 1 200 mm 01.06.199684923 LAM Research Corp. Rainbow 4428xl Nitride etcher 1 200 mm 01.06.199684924 LAM Research Corp. TCP 9608 Metal Etch 1 200 mm 01.06.199684925 LAM Research Corp. TCP 9608 Metal Etch 1 200 mm 01.06.199684926 LAM Research Corp. TCP 9608 SE Al Etch 1 200 mm 01.06.199684927 LAM Research Corp. TCP9608SE Metal Etch 1 200 mm 01.06.199684928 LAM Research Corp. 4428XL Nitride etcher 1 200 mm 01.06.199883902 LAMBDA LFS-47-48 REGULATED POWER SUPPLY 1 excellent

82879 LAMBDA CKS-36-21A 36V DC Regulated power supply, Advantest T5335P 1 Spares good13044 Lambda CA1000 Alpha 1000W CA1000 Power Supply 1 excellent 01.02.2004

86113 LAMBDA PHYSIK COMPEX205 KrF Laser 1 200 mm excellent15066 LAMBDA PHYSIK Novaline K2005 EXCIMER LASER 1 facilities good 01.07.200267400 Lapmaster 12 Polisher 185014 Lasertec 2PG20 Retic le inspection 1 300 MM 01.06.200585015 Lasertec Retic le Inspection Tool Retic le inspection 1 200 mm 01.06.201154884 Lasertec 9MD84 rertic le inspection system 1 200mm excellent 01.06.199954885 Lasertec MD3000 retic le inspection system 1 200mm excellent 01.06.200386184 LASERTEC MD2100 Retic le Inspection System 1 200 mm73231 Lauffer VSKO 175 Mold Press 167393 Laurier 275 auto pick and place ds 275 dual camera and monitor w/rs232 and keybd 167394 Laurier CP222 manual pick and place system 167395 Laurier DS6000 high speed pick and place system, for up to 6'' wafers on film frames. Dual camera, loads waffle pack 167160 Laurier Auto Die HA245+ The Laurier Auto Die HA245+ is a bonder, it picks from up to 6" diced wafer and bonds to packages w167161 Laurier CP222 The Laurier CP222 is a manual pick and place machine. 167162 Laurier PS 275 The Laurier PS 275 is an auto pick and place dual camera and monitor with RS232 and keyboard. (Ema167163 Laurier SA202 The Laurier SA202 is an epoxy die bonder. 167164 Laurier SA220 The Laurier SA220 is an epoxy die bonder (liquid transfer system). 166698 Laurier DS 9000T/R Die Sorter 3 Assembly good70310 Laurier DS7000 Wafer Sorter 1 excellent71846 Laurier DS 7000 Die Sorter 151079 Laurier? CP222 Die Bonder 065776 Leatherwood Plastic 6ft HF Polypro Wet Bench 179378 Leatherwood Plastics Solvent Hood (Semi-Auto) 1

71448 Leatherwood Plastics LPRC125.PP.D Poly Etch bench 1 1-8 inch or 2-6 inch excellent67755 Leco VC-50 Low Speed Saw 167769 Leco Vari/Pol VP-150 3 Position Grinder/Polisher 111234 LEE VAC Gate valves 3 SPARES excellent71148 Leec LSS Drying Cabinet 164259 Leica INS 3300 Microscope inspection station 1 200 mm excellent71999 LEICA INS3000 Microscope inspection station 1 8" refurbished 01.03.200079428 Leica INS 1000 Microscope Inspection Station 1 200mm77899 LEICA INS3000 DUV INSPECTION MICROSCOPE WITH DUV OPTION 1 200 mm good 01.04.200374574 Leica Stereo Microscope DMLM Stereo Microscope: Objectives: 5x, 10x, 20x, 100x; Ocular: 10x; 177143 Leica DM4000M Microscope 084063 Leica MZ 12.5 Microscope 184064 Leica MZ 8 Microscope 184065 Leica MZ 6 Microscope 133697 LEICA INS 2000 Defect Review System 133699 LEICA SZ6 StereoZoom Microscope on Boom Stand with 10X WF EPs 186185 LEICA INS3300 Wafer Inspection Microscope 1 200 mm/300 mm 01.06.200686186 LEICA INS3300 Wafer Inspection Microscope 1 200 mm/300 mm 01.06.200386187 LEICA INS3300 Wafer Inspection Microscope 1 200 mm/300 mm 01.06.200386188 LEICA INS3300 Wafer Inspection Microscope 1 200 mm/300 mm 01.06.200486189 LEICA INS3300 Wafer Inspection Microscope 1 200 mm/300 mm 01.06.200386190 LEICA INS3300 Wafer Inspection Microscope 1 200 mm/300 mm 01.06.200786191 LEICA MIS200 Wafer Inspection Microscope 1 200 mm86192 LEICA MIS200 Wafer Inspection Microscope 1 200 mm78262 LEICA INS3000 DUV Microscope inspection station 1 8" refurbished 01.03.200384158 LEICA INS3000, SMIF Wafer Inspection Microscope 1 200 mm85961 Leica INS3300 Macro-Defect 1 300 mm84692 Leica LEI200 Microscope 1 150 mm84693 LEICA MIS200 Review Station 1

86232 Leica INM 200 Inspection Microscopes 4 200 mm excellent86233 Leica INS 1000 Inspection Microscopes 1 200 mm excellent36583 LEICA MIS 200 SCOPE 179347 Leica LEI200 Microscope 182685 LEICA UV Optic Scope QE 1 300 mm 01.06.200677277 Leica / Vistec LDS 3300M Wafer Inspection Equipment 1 30077278 Leica / Vistec LDS 3300M Wafer Inspection Equipment 1 300

69389 Leica/Wild M 8 Stereomicroscope 178148 Leitz MPV SP Automatic Microscope inspection station 1 200 mm excellent86193 LEITZ MPV-SP Wafer Inspection Microscope 1 200 mm67772 Leitz Similar ot SM-Lux 167756 Leitz Miniload Micro Hardness Tester 174757 Leo 435VP inspection SEM 185422 LEO LTA700 LIFETIME TESTER 1 200 mm 01.06.199736584 LEO LTA700 LIFE TIME TESTER 1 200mm 01.07.199677545 Leo Instruments/Cam S260 SEM 1 N/A83735 Leybold 3200 MAG Vacuum Pump 1 pump good69912 Leybold Mag1200 Refurbished Turbo Pump 177081 Leybold TPM 361C Turbo pump refurbished 171266 leybold Z 550 MS Sputtering System 183841 Leybold NT 20 Turbo pump controller 1 Spares good77215 Leybold Dryvac 100P Leybold Dryvac 100P 120138 LEYBOLD TW300H Turbo moleculor Vacuum pump 120139 LEYBOLD TW700 Turbo molecular Vacuum pump 177003 Leybold 287 02 V2 Pump Valve 1 PUMP good69344 Leybold Mag 1200 CT rebulilt Turbomolecular Vacuum Pump 156866 LEYBOLD-HERAEUS Turbotronik NT 150/360 Turbomolecular Vacuum Pump Controller 155916 LEYBOLD-HERAEUS Turbovac 1500 Turbomolecular Vacuum Pump 155917 LEYBOLD-HERAEUS Turbotronik NT 1000/1500 Turbomolecular Vacuum Pump Controller 135577 LEYBOLD-HERAEUS D30AC Mechanical Vacuum Pump 135578 LEYBOLD-HERAEUS Pump Rack Including Electrical Box 167198 LFE 1002 STANDARD LFE 1002 DUAL BARREL ETCHER 10" DIAMETER CHAMBER X 16" LONG (3 GAS CAP 167199 LFE 301 LFE 3O1 PLASMA CLEANER APPROX 8" DIAMETER X 6" DEEP QUARTZ BARREL 167200 LFE 501 STANDARD LFE 501 BARREL ETCHER 10" DIAMETER CHAMBER X 16" LONG (3 GAS CAPABILIT 1

67201 LFE 501 135579 LFE PDS-504 Plasma Cleaning Tool 1

78161 LFE / Plasmatek LaborPlasma Asher 1 200 mm excellent 01.08.200060884 LG Semicon CLS-9002 3rd OPTICAL INSPECTION UNIT 133542 Liebherr FKV 3610 Fridge for photoresist 1 facilities excellent86031 LINTEC RAD3010F12 DETAPER FOR BACKSIDE GRIND, DP 1 300 mm excellent84520 Lintec RAD 2500F/12 AUTO WAFER MOUNTER 1 200 mm good 01.06.200584521 Lintec RAD 3500 F/12 Tape Laminator 1 200 mm good 01.06.200479196 Lintec RAD-3600F/12 Fully Automatic Die Bonding Sheet Laminator 1 300 mm excellent 01.06.201379274 Lintec LTD-2500F/12 Fully automatic wafer taper and detaper 1 300 mm 01.06.200279303 Lintec LTD-2500F/12 Fully automatic wafer taper and detaper 1 300 mm excellent 01.06.2002

LFE 501 300mm BARREL ETCHER (3 GAS CAPABILITY)

Page 9: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

80344 LINTEC RAD 3500 F/12 Wafer mounter 1 300 MM good 01.09.200180345 Lintec RAD 2500 F/8 Wafer mounter 1 200 MM good 01.06.199769342 Lintec Rad2500 F/8 Wafer Mounter 1 200 mm excellent85225 LINTEC RAD3500F Taper 1 200 mm 01.06.200377036 Lintec Rad 2500 F8 Wafer Mounter fully Automatic 175161 LKT GS HM 1800 Laser Marker 175162 LKT GS HM800 Laser Marker 171443 Loctite TC06 Adhisive UV Belt oven 167138 Logitech Wafer Bonder * Up to 4" wafers. Table Top system with upgraded controllers 167448 Loomis LCD 2P Scriber 167449 Loomis MKT 33 SEMIAUTOMATIC SCRIBER 161037 LOT VACUUM 1205 DryPUMP 1

61038 LOT VACUUM 1205 DryPUMP 161039 LOT VACUUM 1205 DryPUMP 1

61040 LOT VACUUM 1205 DryPUMP 161041 LOT VACUUM 1205 DryPUMP 1

61057 LOT VACUUM 1205 DryPUMP 161063 LOT VACUUM 1205 DryPUMP 185342 LPE PE2061S Epi 1 200 mm 01.06.200956307 LTS 2020 Tester 1 excellent84740 LTX Fusion HF Tester 1 TEST84741 LTX Fusion HT-1 Tester 1 TEST84742 LTX Fusion HT-1 Tester 1 TEST

84743 LTX Fusion HT-1 Tester 1 TEST71606 LTX LTX FUSION Tester 679287 LTX PPS automated test system 1 test 01.06.200179288 LTX PPS automated test system 1 test 01.06.200079289 LTX PVI automated test system 1 test 01.06.199879290 LTX PVI automated test system 1 test 01.06.199679841 LTX Fusion CX Automated Test System 1 Test good84694 Lucas Signatone A2MM1 Laser and scope 1 N/A68911 Lumonics Laser Marking, Super Clean 176344 Lumonics Wafermark EM Laser Scriber 1 150 mm / 200 mm71584 LUMONICS WMII SCRIBER 166107 M.M MM8065 PROBER 177092 MAC 225B-111BAAA Pneumatic solenoid valve 161192 MACTRONIX UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers 1 150 mm61193 MACTRONIX UKA-825 Wafer Transfer Tool for 200mm Wafers 1 200 mm33826 MACTRONIX Horizon HZN825P5 Wafer Transfer Tool for 200mm Wafers, 2ea Available 115205 Mactronix UKA-825 Automatic Wafer Transfer System 1 8" Wafers84440 MACTRONIX AE2-600 WAFER SORTER 1 20067412 Magnetron 4-point probe WITH NEW ELECTRONICS (1" TO 6" WAFERS) 167391 MANIX transistor lead trim and/or form options available w/syntron bowl feed. 184026 Maple Systems HMI530TV45 Touch Screen 1 spares as new 01.01.200684072 Maple Systems HMI530TV45 Touch Screen 1 spares for spares use 01.01.200677253 Marangoni IPA Vapor Dryer (Marangoni Dryi IPA Vapor Dryer (Marangoni Drying) 1 excellent84769 March AP1000 Plasma Cleaner Refurbished 1 refurbished84771 March PX1000 Plasma Cleaner 1 refurbished21085 March Jupiter 11 RIE 1 refurbished75670 March AP1000 Plasma Cleaner 164457 March Jupiter II RIE Etcher REBUILT 1 refurbished80349 MARCH PX-1000E8 Plasma Cleaner 2 ASSEMBLY good 01.06.2000

50158 March C701 Reactive Ion Etcher with Microprocessor 160807 Markem 452T/W Marking System 178817 MARKEM MARKEM 612 PLATE MAKER 1 ASSEMBLY excellent 01.06.200435580 MARKEM 962 Touch Dry Jet Printer with Print Head 182213 Master (Korea) OTT-500 Chiller 1 01.01.201285908 MAT HMS-400P-II Front Side Glass Laminator 1 300 mm82693 Materials Research CECLIPSE SPUTTER 1 6" 01.06.199783885 MATHESON TRI.GAS ROTAMETER 3 new in box18835 MATRIX 0995-99844 System one etcher model 403 installations and operations manual 218836 MATRIX 0995-99842 system one etcher model 303 installations and operations manual 118837 MATRIX 0995-99844 Installation and operations manual 118838 MATRIX 0995-99829 Oem user's manual 183882 MATSUSHITA BBC 35 N INTERRUPTOR CAP SYS 1 new in box 01.05.201083637 MATSUSHITA HP2-DC 24V RELAY HP 20 new in box86016 Mattson Helios Standalone RTP Equipment 1 300 mm86017 Mattson Helios Standalone RTP Equipment 1 300 mm86018 Mattson Helios Standalone RTP Equipment 1 300 mm64262 Mattson AST2800 RTP System with 200 MM SMIF LOAD PORTS 1 200 mm excellent 01.06.200264263 Mattson AST2800 RTP System 1 200 mm excellent83977 Mattson Aspen 3 ICP Light etcher 5 300 mm excellent 01.08.200882214 Mattson Aspen II RTP tool 2 good83758 MATTSON HELIOS RTA 1 300 mm good 01.09.200784785 Mattson AST 2900 RTP System 1 200 mm refurbished72008 MATTSON AST 2800 RTP 1 8" excellent 01.04.199972010 MATTSON AST2900 RTP 1 8" excellent 01.05.200172013 MATTSON AST2900 RTP 1 8" excellent 01.05.200172015 MATTSON AST 2900 RTP 1 8" excellent 01.03.200085583 Mattson AST2900 RTP 1 200 mm 01.06.200185584 Mattson AST3000 RTP 1 200 mm 01.06.200285585 Mattson AST3000 RTP 1 300 mm 01.06.200485586 Mattson AST3000 RTP 1 300 mm 01.06.200385587 Mattson AST3000 RTP 1 300 mm 01.06.200485588 Mattson AST3000 RTP 1 200 mm 01.06.199885589 Mattson AST3000 plus RTP 1 300 mm 01.06.200385590 Mattson Helios RTP 1 01.06.200785335 Mattson PARADIGME_SP Light Etch 1 300 mm 01.06.2010

85591 Mattson Helios RTP 1 300 mm 01.06.200485336 Mattson PARADIGME_SP Light Etch 1 300 mm 01.06.201085592 Mattson Helios RTP 1 300 mm 01.06.200584069 Mattson Aspen II Asher, Triode 1 excellent 01.05.200467951 Mattson SHS 2800 RTP 1 200 mm excellent 01.07.200085114 Mattson SHS 2800 SF Rapid Thermal Anneal 1 200 mm 01.06.199685119 Mattson Aspen III Single Wafer Resist Stripper 1 300 MM excellent 01.06.200679235 Mattson Helios RPT Rapid thermal anneal 1 300 mm excellent 01.06.200585147 Mattson AWP TiW wet etch 1 300 MM 01.06.200218845 MATTSON 17500675-00 Aspen OEM manual volume I 218846 MATTSON 17500675-00 Aspen OEM manual volume II 318847 MATTSON 17500675-00 Aspen OEM manual volume III 318848 MATTSON 299-31000-00 Aspen strip system manual 684415 Mattson AST 2800 RTP 1 200mm69828 Mattson Aspen 3 CVD Asher 9 200 mm missing parts 01.06.199955542 MATTSON AST Steag 2800 Rapid Thermal Processing Equipment, Traditional RTP Equipment 1 200mm 01.01.199955543 MATTSON AST Steag 2800 Rapid Thermal Processing Equipment, Traditional RTP Equipment 1 200mm 01.08.200186010 Mattson 3000 Standalone RTP Equipment 1 300 mm86011 Mattson 3000 Standalone RTP Equipment 1 300 mm84732 MATTSON Galaxy FTIR 1 Laboratory good86012 Mattson Helios Standalone RTP Equipment 1 300 mm78333 Mattson Aspen II Dual chamber asher 1 200 mm excellent 01.02.199684733 MATTSON HELIOS Helios Anneal 1 300 mm86013 Mattson Helios Standalone RTP Equipment 1 300 mm84734 MATTSON HELIOS RTP HIGH-K (HKE) 1 300 mm86014 Mattson Helios Standalone RTP Equipment 1 300 mm84735 MATTSON HELIOS RTP NON-METAL 1 300 mm

86015 Mattson Helios Standalone RTP Equipment 1 300 mm55931 Mattson Steag AST SHS 2800 RTP furnace 1 200 mm excellent 01.06.200285115 Mattson Technology SHS2800SF Rapid Thermal Anneal 1 200 mm 01.06.199784447 MAXIM INTEGRATED M310 METROLOGY 1 20084448 MAXIM INTEGRATED M310 METROLOGY 1 20079571 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 Reliability excellent 01.06.199379572 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 Reliability excellent 01.06.1993

78135 Mazzali ELES Tebis Burn-In Oven -Photodiode LC Y2K 1 Reliability good 01.01.200184727 MC Systems 8806 Analytical Probing System 1 N/A33791 MC SYSTEMS 8806 Analytical Probing System w/B/L MicroZoom Microscope, 2.25X, 8X, 25X Objectives 177130 MCI S812 Wafer Loader 162208 MCL RAM-8500 II P/R STRIPPER 136588 MCL RAM-8500 II P/R STRIPPER 1 01.09.199336589 MCL RAM-8500 II P/R STRIPPER 136590 MCL RAM-8500 II P/R STRIPPER 136591 MCL RAM-8500 II P/R STRIPPER 184781 MCT 4610 Single Site 28 PLCC Change Kit 1 TEST excellent67392 MCT 6603 table top hydrogen rework station. 167213 MCT 6820 Table top reflow system with 6" belt, four heating platforms with 2 controllers and digital readout 176972 MCT 3616E3 Handler 1 01.01.198576974 MCT 3608e 1 excellent60855 MCT 3608E-6 600Mil DIP 160856 MCT 3608C-3 Extended Back 160857 MCT 3608 CCA-3 Handler Parts Machine 160858 MCT 3616E-3 IC Handler 160859 MCT 4610 Single Site, Tri-Temp?32 PLCC 160860 MCT 4610 Dual s ite, Tri-temp, 32 PLCC 160861 MCT 4610 Single Site 20 & 28 PLCC 160862 MCT 3608E Ambient and Hot, 300 mil SOIC? kit 160863 MCT 3608E Ambient and Hot, 300 mil SOIC? kit 180038 MDA Scientific System 16 Toxic Gas Monitor 1 excellent 01.07.200110011 MDC CSM/16 Automatic CV Plotter with 150mm DuoChuck, B&L SZ5 Microscope 156865 MDC CSM/16 Automatic CV Plotter 181917 MDC 986G Automatic CV Plotter 1 200 MM excellent 01.06.20024007 MDC (Materials DevelDUO CHUCK CSM16 CV Measurement system 1 150 mm good 01.06.199567166 Mech-El 779 The Mechel 779 is a manual pick and place system. (Email us for photo) 1

67167 Mech-El 772 The Mech-El 772 Hybrid Epoxy Die Mounter enables mounting of a wide variety of chips required by 167500 Mech-EL 1204W 167501 Mech-EL 827 167502 Mech-EL 829 167503 Mech-EL 907 167504 Mech-EL 909 167505 Mech-EL 1204B 167506 Mech-EL 829Z 167530 Mech-EL 202 167165 Mech-El 703 703 WITH 6:1 MICROPOSITIONER 176335 Meco EDF + EPL 2100S U Shaped Electro Palting Lines 277953 MECO EDF + EPL 2100S PLATING LINE 1 ASSEMBLY 01.06.199777954 MECO EDF + EPL 2100S PLATING LINE 1 ASSEMBLY excellent 01.06.200272931 Meco EPL 2400S Electro Plating Line 172932 Meco EPL 2400S Electro Plating Line 171857 Meco - Besi EDF + EPL 1800S Tin/Lead strip Plating Line 2 assembly good 01.06.199885148 Mega Kinetics Megapure 6001 HC Chemical delivery system 1 Facilities34494 MEGASONIC Dryer 1 200mm excellent50977 MEI Mech-El 907 Aluminum or Gold Wedge Wire Bonder 050978 MEI Mech-El 907 Aluminum or Gold Ribbon?Bonder 076348 MEI 907 MEI 907 wedge bonder suitable for Ribbon bonding 167168 MEI 772 The MEI 772 Hybrid Epoxy Die Mounter 151080 MEI Mech-El 907 Aluminum or Gold Wedge Wire Bonder 051081 MEI Mech-El 907 Aluminum or Gold Ribbon?Bonder 067507 MEI 1204 167508 MEI 827 167509 MEI 907 167510 MEI 1204B Ball Bonder 167511 MEI 829 167512 MEI 829Z 167513 MEI 909 167531 MEI 202 180244 MELLES GRIOT 05-LHP-121 Laser 2 good51009 Mentor Graphics Celaropro? Hardware Emulator 167152 METONE Point 5 .5 micron resolution partic le counter 185013 Metron Extraction, Amine Total Molecular Base Real Time Monitor 1 Facilities 01.06.2000

85962 Metryx Mentor OC23 Mass Measurement 1 300 mm84583 Mettler Toledo T50 Auto Titrator 184002 Meyer Berger DS271 0 01.06.201381942 MEYER BURGER DS 264 800mm Loading 581954 MEYER BURGER DS271 Wire Saws with Diamond upgrade 36 excellent86230 Meyer Burger DS271 Wire Saw (Wire Slicer) 31 jun 2011 excellent33754 MGI 1282 Wafer Transfer Station, 2ea Available 184702 MGI PHOENIX V6 wafer transfer tool 1 150 mm64119 MGI Electronics 2001 ETI0392A-6-U 185113 Micro Control Co. Abes III (10X) Burn-In Oven 1 Oven 01.06.199267911 Micro Instrument PE9000A Electromigration Oven 1 as new 01.06.200067912 Micro Instrument PE9000A Electromigration Oven 1 excellent 01.06.200067913 Micro Instrument PE9020 Electromigration Oven 1 Test excellent 01.06.200067914 Micro Instrument SPC 4000 Electromigration Oven 1 OVEN excellent 01.06.200035358 Micro Instrument Co. PE9020 Electro-migration Oven 1 TEST excellent82902 Micro Instruments PE9020 Electromigration Evaluation 2 good 01.06.200062541 MicroAutomation 1100 Dicing Saw 155888 MICROAUTOMATION 2066 Wafer Scrubber 163603 MicroAutomation 2006 Wafer Cleaning Station 171902 Microcontrol Micol Wafer Eraser 1 excellent69816 Microflow Horizontal Laminar flow clean Bench 164264 Micromanipulator Probe Station 1 167413 MICROMANIPULATO 6000 MICROMANIPULATOR 6000 4" WAFER PROBE STATION INCLUDES MITUTOYO HIGH POWER MICR138496 Micromanipulator 6640 Prober 164120 Micromanipulator 185993 Micromanipulator 6100 Engineering Wafer Prober 181914 Micromass 386 Mass Spectrometer 1 Laboratory excellent67153 MICROMERITICS 5000ET X-ray seidigraph w/full s ize chart recorder, tag reads; model 501/00000/00 ser 2369 184374 MICRON 256 MB SYNCH 1 excellent84059 MICROSPEED PD-250C PC-TRAC mouse 1 FUUTB02 excellent80260 MILLIPORE WGGB06WR1 WAFERGARD IN-LINE GAS FILLER 4 SPARES excellent80265 MILLIPORE FLUOROGARD-PLUS CWFA01PLfilter CARTRIDGE 1 spares new in box80245 MILLIPORE CORP WGFG01HR1 WAFERGARD F MINI IN-LINE GAS FILTER 2 new in box82220 Milton Roy AA761-65S Flow rate pump 1 good

79592 Minato Electronics 1940 EPROM Programmer with additional memory 1 Electronics Test and excellent 01.06.200779593 Minato Electronics 1940 EPROM Programmer 1 Electronics Test and excellent 01.06.2005

83812 MINERTIA MOTOR RM SERIES MOTOR ELECTRIC 1 excellent 01.10.199683890 MINERTIA MOTOR RM SERIES INDUCTION MOTOR 1 excellent 01.03.199778014 Mirae M820 Burn In Loader/Unloader 1 TEST 01.01.200778015 Mirae M9210 Burn In Loader/Unloader 1 TEST 01.09.200278016 Mirae M9210 Burn In Loader/Unloader 1 TEST 01.09.200278017 Mirae M9210 Burn In Loader/Unloader 1 TEST 01.06.200278018 Mirae M9220 Burn In Loader/Unloader 1 TEST 01.06.200078019 Mirae M9220 Burn In Loader/Unloader 1 TEST 01.06.200078020 Mirae M9220 Burn In Loader/Unloader 1 TEST 01.06.200578021 Mirae M9220 Burn In Loader/Unloader 1 TEST 01.06.200578022 Mirae M9220 Burn In Loader/Unloader 1 TEST 01.06.200578023 Mirae M9220 Burn In Loader/Unloader 1 TEST 01.10.200578024 Mirae M9220 Burn In Loader/Unloader 1 TEST 01.05.200578025 Mirae M9220 Burn In Loader/Unloader 1 TEST 01.06.200578026 Mirae M9220 Burn In Loader/Unloader 1 TEST 01.06.200756791 MIRAE Mx310-T 3 Phase 220V 50/60Hz 0 01.06.200769818 Mirtec MV-2HT AOI 1 excellent 01.01.200782163 Mirtec MV-3L inspection machine 1 excellent 01.06.200782164 Mirtec MV-7Xi inline AOI inspection machine 1 excellent 01.06.201283523 MITSUBISHI MR-J10A1 AC SERVO 1 POWER 100 W excellent83554 MITSUBISHI FR-Z120-0.4K INVERTER 200 V CLASS 1 FREQROL-Z120 excellent83638 MITSUBISHI Melservo MR-C10A1-UE AC SERVO AMPLIFIER 1 100-120 V new in box 01.04.200579317 Mitsui Engineering SB5 Gas Turbine 484584 Mitutoyo VL-50AS Litematic Digimatic Measuring Unit 167188 MITUTOYO 940-142 Hardness tester. 182154 Mitutoyo Qvapex 404 Pro Analytical 1 excellent35584 MKS NW100 Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover 1

35585 MKS PAS Residual Gas Analyzer 179878 MKS 1179AX23CR14V26C1 MFC 1000 sccm / SiH4 1 as new56867 MKS 600 Series Pressure Controller 156868 MKS Type 270A Display 181980 MKS DCG-200Z METAL 181981 MKS METAL 181982 MKS METAL 5

81983 MKS METAL 481984 MKS METAL 2

81985 MKS HPK06ZI-TE7-P ETCH 4981986 MKS ETCH 11

82020 MKS DOFBC2-078 ETCH 282021 MKS MW2-500340 ETCH 9782022 MKS MWH-100 IMP 482023 MKS SXRHC ETCH 182024 MKS DOFBC2-084 ETCH 182035 MKS AX-2115 ETCH 177940 MKS 627BX01MCC1B Baratron 1mbar 1 as new82036 MKS AX3060-10 DIFF 182037 MKS AX3151 ETCH 182038 MKS FI20160-1 ETCH 282039 MKS FI20160-3 ETCH 282040 MKS FI20162-1 ETCH 182041 MKS 982042 MKS FI20162-3 ETCH 182043 MKS FI20606 ETCH 1582045 MKS AX8407 DIFF 182046 MKS AX8407A CVD 1882047 MKS AX8407A(OZONE) CVD 174164 MKS 154-0100P High Vacuum Valve, NEW 1 as new 01.01.200674165 MKS 600 Series Pressure Controller 651CD2S2N 1 01.06.200882101 MKS B-5303 ETCH 8874166 MKS 627D11TBC1B Baratron pressure sensor 1 excellent 01.12.200982102 MKS GEW-3540 ETCH 9574167 MKS 653B-4-100-1 Exhaust Throttle Valve 182103 MKS GHW-12Z METAL 2

82104 MKS GHW-50A CVD 282105 MKS GHW-50Z METAL 282106 MKS LMF-5002A ETCH 1182107 MKS LVF-3527A ETCH 1082108 MKS LVF-3560A ETCH 882110 MKS NOVA50A-04 CVD 182112 MKS OB-2 ETCH 182113 MKS ETCH 182114 MKS OEM-12B-02 ETCH 1

82115 MKS OEM-12B3-08 ETCH 1 excellent82116 MKS OEM-50N CVD 1

82117 MKS RFG-5500 CVD 182118 MKS CVD 182125 MKS AX7645PS-01 CVD 282126 MKS AX7645PS-10 CVD 182127 MKS AX7645RH-01 CVD 182128 MKS AX7645-RH-01 CVD 182129 MKS AX7651-2 CVD 682130 MKS AX7657-2 CVD 582131 MKS AX7657-5 CVD 182132 MKS AX7658 CVD 182133 MKS AX7670 CVD 5182134 MKS AX7670-02 CVD 582135 MKS AX7670-06 CVD 582136 MKS AX7670-12 CVD 182137 MKS AX7670-16 CVD 982138 MKS AX7670-19 CVD 582139 MKS AX7670-85 CVD 1282140 MKS FI20620 CVD 182141 MKS FI20620-1 CVD 10682142 MKS 282143 MKS FI80131 CVD 1069856 MKS Type 624 baratron pressure transducer with trip points 1 spares excellent82144 MKS FI80133 CVD 1

85120 MKS Instruments AX8559 ASTeX Generator Ozone Generator 1 Parts excellent 01.06.201185121 MKS Instruments Liquozone PrimO3 Ozone Generator 1 Parts excellent 01.06.201484235 Moeller Xpole PLSM-C10/1 mini breaker switch 1 Spares good78818 MORY DSV-3000 PLASMA CLEANER 1 ASSEMBLY excellent 01.06.200355860 MOSAID 4155 Memory Test System 138498 MosAid MS4105 Memory Tester 184845 Mosaid MS4205 Memory Test System 1 TEST84846 Mosaid MS4205 Memory Test System 1 TEST84847 Mosaid MS4205 Memory Test System 1 TEST62592 Mosaid MS4155 Memory Tester 162593 Mosaid MS4205EX Bench Tester 1

62594 Mosaid MS3480 Memory Tester 162595 Mosaid MS3495 Memory Tester 1

62596 Mosaid MS4205EX Memory Tester 162621 Mosaid MS4155 Memory Tester Parts Machine 118877 MOSAID 1000242-01 MS3400 test system reference manual 178028 Mosaid MS 4205EX Memory test System 1 TEST 01.06.200767113 MPA IUC M3S Meter 282903 MPI M76FP Fully Automatic Bin Sorter 15 150 good79895 MPM AP EXCEL 2D inspection 1 10493 good 01.08.200433829 MPM SP200 Screen Printer 167458 MPM TF 100 6x6" print area, manual load, automatic shuttle print 1 excellent80310 MPM Accela screen printer Screen printers (2) 2 excellent 01.06.200866013 MPM SP200 Screen Printer 171582 MRC MARK IV EVAPORATOR 1 good71583 MRC Mark II EVAPORATOR 256736 MRC 943C Sputtering System 177007 MRC 943T Sputtering System upgraded 127863 MRC STAR SPUTTERING SYSTEM 1 150 MM AND 100 mm good 01.06.1992

78316 MRC 643 Metal Sputtering System / PVD System 1 up to 200 mm excellent 01.06.199560701 MRL 1424 Diffusion Furnace 179535 MRL C-430 DIFFUSION FURNACE BANK 1 150mm good79536 MRL C-430 DIFFUSION FURNACE BANK 1 150mm good79537 MRL C-430 DIFFUSION FURNACE BANK 1 150mm good79538 MRL C-430 DIFFUSION FURNACE BANK 1 150mm good72156 MRL Black Max Black max heater element, 850 celc ius 1 Spares new in box 01.12.2006

10019 MRL INDUSTRIES 1024 3-Tube Horizontal Diffusion Furnace 167414 MRSI 300mm MRSI 300mm (12" INCH) PROBE STATION 184372 MS PRG WO A9403789 MOUSE MODEL No.240C 1 excellent 01.02.199986043 MSP 2300XP1 PARTICLE DEPOSITION 1 Retic le84585 MTM / SDI Wafer spreading machine 1 01.06.200882230 Muegge Controller Controller, CAN controller, L/R sync 1 good 01.12.200179968 Muegge MW2009D-260ED Magnetron Head 2.45GHZ 2 good 01.12.200177936 Muegge MX4000D-110LL Microwave Power Supply 3 good84586 Muhlbauer Variation 15K W2W Pick and Place 1 01.06.201179201 Multi Crystal Poly Sil Unknown Furnace for multi cyrstal solar wafer 30 Poly Silicon Furnace excellent 01.06.201485011 Multiprobe APF 2 Atomic Force Prober 1 300 MM 01.06.2009

85012 Multiprobe MP1 Atomic Force Prober 1 300 MM 01.06.200685994 MultiProbe MP1 Engineering Wafer Prober 1 300 mm

59152 Multitest MT 8501 Kit for DIL400 159153 Multitest MT8501 Kit for DIL400 159154 Multitest MT 8501 Kit for DIL600 1

83521 Multitest MT9308 Test Handler (parts tool) 1 TEST missing parts86100 MULTITEST MT9928 Test Handler 2 TEST excellent

76946 Multitest MT 8501 Handler with Kit for DIP 300 mil 176965 Multitest MT 8202 DIP Handler 300m Dip 160864 Multitest 8305L Single Site, Ambient only, 600 Mil DIP? 172916 Multitest MT 9208 Flip MEMS Module for docking to standard test handlers 11 TEST excellent 01.06.201062174 Multitest MT8502 Test Handler 1 assembly excellent 01.06.199179853 multitest MT9308 test handler 1 test good78477 Murata CSSYS Wafer Cassette Stocker 1 300 mm79626 Musashi SHOTS Master 300 MOLDING A 1 Assembly 01.06.200879627 Musashi SHOTS Master 300 MOLDING A 1 Assembly 01.06.200879628 Musashi SHOTS Master 300 MOLDING A 1 Assembly 01.06.200879629 Musashi SHOTS Master 300 MOLDING A 1 Assembly 01.06.2008

79630 Musashi SHOTS Master 300 MOLDING A 1 Assembly 01.06.200879631 Musashi SHOTS Master 300 MOLDING A 1 Assembly 01.06.200879676 Musashi SHOTS Master 300 DAMRING 1 Assembly 01.01.201079677 Musashi SHOTS Master 300 DAMRING 1 Assembly 01.01.200679678 Musashi SHOTS Master 300 DAMRING 1 Assembly 01.01.201079679 Musashi SHOTS Master 300 DAMRING 1 Assembly 01.11.200679680 Musashi SHOTS Master 300 DAMRING 1 Assembly 01.01.200579681 Musashi SHOT MINI 200©-3A MOLDING 1 Assembly 01.08.201279682 Musashi SHOT MINI 200 MOLDING 1 Assembly 01.08.201279683 Musashi SHOT MINI 200 MOLDING 1 Assembly 01.01.201079684 Musashi SHOT Master 300 MOLDING 1 Assembly 01.01.201079685 Musashi SHOT MINI 200©-3A MOLDING 1 Assembly 01.08.200879686 Musashi SHOT MINI 200 MOLDING 1 Assembly 01.06.201379687 Musashi SHOT MINI 200 MOLDING 1 Assembly 01.07.201379688 Musashi SHOT MINI 200©-3A MOLDING 1 Assembly 01.10.200879689 Musashi SHOT Master 300 MOLDING 1 Assembly 01.06.201379690 Musashi SHOT MINI 200©-3A MOLDING 1 Assembly 01.08.200879691 Musashi SHOT MINI 200 MOLDING 1 Assembly 01.10.200879692 Musashi SHOT MINI 200 MOLDING 1 Assembly 01.08.200879607 Musashi Shots Mini 200& MOLDING A 1 Assembly 01.08.2008

79608 Musashi Shots Mini 200& MOLDING A 1 Assembly 01.08.200867369 Myriad 2001 Completely rebuilt 184501 N&K TECHNOLOGY I 8000-CD METROLOGY 1 200

36592 N/A INSPECTION SCOPE 171741 Nanofab Nano150 Ion Beam Lithography / Implanter System 1 150 mm excellent

82690 NANOMETRICS ORION METROLOGY 1 300 mm excellent 01.06.200685010 Nanometrics Q240AT Overlay Measurement 1 200 mm 01.12.200086044 NANOMETRICS NANOSPEC 8300X THIN FILMS MEASURING SYSTEM 1 300 mm84277 Nanometrics 9000 Nanospec 9000 Metrology thickness tool 1 excellent84832 NANOMETRICS NanoSpec 9000 Metrology Equipment, Wafer Inspection Equipment 1 200mm excellent38501 Nanometrics Nanoline Nanoline CRD-III with Olympus Microscope 1 good55401 NANOMETRICS NanoSpec M-210AFT Metrology Equipment, Thin Film Measurement System 1 200mm55402 NANOMETRICS NanoSpec M-210AFT Metrology Equipment, Thin Film Measurement System 1 200mm 01.01.199355403 NANOMETRICS NanoSpec M-5100 Metrology Equipment, Wafer Inspection Equipment 1 200mm

55404 NANOMETRICS NanoSpec M-5100 Metrology Equipment, Wafer Inspection Equipment 1 200mm82823 Nanometrics M-215 Thickness 1 6 01.06.1989

82824 Nanometrics M-215 Thickness 1 6 01.06.198985397 Nanometrics CALIPER_MOSAIC Overlay Measurement 1 300 mm 01.06.200985398 Nanometrics CALIPER_MOSAIC Overlay Measurement 1 300 mm 01.06.200285399 Nanometrics CDS200 Overlay Measurement 1 200 mm 01.06.200385400 Nanometrics METRA7200 Overlay Measurement 1 200 mm85401 Nanometrics METRA7200 Overlay Measurement 1 200 mm85402 Nanometrics Nanospec AFT400 Film Thickness Measurement 1 200 mm 01.06.199785403 Nanometrics SIPHER EPI SLIP AND DEFECT 1 200 mm 01.06.200233700 NANOMETRICS Nanoline CD-50 CD Measurement Tool 133701 NANOMETRICS Nanospec 181 Film Thickness Measurement System 133703 NANOMETRICS Nanospec 2100 Film Thickness Measurement System with Irv ine Optical Optistation 200 Automatic Wafer Loader 179528 Nanometrics Nanoline CRD-III CD Measurement Tool 1 good33704 NANOMETRICS Nanospec 212 Film Thickness Measurement System with 125mm/150mm Dual Wafer Sliding Stage 184695 Nanometrics Q200I Overlay 136593 NANOMETRICS 7200 OVERLAY MEASUREMENT SYSTEM 1 200mm 01.08.200036594 NANOMETRICS NANOSPEC ATF , MARK-NANOMETRICS 136595 NANOMETRICS NANOSPEC ATF210 , MARK-NANOMETRICS 154909 Nanometrrics 8300 Metrologi 1 200mm 01.06.199767477 Nanospec 210 The Nanospec 210 is a wafer tester that has a film thickness measurement system. It has a 100 angst179404 Nanyang Napson EC-80 077197 Nanyang Quartz wafer boat Shell boat 200mm quartz 6 200mm excellent69868 National Instruments GPIB120A GPIB BUS EXPANDER / ISOLATOR 8 TEST good 01.06.199863831 Navtel Navtel Protocol Analyzer 1

84369 NC NOR-CAL PROD 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE 1 excellent 01.03.199085065 NCB Network NF200 Oven -therm litho densify 1 200 mm 01.06.2011

82694 NEC SL-473F Laser Marker 1 5 01.06.199783887 NEC C1OT 6D TA 0100 THERMOSTAT 1 new in box 01.06.2004

83888 NEC MU1238B-11B AIRFLOW ROTATION 1 new in box83889 NEC MF300-02 MIST FILTER 1 MF300-02 new in box85009 Negevtech NT3100 Optical Inspection MICROSCOPE 1 300 MM 01.06.200583545 Nemic-LAMBDA CKD-65/65 65V 6A DC Regulated power supply, Advantest T5335P 1 Spares good83546 Nemic-LAMBDA TKS_36-21A 36V 21A DC Regulated power supply, Advantest T5335P 1 Spares good64780 NESLAB HX-75 Recirculating Water Chiller, Air Cooled 110028 NESLAB HX-150DD Recirculating Water Chiller 1

10031 NESLAB HX-300 Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled 1 FACILITIES good67122 NESLAB HX-150 Recirculating Water Chiller, Air Cooled 1

55858 NESLAB HTD-1 Galden Chiller, Water Cooled 177665 Neslab HX-2000 Chiller 1 good 01.09.2001

33649 NESLAB HX-150 Recirculating Water Chiller w/Analog Temp Ctlr, Water Cooled 133654 NESLAB HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled 176920 Neslab MX-500 Chiller Refurbished 181824 NESLAB HX-150 air cooled chiller 1 excellent74168 Neslab RTE-110 chiller 1 excellent60890 NESLAB STEELHEAD-1 CHILLER 160891 NESLAB MX-500W CHILLER 160892 NESLAB HX-300 CHILLER 160893 NESLAB HX-300 CHILLER 160894 NESLAB HX-300 CHILLER 172159 Neslab HX-150 Air cooled Chiller 160895 NESLAB STEELHEAD-1 CHILLER 172160 Neslab HX-150 Air cooled Chiller 160896 NESLAB STEELHEAD-1 CHILLER 160897 NESLAB CHILLER 160898 NESLAB SYSTEM-5 CHILLER 160900 NESLAB STEELHEAD HX-300W/C CHILLER 160901 NESLAB STEELHEAD-1 CHILLER 114566 Neslab ESC-150 Recirculating Water Chiller w/Digital Temp Controller 1 good 01.06.199561171 NESLAB ESC-150 Recirculating Water Chiller, Water Cooled with Magnetic Drive Pump 161172 NESLAB HX-150 Recirculating Water Chiller, Air Cooled w Digital Temp Ctlr 161173 NESLAB HX-200 Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled 167361 NEUTRONIX QUINTE 7000 NEUTRONIX QUINTEL 7000 HIGH RESOLUTION MASK ALIGNER CURRENTLY CONFIGURED FOR 1

85645 Nextest Maverick II PT Design Verification Tester 1 TEST85646 Nextest Maverick II PT Design Verification Tester 1 TEST

85647 Nextest Maverick II PT - Spares Kit SPARE PARTS kIT FOR A TEST SYSTEM 1 TEST excellent84205 Nextest Maverick 2 PT Bitmap tester 2 Test excellent 01.06.200555890 NEY ULTRASONICS microSONIK 170kHz Dual Sweep Pulse Generator & Power Module 179195 NGK Megcon PRC II-2000ACD Antistatic System For DI water 1 facilities good 01.06.201372024 NICOLET ECO1000 FTIR 1 8" 01.04.199972025 NICOLET ECO1000 FTIR 1 8" 01.12.200072026 NICOLET ECO1000S FTIR 1 8" 01.03.199672027 NICOLET ECO1000S FTIR 1 8" 01.05.199772028 NICOLET ECO1000S FTIR 1 8" 01.03.2000

72029 NICOLET ECO1000S FTIR 1 8" 01.05.199785963 Nicolet Continuum XL FT-IR 1

85964 Nicolet ECO 3000 FT-IR 1 300 mm71625 NIDEK IM-11 WAFER LOADER 176895 Nihon Garter NCT-3100 11 LED Taping Machine 1 01.11.200382025 NIHON KOSHUHA RFC BOX DIFF 382044 NIHON KOSHUHA MKN-502-3S2B03-PS DIFF 282904 Nikcon AMI-2000 Surface Analyzer 1 good 01.06.200360928 NIKON NSR 4425I 185514 Nikon NSR2005i10C iline Stepper 1 150 mm 01.06.199585515 Nikon NSR2205EX12B KrF Stepper 1 200 mm85516 Nikon NSR2205EX12B KrF Stepper 1 200 mm61196 NIKON Optiphot 200 Wafer Inspection Microscope 185517 Nikon NSR2205EX12B KrF Stepper 1 200 mm61197 NIKON Optiphot 88 Binocular Microscope with 5X, l0X, 20X & 40X 1 200 mm85518 Nikon NSR2205EX14C KrF Stepper 1 200 mm85519 Nikon NSR2205EX14C KrF Stepper 1 150 mm 01.06.200185520 Nikon NSR4425i iline Stepper 1 200 mm 01.06.199685521 Nikon NSR4425i Stepper 1 01.06.199885522 Nikon NSRS204B KrF Scanner 1 200 mm 01.06.200185523 Nikon NSRS204B KrF Scanner 1 200 mm85524 Nikon NSRS204B KrF Scanner 1 200 mm 01.06.200085525 Nikon NSRS205C KrF Scanner 1 200 mm85526 Nikon NSRS205C KrF Scanner 1 200 mm85527 Nikon NSRS205C KrF Scanner 1 200 mm

85528 Nikon NSRS205C KrF Scanner 1 200 mm85529 Nikon NSRS205C KrF Scanner 1 200 mm85530 Nikon NSRS205C KrF Scanner 1 200 mm85531 Nikon NSRS306c ArF Scanner 1 200 mm 01.06.200178620 Nikon SF100 0 300mm good 01.05.200384508 Nikon Optiphot 300 Wafer Inspection Microscope 300mm 1 excellent85532 Nikon NSRS306c ArF Scanner 1 200 mm 01.06.200385533 Nikon NSRS306c ArF Scanner 1 200 mm 01.06.200285534 Nikon NSRSF140 PHOTO 1 01.06.200686047 Nikon S204 248NM (0.68NA) SCANNER 200 MM TO 300 MM CONVERSION KIT 1 300 mm86048 Nikon S204 DUV scanner, 248 nm 1 200 mm83751 NIKON S620D Lithography Exposure tool 1 300 mm excellent 01.10.201083752 NIKON S620D Lithography Exposure tool 1 300 mm excellent 01.10.201035882 Nikon OPTISTATION V WAFER INSPECTION SYSTEM 178635 Nikon NSR 2205i10C i-line Stepper 1 200 mm refurbished84011 Nikon NSR 2005 i11D i-line wafer stepper for sapphire wafers 2 2 inch flat excellent 01.07.199467116 Nikon Y Linear motor controller 167117 Nikon Ushio power supply 181969 Nikon NSR2205i12D i line stepper 1 200 mm good 01.06.199781970 Nikon NSR2205 i12D i line stepper 1 200 mm excellent 01.06.199781971 Nikon NSR2005i10C 150MM 010038 NIKON Metaphot Binocular Microscope with 5X, 20X, 40X & 100X BF/DF Obj. Lenses, Polaroid Camera 1 125 mm excellent69943 Nikon NSR 2205 i12D i-line stepper 1 150 mm good63803 Nikon 1755G7A Stepper 2 6" 01.11.199066108 NIKON NRM3300 OVERLAY 182238 Nikon NSR2205 i14E i-line stepper 1 200 mm excellent 01.06.200079429 Nikon NSR 2005 i9C Wafer Stepper for LED use 1 100 mm (Will accomodatexcellent 01.09.201177128 Nikon Retic le R2205HMF Retic le 1 excellent74827 Nikon MM40 MEASURING MICROSCOPE 1 200 mm

79179 Nikon i9C 6" WAFER/5" RETICLE 074828 Nikon NEXIV VMA-2520 Video Measuring System 171502 Nikon S204B (Parts) Cognex Unit / VME I/F for Nikon stepper 1 spares excellent85072 Nikon NSR 2205i14E I-Line Wafer Stepper 1 200 mm61009 NIKON SMZ-U ZOOM MICROSCOPE 161010 NIKON EPIPHOT200 MICROSCOPE 155891 NIKON V-12 Optical Comparator 1

55892 NIKON NWL-641 Cassette Wafer Loaders for up to 150mm Wafers 164341 Nikon VMR 3020 Video Measuring System 1 excellent 01.01.200174839 Nikon Optistation 3 MICROSCOPE 1 200 MM56922 Nikon MM-40 Measurment Microscope 177148 Nikon MM40 Measurment Microscope 179198 Nikon Lasermark Overlap inspection System 1 300 mm good 01.06.201353089 Nikon OPTIPHOT 88 Microscope 1 good55910 NIKON Optiphot 150 Wafer Inspection Microscope, 10X, 20X, 50X, 100X Objectives, 150mm XY Stage 1 excellent63591 Nikon 4425i i-line wide field stepper 3 200 mm excellent66413 Nikon NSR 4425I 1 200mm66416 Nikon Optistation 3A 166417 Nikon Optistation 3A 176913 Nikon MM40 Measurement Microscope 182801 Nikon NSR-1755G7A stepper 1 6 01.06.199066418 Nikon Optistation 3A 170002 Nikon MX-50 Microscope 182802 Nikon NSR-1755G7A stepper 1 6 01.06.199166419 Nikon Optistation 3A 179731 Nikon Optistation 7 Wafer Inspection Microscope 1 300mm excellent82803 Nikon NSR-1755G7A stepper 1 6 01.06.199135699 Nikon NSR S203B DUV Scanner 1 excellent 01.07.199966420 Nikon Optistation 3A 182804 Nikon NSR-1755G7A stepper 1 6 01.06.199035700 Nikon NSR S203B DUV Scanner 1 excellent 01.10.199976917 Nikon MM-40 Measurment Microscope 182805 Nikon NSR-1755G7A stepper 1 6 01.06.199135701 Nikon NSR S203B DUV Scanner 1 excellent

76918 Nikon MM-40 Measuring Microscope 116502 Nikon Optistation 3A Automatic Wafer Inspection Station 1

82806 Nikon NSR-2005G8C Stepper 1 5 01.06.199535702 Nikon NSR S203B DUV Scanner 1 excellent82807 Nikon NSR-2005i8A Stepperý 1 6" 01.06.199282808 Nikon NSR-2005i9C Stepperý 1 6" 01.06.199955928 Nikon NSR2205i11D i-line stepper 4 200 mm 01.06.199582809 Nikon NSR1505i7A Stepper 1 6 01.06.199082810 Nikon NSR1505i7A Stepper 1 6 01.06.199082811 Nikon NSR1505i7A Stepper 1 6 01.06.199082812 Nikon NSR1505i7A Stepper 1 6 01.06.1990

Page 10: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

82813 Nikon NSR1505i7A Stepper 1 6 01.06.199082814 Nikon NSR1505i7A Stepper 1 6 01.06.199082815 Nikon NSR1505i7A Stepper 1 6 01.06.199082816 Nikon NSR1755i7A Stepper 1 6 01.06.199182817 Nikon NSR1755i7A Stepper 1 6 01.06.199882818 Nikon NSR1755i7B Stepper 1 6 01.06.199482819 Nikon NSR1755i7B Stepper 1 6 01.06.199579242 Nikon S203B DUV scanner - lithographic exposure tool 1 300 mm excellent 01.06.200179243 Nikon S205C DUV scanner - lithographic exposure tool 1 300 mm excellent 01.06.200365933 Nikon ECLIPSE L150 Metallographic Microscope 149807 Nikon V12 Profile Projector 116275 Nikon Optistation 3 Wafer Inspection System 1

55968 Nikon MM-40 Measurment Microscope 176705 Nikon Opiphot Inspection Microscope with autoloader 1 200 mm excellent 01.04.1992

64163 Nikon NSR-2005i10D Stepper 1 150mm 01.06.199433708 NIKON Optiphot Wafer Inspection Microscope 1

33711 NIKON Optistation 2A Automatic Wafer Inspection Systems for 75mm- 150mm Wafers, Auto Focus, 4ea Available 118867 NIKON 204402 Operations manual NSR-1755I7A 1 good67774 Nikon BF/DF Dynascope 186207 Nikon NSRS306c ArF Scanner 1 200 mm excellent 01.06.200284672 NIKON NSR2205EX12B KrF Stepper 138848 Nikon Stepper Spares for i14,EX14,S202 and other steppers 184673 NIKON NSR2205EX12B KrF Stepper 1

84674 NIKON NSR2205EX12B KrF Stepper 167779 Nikon V-16 Optical Comparator 167780 Nikon 6C Comparator 167781 Nikon V14 Optical Comparator 167783 Nikon V-10 Optical Comparator 184168 Nikon NSR 2205 i11D I-line stepper 1 200 mm good67785 Nikon 76400 S Series Scope 171116 Nikon Optiphot 66 Microscope 185965 Nikon Optistation 3200 Optical Review System 1 300 mm85966 Nikon Optistation 3200 Optical Review System 1 300 mm32206 NIKON RETICLE BOX 5 INCH 5 INCH RETICLE BOX 2 5 INCH66768 Nikon NSR4425I i-line wide field stepper 1 200 mm good66769 Nikon NSR4425I i-line wide field stepper 1 200 mm good82905 Nikon AMI-3000 Surface Analyzer 2 200 good66010 Nikon NSR 1755 G7 G-line Stepper 1 refurbished82906 Nikon NSR-S204B Lithography Equipment 1 200 good 01.06.200182907 Nikon NSR-SF100 i-Line Stepper 1 200 good 01.06.200072933 Nikon NWL 641 Microscope Wafer Loader 069351 Nikon 4425i Nikon Stepper 177033 Nikon NSR 2005i8A Stepper 177034 Nikon NSR 2005 i12D Stepper 1 150 mm refurbished71918 Nikon Irradiance meter Irradiance meter with i-line probe 1 excellent77042 Nikon NSR2205i8A i-line Stepper 1 150 mm refurbished 01.06.199361170 NIKON Optistation 3 Automatic Wafer Inspection Systems for 150mm Wafers 3 150 mm79869 Nikon 1755G7A Stepper 1 6" excellent 01.11.199079103 Nikon NEXIV VMR 3020 Video Measuring Microscope 1 Assembly excellent 01.02.201162742 Nikon (Ushio ) SUV-7500NI 7.5KW ARC Lamp 182146 NISSHINBO 1722N Laminator 1 excellent82770 NISSIN NH-20SR Implanter 1 6" 01.06.1989

82771 NISSIN NH-20SR Implanter 1 6" 01.06.198984611 NISSIN Exceed 2000 Medium Current IMP 183906 NITROGEN PRESSURE VALVE 1 new in box 01.12.199283628 NITSUKO BCR 2600/BCV 5050 POWER SUPPLY 3 new in box82708 NITTO Detaper tape 182709 NITTO Detaper tape 173239 Nitto HR 8500-11 De-Taper 0 3 inch to 8 inch excellent 01.06.199882224 Nitto DR 8500 II Fully Automatic Wafer Taper 1 200 mm excellent 01.10.199870980 Nitto HR-8500-11 Nitto De-Taper 171495 Nitto HR&DR 8500-11 Nitto Taper and De-Taper 179186 Nitto DR3000III Nitto Denko Full Auto Taping System 1 300 mm and 200 mm good 01.06.201379187 Nitto UA3000II Full-auto UV irradiator for 300mm wafer 1 300 MM excellent 01.06.201379188 Nitto HR3000III Nitto Denko Full Auto De-taping System 1 300 mm and 200 mm good 01.06.201373045 Nitto DR8500 11 Nitto Taper 179189 Nitto MA3000III Full auto wafer mounting system / Taper 1 300 mm good 01.06.201383597 Nitto TT1R2-1 teach pendant for robot TT1R2-1 1 spares good79540 Nitto HR8500-II detaper with UV option 1 good 01.06.200171101 Nitto HR-8500 11 De-Taper 179549 NITTO M286N Wafer mounter 1 good32210 Nitto HR8500-2 INSTRUCTION MANUAL 1 MANUAL excellent32212 Nitto DR8500-2 INSTRUCTION MANUAL 2 MANUAL32214 Nitto 44941001 TAPE SPOOL 2 PARTS excellent32216 Nitto 1603502 TAPE SPOOL 1 PARTS excellent69338 Nitto HR 8500-III Fully Automatic De-Taper 1 200 mm excellent 01.03.201085226 Nitto DR8500II Taper 1 200 mm 01.06.199985227 Nitto DR8500II Taper 1 200 mm 01.06.200485228 Nitto HR8500II Detaper 1 200 mm 01.06.200278837 Nitto MA1508N WAFER MOUNTER 1 ASSEMBLY good 01.06.199776887 Nitto Denki M286N Semi Auto Wafer Mounter 1 excellent10046 NITTO DENKO D-304 Automatic Wafer Taper 1 01.06.199210047 NITTO DENKO H-304 Automatic Wafer Detaper 186124 NITTO DENKO DR8500-II Taper 186125 NITTO DENKO DR8500-II Taper 184858 Nitto Denko HMT840 Manual De-taper 1 200 mm79548 NITTO DENKO MA3000II Wafer mounter 1 good 01.06.200470301 NK RM 120 REAL TIME MONITOR 1 SPARES good

71618 NORAN 683A1SPS EDX 184436 NORAN 683A1SPS METROLOGY 1 20083937 Norgren M/50/EAN/5V electronic switch 1 as new84427 NOT LISTED NOT LISTED WET PROCESS 1 20053146 NOVA 210 CMP ENDPOINT MEASUREMENT SYSTEMS 5 200 mm excellent70300 Novascan 945-00666-00 HALOGEN LAMP 2 SPARES new in box74950 Novascan 420 CMP measurement system 162725 Novellus C1 SiO2 / Nitride 1 excellent 01.01.199483976 Novellus C2 Dual Altus CVD system for Tungsten Deposition 1 200 mm excellent 01.06.200185260 Novellus C2 Dual Altus STD WCVD 1 200 mm 01.06.199485261 Novellus C2 Dual Speed Shrink HDP 1 200 mm 01.06.200085262 Novellus C2 Dual Speed Shrink HDP 1 200 mm 01.06.199985263 Novellus C2 Single Altus Shrink WCVD(PNL) 1 200 mm 01.06.199685264 Novellus C2 Triple Speed Shrink HDP 1 200 mm85265 Novellus C2 Triple Speed Shrink HDP 1 200 mm77586 Novellus Inova XT PVD (Physical Vapor Deposition) 1 30085266 Novellus C2 Triple Speed Shrink HDP 1 200 mm 01.06.200185267 Novellus C2 Triple Speed Shrink HDP 1 200 mm 01.06.200585268 Novellus C3 Speed MAX CVD 1 300 mm 01.06.200685269 Novellus C3 Speed NEXT HDP 1 300 mm 01.06.200484758 Novellus Concept 1 CVD- UNDOPED SILANE and SiN 1 200 mm good 01.06.199185270 Novellus C3 Speed XT ILD,IMD 1 300 mm 01.06.200885271 Novellus C3 Vector CVD 1 300 mm85272 Novellus C3 Vector CVD 1 300 mm85273 Novellus C3 Vector CVD 1 300 mm85274 Novellus C3 Vector CVD 1 300 mm85275 Novellus C3 Vector CVD 1 300 mm

85276 Novellus C3 Vector CVD 1 300 mm 01.06.200485277 Novellus C3 Vector Extreme CVD 1 300 mm 01.06.201076066 Novellus C2 SPEED HDP CVD (Chemical Vapor Deposition) 1 200 01.01.200176069 Novellus C2 Speed max CVD 1 300 mm 01.06.200876070 Novellus C2 speed Standard CVD - parts machine 1 300 mm76072 Novellus C2 Triple SPEED HDP CVD (Chemical Vapor Deposition) 1 200 01.01.200483753 NOVELLUS SABRE NEXT Metal Deposition - ECD 1 300 mm excellent 01.02.2011

76076 Novellus C3 Altus Tungsten CV Deposition 1 300 mm83759 NOVELLUS C3 SPEED CVD 1 300 mm good 01.06.200683760 NOVELLUS C3 SPEED CVD 1 300 mm good 01.11.200582226 Novellus Innova PVD 1 300 mm good 01.06.201083772 NOVELLUS SPEED CVD 1 300 mm 01.03.200683773 NOVELLUS VECTOR PE-CVD 1 300 mm good 01.06.200584541 NOVELLUS C2 SPEED CVD 1 200 mm83774 NOVELLUS VECTOR PE CVD 1 300 mm good 01.06.200511071 NOVELLUS 02-00345-01/REVT Novellus Gas Cabinet by e-Flow 183775 NOVELLUS VECTOR PE-CVD 1 300 mm good 01.06.200584041 Novellus Concept 2 Dual Altus (Shrink) CVD system for Tungsten Deposition 1 200 mm refurbished78668 Novellus INOVA NEXT PVD 1 300 MM excellent 01.05.201078669 Novellus INOVA NEXT PVD 1 300 MM excellent 01.12.201066390 Novellus 676 166391 Novellus 676 166392 Novellus 676 166393 Novellus Speed C3 185337 Novellus Sabre XT Electro Copper Plating 1 200 mm 01.06.199966394 Novellus Speed C3 185338 Novellus Sabre XT Electro Copper Plating 1 200 mm 01.06.199966395 Novellus Speed C3 166396 Novellus Speed C3 166397 Novellus Speed C2 166398 Novellus Speed C2 166399 Novellus Speed C2 166400 Novellus Speed C2 166401 Novellus Speed C2 166402 Novellus Speed C2 166403 Novellus Speed C2 1

66404 Novellus Speed C2 166405 Novellus Speed C2 171282 Novellus C2 Inova Copper damascene deposition 1 200 mm excellent84338 Novellus Innova PVD 271283 Novellus C2 Inova Copper damascene deposition 1 200 mm excellent52353 Novellus C2 Triple Speed 0 20052354 Novellus C2 Dual Speed Sequel 1 20052355 Novellus C2 Dual Speed Sequel 0 20052356 Novellus C2 STI Dual Speed 1 20083599 Novellus Concept 3 Speed CVD System, 3 chamber, STI / IMD process 1 300 mm excellent 01.06.200585648 Novellus SABRE 3D ECD (Electro Chemical Deposition) 1 300 mm excellent71581 NOVELLUS CONCEPT ONE CVD 550592 Novellus C2 Altus CVD 1 200 MM excellent 01.06.200181830 Novellus Sabre XT Electrochemical Deposition for Copper 1 good85675 Novellus VECTOR PECVD (Chemical Vapor Deposition) 1 300 mm85676 Novellus VECTOR Express PECVD (Chemical Vapor Deposition) 1 300 mm85677 Novellus VECTOR Express PECVD (Chemical Vapor Deposition) 186204 NOVELLUS XM90 PVD system 138849 Novellus C2 Altus Spare Parts 135783 NOVELLUS C2 ALTUS Standard type CVD W-deposition 1 200 mm excellent 01.01.199784434 NOVELLUS PEP IRIDIA ASHER 1 20086229 Novellus Sabre Electro-chemical deposition 1 200 mm82908 Novellus Inova XT PVD (Physical Vapor Deposition) 1 300 good83684 Novellus 2000 LL Etch 1 8"60904 NOVELLUS CONCEPT ONE CVD 2 200mm55021 NOVELLUS Concept 2 Speed Shrink Deposition Equipment, HDP CVD (Chemical Vapor Deposition) 1 200mm 01.06.200371670 NOVELLUS PEP IRIDIA DUAL CHAMBER ETCHER 282683 Novellus INOVA NEXT PVD 1 300 mm excellent 01.06.200636604 NOVELLUS GASIONICS, PEP 3510A/A(H) 1 200mm 01.06.199885108 Novellus Systems Inova PVD PVD Copper Barrier seed 1 200 mm 01.06.200985109 Novellus Systems Inova PVD PVD Copper Barrier seed (For spares use) 1 200 mm 01.06.200884945 Novellus Systems Sabre Xt Wet copper plating system 1 200 mm81960 NPC NCT-150 PV Cell Test PV Cell Test System 1 excellent82026 NPP NPMT-NV07-01 CVD 182119 NPP NPG-10KM CVD 582120 NPP 3

82145 NPP NPRLC-400 CVD 1183552 NSK GLOBAL LTD MAEBASHI PLANT BALL SCREW 4 W1201-112P excellent 01.03.200581923 NTC MWM442DM Solar wire saw 2 excellent 01.01.201183567 Numatics 225-272B Solenoid Valve 1 as new 01.04.200974178 Numatics 12DSA4 OA00030 Solenoid Valve 120V 1 01.04.200974179 Numatics 227-833B valve 3 as new74180 Numatics L22L-03 FILTER PNEUMATIC 1 01.01.201183905 NUPRO SS-4BK-V51 REGULATOR PRESSURE 1 new in box83914 NUPRO SS-4R3A1- TUBE FITTINGS & VALVE 1 new83916 NUPRO 7 MICRON 1 new in box83917 NUPRO 107 1 new in box34527 OAI 2000 SM EXPOSURE SYSTEMS MAY BE CONFIGURED AS EITHER AN EDGE-BEAD EXPOSURE SYSTEM OR A1 200mm excellent84587 Oerlikon TRIVAC 65 Vacuum Pump 1 01.06.200879412 Oerlikon Leybold TURBOVAC MAG W 2000 CT Turbo Vacuum Pump - Rebuilt 1 refurbished69711 Okamoto GNX 200 Grinder 1 200 mm excellent 01.10.200067676 Okamoto GNX-200 Automatic Backgrinder up to 8 inch 1 good69471 Okamoto GNX-200 B grinder 1 excellent 01.06.200569986 Okamoto VG-502 Mark II Back grinder 2 200 mm good 01.06.199886126 OKAMOTO VG502-MKII/8 Back Grinder 1 150 mm 01.06.199586127 OKAMOTO VG502-MKII/8 Back Grinder 1 150 mm 01.06.199585660 Okamoto Grinder-X ACC-12.24DX Surface Grinder 152150 Okamoto SVG 503 MK2-8 Back Side Grinder 4" 1 good79550 Okamoto GNX300 Wafer Grinder 1 300mm good86231 Okamoto SVG 401H Back Grinder 1 Jun 2007 excellent77053 Okamoto GNX 300 Backgrinder 178077 OKAMOTO VG202MKII-8 Precis ion Surface Grinding Machine 1 6''-8'' excellent 01.06.200777054 Okamoto GNX 300 Backgrinder 179173 Oksan FS6500DW Diamond Wire Saw 1 156 mm excellent76347 Olympus AX80 Biological Microscope 156895 Olympus MX61 Inspection Microscope 1 assembly excellent71234 Olympus BX51 Olympus Metallurgical Microscope 177132 Olympus MX61 Metallurgical Microscope 177139 Olympus MX50 Metallurgical Microscope 12inch x 14 inch stage 169720 olympus MX80-F microscope 0 new

51046 Olympus SZ6045CHI StereoZoom Microscope with Built-In Coaxial Illuminator 115207 Olympus AL100-B8 Programmable Wafer Loader 9 200 mm excellent

83570 OLYMPUS KWM15 MICROSCOPE 1 512 815/20 excellent70007 Olympus SZ30 Stereo Microscope 1 excellent74877 Olympus AL2100 MICROSCOPE 1 200 MM80254 OLYMPUS DBAP-FA-Z SERVO DRIVER 1 30W 500 PPR excellent65934 Olympus BH2-UMA BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPE1 SPARES excellent74905 OLYMPUS SZ40 Microscope 169809 Olympus MX-50 Microscopes 333713 OLYMPUS BHM Wafer Inspection Microscope with NeoSPlan 5X, 10X, 20X & 50X Objectives 186194 Olympus AL-2000 Wafer Inspection Microscope 1 200 mm33714 OLYMPUS SZ3060 StereoZoom Microsope 178264 Olympus MX 50 Microscope 267775 Olympus BHC Relfected and Transmitted Light 167776 Olympus BHA Relfected and Transmitted Light 185967 Olympus AL3120F Macro-Defect 1 300 mm85968 Olympus AL3120F Macro-Defect 1 300 mm81910 Olympus BX51TF Olympus Metallurgical Microscope 1 excellent76711 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION 1 200 mm excellent 01.12.199676712 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION 1 200 mm excellent 01.12.199676713 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION 1 200 mm excellent 01.12.199676714 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION 1 200 mm excellent 01.12.199676715 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION 1 200 mm excellent 01.12.199676716 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION 1 200 mm excellent 01.12.199676717 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION 1 200 mm excellent 01.12.199676718 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION 1 200 mm excellent 01.12.199676719 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION WITH AUTOLOADER 1 200 mm excellent 01.12.199676720 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION WITH AUTOLOADER 1 200 mm excellent 01.12.199676721 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION WITH AUTOLOADER 1 200 mm excellent 01.12.1996

76722 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION WITH AUTOLOADER 1 200 mm excellent 01.12.199676723 OLYMPUS / ZEISS AL100 / AXIOTRON MICROSCOPE INSPECTION STATION WITH AUTOLOADER 1 200 mm excellent 01.12.199660974 OLYMPUS OPTICAL BX60F5 SCOPH 180247 Olympus Optical DBAP-FA-Z GA Servo Driver 1 good77938 Omniguard 860UV-IR UV Fire detector, w/ mount 2 as new53032 OMRON APR-S Reverse Phase Relay 1 spares excellent13195 OMRON r88d-ua02ha servo driver 1 excellent 01.12.2003

82837 OMRON VT-RNS-LS AOI 1 good 01.06.200684386 OMRON E3C-DM2R 2 M PHOTOELECTRIC SWITCH 3 2 m new in box

84395 OMRON E3C-C PHOTOELECTRIC SWITCH 1 new in box84396 OMRON E5CJ TEMPERATURE CONTROLLER 1 MULTI RANGE new in box

84397 OMRON E3S-X3CE4 TEMPERATURE CONTROLLER 2 new in box83886 OMRON TL-W5WC2 PROXIMITY SWITCH 1 new in box84398 OMRON E3XR-CE4 TEMPERATURE CONTROLLER 2 new in box84399 OMRON E2E-C1C1 PROXIMITY SWITCH 1 new in box84400 OMRON E3S-XE1 PHOTO ELECTRIC SWITCH 1 new in box84401 OMRON E3C-JC4P PHOTO ELECTRIC SWITCH 1 new in box84402 OMRON E3X-A11 PHOTO ELECTRIC SWITCH 1 new in box84403 OMRON E3HT--DS3E2 PHOTO ELECTRIC SENSOR 1 new in box84404 OMRON E3C--JC4 AMPLIFIER UNIT 1 new in box84405 OMRON EE-SPW321 PHOTO MICROSENSOR 1 new in box84406 OMRON E32-TC200A PHOTO ELECTRIC SWITCH 1 new in box82162 Omron VT-WIN II_L type inspection machine 1 excellent 01.06.200633758 ON SITE GAS SYSTEM N-20 N2 Generator with 100 Gallon Storage Tank 121666 ONE AC CORP FMV 321S TRANSFORMER FOR KLA 7700 SERIES PSU 1 SPARES good 01.02.199684855 OnTrak Systems DSS2200 Synergy Post CMP wafer c leaner 1 200 mm 01.06.200484588 OPTEK DPL 24 Laminator 1 01.06.200884589 OPTEK DPL 24 Laminator 1 01.06.201121123 ORIEL 68805 POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP 1 FACILITIES excellent 01.06.199283620 ORIEL 68805 ULTRAVIOLET LIGHT - LAMP HOUSING 1 SPARES excellent13066 ORIENTAL MOTOR SEE COMMENTS GEAR HEADS 7 excellent15900 ORIENTAL MOTOR 21K6GK-A2 INDUCTION MOTOR 2 excellent83805 ORIENTAL MOTOR 21K6GN-A 1 TW9 50602 new in box83806 ORIENTAL MOTOR 51K40GN-AT 1 new in box83807 ORIENTAL MOTOR PB204-101 2 excellent83808 ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC 1 excellent

83809 ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC 1 good 01.12.199383811 ORIENTAL MOTOR XU9 MOTOR ELECTRIC FO FR CARRIER MOTOR 1 excellent83823 ORIENTAL MOTOR 4GK15K MOTOR ELECTRIC 1 excellent83825 ORIENTAL MOTOR MBM425-411 SPEED CONTROL MOTOR 1 excellent83834 ORIENTAL MOTOR PH596-A STEPPING MOTOR 1 SPARES excellent84370 ORIENTAL MOTOR PH265-02 2-PHASE STEPPING MOTOR 1 1.8/STEP excellent13206 ORIENTAL MOTOR 4LF45N-2 LINEAR HEAD 1 SPARES new in box83875 ORIENTAL MOTOR UPH564-A 5 PHASE STEPPING MOTOR 1 SPARES new in box83629 ORIENTAL MOTOR 4RK25RGK-AM REVERSIBLE MOTOR 1 SPARES new in box

83893 ORIENTAL MOTOR 4GN30K GEAR HEAD 1 excellent76891 Orthodybe M360CHD Heavy Wire Bonders 1 refurbished

84830 Orthodyne 360C Heavy wire bonder 1 Assembly good60288 Orthodyne 20R Ultrasonic Ribbon Wire Bonder 160289 Orthodyne 20B Ultrasonic Small Wire Bonder 170553 Orthodyne 360B Manual heavy Wire Bonder 167514 Orthodyne 20 136605 OSI METRA-2200M/FA OVERLAY MEASUREMENT SYSTEM 1 200mm 01.06.199636606 OSI METRA-2200M/FA OVERLAY MEASUREMENT SYSTEM 1 200mm 01.06.199681962 Owens Cell Tester 15000-001 cell tester 5 module 182215 Oxford Plasmalab 100 PE CVD 1 150 mm and 200 mm excellent 01.04.200382234 Oxford Plasmalab 80 Plus PE CVD 1 150 mm and 200 mm excellent 01.04.200367202 OXFORD 80 REACTIVE ION ETCHER (RIE) 167203 Oxford 90 REACTIVE ION ETCHER (RIE) 179284 OXFORD INCA L300QI EDX FOR CAMBRIDGE SEM 1 01.06.200080292 Oxford Instruments X-Strata 980 XRF machine for coating thickness and material composition analysis 1 Assembly good79285 Oxford Instruments CMI 950 X-ray fluorescence spectrometer 1 01.06.200071760 Oxford Plasma Techn DP-80 Parallel Chamber Deposition system 1 good 01.12.198979566 Oxford Plasmalab Phoenix 133 - ICP 380 Etcher 1 refurbished80259 PALL GLF6101VF4 GASKLEEN GAS FILTER 6 excellent83908 PALL GLF6101VF4 GAS FILTER 1 new85404 Panalytical(Spectris) XpertProMRdXL Xray Analyzer 1 200 mm 01.06.201164071 Panasonic FCB3 NM-SB50A Flip Chip Bonder 1 150 mm, 200 mm, 300 excellent 01.12.200474317 Panasonic SMT LINE Complete Line including feeders 1 01.05.199583824 PANASONIC MF A 020LATNP AC SERVO MOTOR 1 SPARES excellent

77202 Panasonic M91C90GD4W1 AC Geared Motor (G Series) 1 as new 01.01.200982835 Panasonic CM402-M chip mounter 1 good 01.06.200479836 Panasonic HW27U-HF Gold Ball Wire Bonder 1 excellent80355 Panasonic FCB3 flip chip bonder 1 ASSEMBLY good 01.06.200671166 Panasonic VC45C-D AOI machine 183838 PARKER 60 SERIES QUICK COUPLING FEMALE COUPLER 9 BH4-60 excellent84768 Partial G6 (730 mm x 920 mm) Touch Screen Panel Production Line (Metrology Equipment) 36 G6 (730 x 920) excellent 01.06.201184524 Partial 8 inch Wafer Fab reclaim line 1 150 mm and 200 mm excellent 01.06.199779565 Partial 6 inch wafer fab line 60 150 mm good 01.06.199579712 Partial Line of Reliability Testing Laboratory Equipment 34 Reliability excellent 01.06.200684955 Partic le Meas Sys LASAIR-110 Partic le Counter 1 Facilities 01.06.2013

84058 PATLITE SEFW-A SIGNAL TOWER 1 as new82027 PEARL M-30A2S-V ETCH 6

82028 PEARL PFDUAL-6B36A ETCH 282029 PEARL RP-3000-100MK-PS ETCH 182030 PEARL ZDK-926-100M ETCH 182121 PEARL RP-3000-100MK-PS ETCH 4482122 PEARL ZDK-926-100M ETCH 684183 Pearl Kogyo RP-5000-60MA RF GENERATOR 1 SPARES good84232 Pepperl Fuchs OBE5000-18GM70-SE5 proximity sensor 1 Spares good84234 pepperl&fuchs OBE5000-18GM70-E5-V1 Proximity sensor 1 Spares good71791 Perkin Elmer 4410 Sputtering System 185122 Perkin Elmer Pyris 1 DSC Scanning Calorimeter 1 Laboratory and Scientifgood67468 Perkin Elmer 4450 * 3 delta targets, DC and RF P/S 184626 PERKIN ELMER X Ray 1 N/A60770 Perkin Elmer Thermal TMA-7, TGA-7, DSC-7, TAC-7/DX Thermomechanical Analyzer, Thermogravimetric Analyzer, Differential Scanning Calorimeter, Therma667106 Perkin-Elmer DSC7 And TAC7/DX Differential Scanning Calorimeter and Thermal Analysis Controller 167109 Perkin-Elmer TMA7 And TAC7/DX Thermomechanical Analyzer and Thermal Analysis Controller 171481 Peter Wolters Apollo CMP POLISHER 1 300 mm excellent 01.06.2008

71482 Peter Wolters Apollo CMP POLISHER 1 300 mm excellent 01.06.200871483 Peter Wolters AC-P2000 CMP POLISHER 1 300 mm excellent79275 Peter Wolters AG PM300 Apollo cmp polisher 1 300 mm 01.06.200382178 Pfeiffer PKR251 vacuum guage PTR26002 1 fair83732 Pfeiffer 2200 Vacuum Pump 9 pump good83733 Pfeiffer 1600 Vacuum Pump 2 pump good83734 Pfeiffer 1001 Vacuum Pump 8 pump good

83737 Pfeiffer TPH-2301-P Turbo vacuum pump 1 pump good84073 Pfeiffer PTR26761A Pirani Guage, D-35614 TPR265 2 poor 01.06.200177259 Pfeiffer WS 6000 PP S0 500 mechanical vacuum pump 6 pump new unused 01.11.200771060 Pfeiffer Vacuum TPH 520 Vacuum Pump 171441 Philips Topaz Pick & Place Machine 1 good 01.01.199985925 Philips EBPG/4 E-beam Lithography System 115061 Philips SPW-2800 Xray Inspection System 1 150 mm and 200 mm excellent 01.06.200083940 Philips CM30 Scanning Transmission Electron Microscope (TEM) 1 Laboratory good 01.06.199261180 PHILIPS SPW-2800 Xray Fluorescence Metrology Tool for up to 200mm Wafers 1 200 mm67401 Philtec 2015 PHILTEC WAFER GROOVER/SECTIONER 167402 Philtec Groover 2015 FOR WAFER LAYER INSPECTION LAPMASTER 12 1

73225 Phoenix X-Ray inspection 110055 PHOENIX 520-NMP Electrode Stabiliz ing Oven 1

85123 Picosun Sunale R-200 R and D ALD deposition system 1 Up to 8 inch excellent 01.06.201383587 Pittman GM9213E081 motor 19.1 vdc 65.5:1 RATIO 1 Spares good78807 PLASMA ETCH BT-1 PLASMA CLEANER 2 ASSEMBLY excellent 01.06.2004

79358 Plasma System PBM-6200 Bake Oven 182700 Plasma System Corp. DES-212-258AV Asher 1 6" 01.06.1984

82701 Plasma System Corp. DES-212-304AV Asher 1 6" 01.06.199082702 Plasma System Corp. DES-212-304AV Asher 1 6" 01.06.199082703 Plasma System Corp. DES-212-304AV Asher 1 6" 01.06.199082704 Plasma System Corp. DES-212-304AVb Asher 1 6" 01.06.200161177 PLASMA-THERM Waf'r Batch 74 RIE/Parallel Plasma Etcher 182031 PLASMART PFDUAL-6936A ETCH 1682032 PLASMART PFDUAL-6B36A ETCH 6072031 PLASMATHERM 7300 PE(7000) (PECVD_PT#01) PECVD 1 200 mm excellent 01.07.199664126 Plasmatherm 790 Dual Chamber 1 01.01.200364129 Plasmatherm VLR LM/TM 1 01.01.200284660 Plasmatherm 790 Series Dry Etching for oxide or Nitride 1

64130 Plasmathherm VLR ICP Bosch 1 01.01.199878159 Plasmos / Yvon Jobin /SD2000 Laser Ellipsometer 1 200 mm excellent 01.08.200083933 PM500 09 P MASK RETICLE 1 good31246 PMS Liquitrack 776200 Non volatile residual Monitor 1 facilities excellent 01.11.199983615 PMS MICRO LPC-210 MICROLASER PARTICLE COUNTER 1 MALVERN WR 13 LN fair 01.02.198977045 Polaron E5150 SEM Sample Sputter 1 excellent54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 1 200 mm excellent 01.06.200584500 POLYFLOW S-620 FACILITIES 1 20035695 PolyFlow (Hood) Quartz Clean HOOD 1 excellent 01.03.199776966 Polyflow S620 Quartz Tube Cleaner 1 150 mm excellent 01.06.200584439 POLYFLOW S-620 OMNI CLEAN WET PROCESS 1 20079833 Polyflow S-620 OMNI CLEAN Quartz Tube Cleaner 1 200mm good 01.06.200279834 Polyflow S-620 OMNI CLEAN Quartz Tube Cleaner 1 200mm good79835 Polyflow S-620 OMNI CLEAN Quartz Tube Cleaner 1 200mm good84475 POLYFLOW S-620 FACILITIES 1 200 mm excellent54532 POTOP PM530A Mettalurgical Microscope 153137 Power Cube MPWC4200 Induction Soldering Station 1 01.06.198683565 Power Launch (?) FU100F Valve tube electron tube 2 excellent 01.01.200969870 Power One HPM5A2A2KS234 5V Switching Power Supply 5 TEST good 01.06.199869872 Power One HPM5C1C1E1E1H1S240 Switching Power Supply 1 TEST good 01.06.1998

69873 Power One HPM5F2F2KS233 2V Switching Power Supply 2 TEST good 01.06.199869874 Power One HPM5E2E2KS228 28 V Switching Power Supply 2 TEST good 01.06.199869875 Power One SPM2E1E1S304 28 V Switching Power Supply 1 TEST good 01.06.1998

83506 POWERTEC 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent 01.05.199483507 POWERTEC ASTEC 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent 01.02.1994

83508 POWERTEC ASTEC 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent 01.05.199483509 POWERTEC ASTEC 9J12-130-371 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent 01.06.199483510 POWERTEC ASTEC 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent 01.07.199460808 Precis ion Technologi LC2800 PTI LC2800 Lead Conditioning System 185105 PREMTEK Internationa9920 Semi-Automatic Prober 1 300 MM67459 Presco Mod 432 WITH CS1 SQUEEGE HEAD, TABLE TOP 171855 Pretech Custom Wet bench 1 300 mm good 01.06.200778175 PRI Automation 7000 Retic le stocker 1 125 mm good83716 Primax Primax HF Wet etch 1 8"

85124 Prior Scientific H105/2 Motorized stage 1 200 mm excellent 01.06.200235594 PROMETRIX VP-10 Four Point Probe for up to 200mm Wafers 1 01.05.1990

60711 Prometrix FT-750? Film Thickness Mapping System 184276 Prometrix SM300 SpectraMap Auto SM300 1 good67478 Prometrix SM200E FOR MEASURING DIELECTRIC THIN FILMS ON WAFERS UP TO 8" DIAMETER INCLUDES COMPUT1 excellent33716 PROMETRIX FT-750 Film Thickness Measuring Tool 166109 PROTEC FDS-1500 Dispenser (Underfill)_>Loader 186119 PSK DAS2000 Asher 1 200 mm 01.06.200586120 PSK DAS2000 Asher 1 200 mm86121 PSK DAS2000 Asher 1 200 mm 01.06.200654889 PSK Supra 2 Ashing 1 200mm 01.06.200686122 PSK DAS2000 Asher 1 200 mm54890 PSK Supra 2 Ashing 1 200mm 01.06.200654891 PSK Supra 2 Ashing 1 200mm 01.06.200684324 PST Co.,Ltd DCSPST/F-30VH DBP 1 300 mm for spares use 01.06.200767191 PTI Pind Tester Includes Oscilliscope, controller, shaker, and cable. 183928 PULNIX TM-7EX VIDEO CAMERA WITH FUJI NF35A-2 LENS 1 excellent 01.12.199885405 QMC SLS200 Laser Saw 1 100 mm 01.06.201384744 QuadGroup Romulus III Tester 1 N/A77519 Quaid Technologies 8900 FC Selective Conformal Coaters 1 15077520 Quaid Technologies 8900 FC Selective Conformal Coaters 1 15074251 Qualiflow AFC 50D Mass Flow Controller;Meter,Spectrometer,Gas Mix, control 1 spares 01.11.200985111 QUALITAU EM system-X Board Testing Oven 1 Reliability 01.06.200785985 Qualmark Typhoon 1 HALT System 182210 Quasi DRXF-85 Solar Ingot Growing Furnace 9 01.01.2007

82211 Quasi DRF-95 Solar Ingot Growing Furnace 1 01.01.200882212 Quasi GF-1046 2 Solar Ingot Cutter 1 01.01.2011

33761 QUINCY 25HP Screw Type Air Compressor 167415 R&K 680A PROBE STATION RUCKER AND KOLLS 680A PROBER 6" TRAVEL, MOTORIZED XY STAGE WITH B&1

60824 Racal 6062 167192 Ranso 924 HOT/COLD, DIGITAL CONTROLLED ID 8X8X10" WIDE REQUIRES CO2 OR LN2 FOR COOLING 160825 RapidTran II Wafer Transfer 167096 Rasco SO1000 Handler 2 excellent77670 Rasco BCU-750 Brine Chiller 1 excellent85008 Raytek RXW-1226SFI Wafer edge inspection 11 300 MM84239 Raytheon ELC-14947 Directed light assembly, for ESI 1 Spares good

56853 RECIF IDLW8R Wafer ID Reader - Tabletop for 200mm Wafers 233765 RECIF SPP8 Wafer Transfer for 200mm Wafers, 2ea Available 1

84959 RECIF Technologies SPP200S Wafer Sorter 1 200 mm 01.06.200785986 RECIF Technologies SIS300 Wafer Sorter 1 300 mm

10064 REDDISH ELECTRONSM500 CXE Convection Reflow Oven 177518 Rehm Anlagenbau SMS-V6-N2-2600 Convection Oven 1 N/A82909 Reichert-Jung Ultracut E 70 17 04 Specimen Preparation 1 200 good 01.06.201281958 Rena InTex Wash & Sodium Etch line 181961 Rena InOx InOx Tech 181964 Rena Inox Etcher HF2 Etcher HF2 157773 Rena Etcher In-Line Etching System 1 Solar good 01.06.200577944 RENESAS CM700 DIE BONDER 1 ASSEMBLY 01.06.200477159 Renishaw RGH24X30A00A Encoder Head NEW IN BOX 1 Spares as new33766 REVCO ULT790-9-D31 Cryogenic Freezer 185006 Revera RVX5000 Xray photoelectron spectroscopy 1 300 MM 01.06.200685007 Revera Veraflex Xray photoelectron spectroscopy 1 300 MM 01.06.200879216 ReVera VeraFlex X-Ray Photoelectron Spectroscopy 1 300 mm 01.06.200864797 RF PLASMA PRODUCAMNPS-2A Automatch Power Supply 185987 Ricor SSAI-1 N2 Purge System 178339 Rigaku MFM65 xrf 1 300 mm for spares use35595 RIGAKU 3630 Xray Fluorescence Wafer/Disk Analyzer, Missing Xray Tube 134320 Rigaku 3640 X-Ray Wafer Analyzer 1 200mm refurbished77601 Rigaku 3640 CD SEM 1 20083519 Rigaku 3640 X-ray fluoresence wafer / disk analyzer 1 200 mm excellent 01.06.200011072 RIGAKU 3700H TXRF Wafer Analyzer 1 150-200 MM excellent 01.04.199480193 RIGAKU TXRF-V300 TXRF inspection system 1 200mm, 300mm good 01.06.200871780 Rigaku TXRF 300S X-Ray Diffractometer 1 150mm to 300 mm excellent 01.01.2001

78701 RIGAKU XRF3630 TRF 1 200 mm 01.06.199579217 Rigaku MFM65 XRR/XRF 1 300 mm 01.06.2009

79732 Rigaku Wafer X300 XRF 1 300mm/200mm79733 RIGAKU XRF-3640 XRF 1 200mm82825 Rigaku 3620 B P Tester 1 01.06.198682826 Rigaku 3630 X-RAY 185406 Rigaku TXRF3750 XRay Fluorescence 1 200 mm 01.06.200786195 RIGAKU RIGAKU 3630 XRF 1 200 mm78263 Rigaku 3640 TXRF 1 excellent67771 Rigaku S/Max XRD Autosampler/Auto-changer 179066 RIGAKU XRF3640 XRF 1 200 mm

82910 RIGAKU 3630 Wafer Inspection Equipment 1 200 good 01.06.199678562 Rigaku 3272 WD Xray Diffractometer 1 300 mm excellent 01.01.2005

79350 Rigaku MFM65 X-Ray Thickness Measurement / X-ray fluorescence 1 300 mm and 200 mm excellent 01.06.201079868 Rigaku MFM65 XRF 1 300 mm good 01.06.200578563 Rion KS-40AF Partic le Counter 1 facilities 01.06.200760899 RISSHI EXK-2008 CHILLER 2CHANNEL 154178 RO-aquafine uv TOC and Chlorine destruction H20 treatment and frequency converter 083881 RONZE BERC-RD023MS 2P MICRO STEP DRIVER 3 VF C5622 new in box77951 Rood Technology Proliner LeadStar 800 Lead Straightener 1 ASSEMBLY 01.06.200777952 Rood Technology Proliner LeadStar 900 Lead Straightener and Inspection 1 ASSEMBLY 01.06.200738507 Roos RI 7100A Tester 174252 RORZE RM26A3S Stepping Motor 2-Phase 1 01.01.201153053 Rorze RR04L90 Robot 1 spares excellent 01.07.199586114 RORZE 1VRR8140 Wafer Transfer 1 excellent 01.06.199486115 RORZE 1VRR8140 Wafer Transfer 1 excellent 01.06.199463102 RORZE RR713L1521-383-E11-0 Robot, dual arm, W/ Controller 1 200mm excellent 01.06.200256234 RORZE RR713L1521-383-E11-0 Robot 1 good84179 RORZE RR700L150-Z30 Robot 1 good84956 Rorze RV201-F05-202CE-1 foup wafer loading port 1 300 MM 01.06.201184957 Rorze RV201-F05-202CE-1 foup wafer loading port 1 300 MM 01.06.201181835 Rosemont Analytical 1055-01-11-22 PH Monitor Solucomp II 1 excellent81968 Roth & Rau SINA PECVD Silicon Nitride system 176973 Roth & Rau Chiller Chiller 1 good 01.06.200556813 Roth & Rau SiNA PECVD - Deposition of Silicon Nitride 1 156 mm SQUARE/125 excellent 01.06.200567105 Royce 552 Bond Test System 1

67179 Royce 552 Load cells available..... Up to 50kg 167435 Royce 226 Supports destructive and non-destructive testing 177176 Royce 552 Bond Tester 184244 RS BY CAMIOC RS-182 GAS SPRING 2 excellent67214 RTP RAPID THERMAL PROCESSOR, RAPID THERMAL ANEALER 169454 Rucker & Kolls 682 Wafer Prober 167416 Rucker & Kolls 666 Prober 167417 Rucker & Kolls 667 167420 Rucker & Kolls 683A Rucker and Kolls 683A Prober 6" travel, Motorized xy stage with micro zoom optics and 2 objectives,167418 RUCKER AND KOLLS240 240 PROBE STATION 167419 RUCKER AND KOLLS250 RUCKER AND KOLLS 250 4" PROBE STATION 4x4 travel with 4" chuck includes B&L zoom 4 optics A177050 Rucker and Kolls 680A Prober 6 inch travel 179405 Rudolph Wafer View 220 079430 Rudolph MP 200 Cu Thin film measurement - copper film type 1 200 mm excellent72033 RUDOLPH Meta Pulse 200 Cu Copper Film metrology system 1 8" good 01.04.200172034 RUDOLPH MP200 METROLOGY 1 200 mm excellent78702 RUDOLPH MP300 METRO 1 300 MM 01.06.200778703 RUDOLPH MP300 METRO 1 300 MM 01.06.200986196 RUDOLPH WS2500 Wafer Inspection 1 200 mm 01.06.200286197 RUDOLPH WS2500 Wafer Inspection 1 200 mm 01.06.200383662 Rudolph NSX 105 macro defect inspection system 1 6 inch film frames excellent 01.06.200684432 RUDOLPH FE-VII METROLOGY 1 150/20085969 Rudolph NSX-105 Wafer Level Inspection 185970 Rudolph NSX-105 Wafer Level Inspection 185971 Rudolph NSX-105 Wafer Level Inspection 185972 Rudolph S3000S Film Thickness Measurement System 1 300 mm85973 Rudolph S3000S Film Thickness Measurement System 1 300 mm85974 Rudolph WS 3880 Bump Inspection 1 300 mm84696 Rudolph FE-IV ellipsometer 1 150 mm

79067 RUDOLPH WV320 Metrology 1 300mm85000 Rudolph Research 200XL Ellipsometer 1 200 mm 01.06.200185001 Rudolph Research SE200XL Ellipsometer 1 200 mm 01.06.199985002 Rudolph Technologie Macro Defect WV320 YVS SERVE Optical Inspection 1 Facilities85003 Rudolph Technologie S300 Wafer defect inspection 1 300 MM 01.06.200785004 Rudolph Technologie WaferView 320 Macro defect inspection 1 300 MM 01.06.200585005 Rudolph Technologie WaferView 320 Macro defect inspection 1 300 MM 01.06.2005

70089 Rudolph Technologie FE-VII Ellipsometer 1 200 mm excellent 01.06.199685407 Rudolph/August 3Di8500 wafer inspection 1 300 mm 01.06.200885408 Rudolph/August AxiS Defect Inspection 1 300 mm 01.06.200585409 Rudolph/August AxiS Macro Wafer Inspection 1 200 mm 01.06.200585410 Rudolph/August FEIV Ellipsometer 1 200 mm 01.06.199585411 Rudolph/August FEVII Ellipsometer 1 200 mm85412 Rudolph/August FEVII Ellipsometer 1 200 mm 01.06.199385413 Rudolph/August FEVII Ellipsometer 1 150 mm 01.06.200085414 Rudolph/August FEVII Ellipsometer 1 150 mm85415 Rudolph/August FEVII Ellipsometer 1 150 mm 01.06.200185416 Rudolph/August FEVIID Ellipsometer 1 200 mm85417 Rudolph/August FEVIID Ellipsometer 1 200 mm 01.06.199785418 Rudolph/August MP300(Meta Pulse 300) Thickness measurement 1 300 mm 01.06.200462622 RVSI GS7100 GS-7100 Lead Scanner 162623 RVSI LS7100 LS-7100 Lead Scanner 162624 RVSI LS7100 LS-7100 Lead Scanner 162627 RVSI LS3900 LS-3900DB Lead Scanner 162628 RVSI LS3700 LS-3700DB Lead Scanner 184750 S&K SBX9 Vapor Drying 1 N/A34495 S&K Dryer IPA DRYER, 1 200mm excellent78176 SAES MegaTorr PS7 Bulk Hydrogen Gas Purifier 1 Facilities good10072 SAGAX Isoscope 125 Film Thickness Monitor 182838 SAKI BF-Planet-X In-line AOI 1 good 01.06.200682167 Saki BF-Sirius inspection machine 1 excellent 01.06.200679602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester 1 SMT excellent 01.06.200479646 SAMCO PC-300 PLASMA CLEANING 1 Assembly 01.06.201172039 SAMCO RIE-10NR RIE 3 8" good 01.05.2000

86163 SAMCO RIE-10N RIE 1 200 mm86164 SAMCO RIE-10N RIE 1 200 mm

86165 SAMCO RIE-10NR RIE 1 200 mm36611 SAMSUNG Bead Blaster 136612 SAMSUNG Ultra Sonic 174312 Samsung CP40C Pick and Place machine with Vis ion 176899 samsung CP45FV NEO 0 may 200484373 SAMSUNG MR16R0828AN1-CKB 128 MB/8 RAM 2 excellent79004 Samsung SM320 pick and placer 1 good 01.06.200782155 Samsung SM411 Pick and Placer 1 excellent 01.06.201682156 Samsung SM471 Pick and Placer 1 excellent 01.06.2016

Page 11: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

82157 Samsung SM471 Pick and Placer 1 excellent 01.06.201482158 Samsung SM482 Pick and Placer 1 excellent 01.06.201477031 Samsung Tech-win SWB 800 Neo wire bonder 8279889 Sanitas EG Multilevel EPROM Programmer 1 Electronics Test and as new79379 Santa Clara Plastics ECLIPSE 300 Hood 179380 Santa Clara Plastics ECLIPSE 300 Hood 179381 Santa Clara Plastics ECLIPSE 300 Hood 185149 Santa Clara Plastics 8500 Wet bench, backend, TW reclaim 1 200 mm 01.06.200057549 Sanyo TCM-3500Z Pick & Place 167793 Sanyo TCM-3500Z Pick and Placer 1 01.06.200061169 Sanyo TCM-3500Z Placer 383634 SCANLAB RTC 2 Type XY-01 PC INTERFACE BOARD 2 20-TVS new in box 01.08.2006

83836 SCFH AIR 100 PSIG 1 excellent67094 Schlumberger IDS 2000 Laser Voltage Prober Parts System 1

77175 Schlumberger 7150001512 S160 PE Card 1 Spares excellent84612 Schlumberger IDS5000HX Tester 1 N/A

62632 Schlumberger IDS P2X 169968 SCHMID LIP SCHMID electronless Nikel plating and Ag LIP 184996 Scientek MSP2300XP PSL deposition system 1 300 MM 01.06.200584997 Scientek Technology Stacis 2100 active vibration isolation system 1 300 MM10076 SDI FAaST 330 Surface Photo Voltage Tester for up to 300mm Wafers 1 300 mm / 200 mm excellent10077 SDI FAaST 300 Dielectric Charaterization Tool with COCOS & Epi-t Module Tester for up to 300mm Wafers 1 excellent83578 SDI FAaST 230 Wafer Characterization Tool 1 up to 200 mm excellent 01.06.2004

86198 SDI 3020 Carrier Lifetime measurement 1 200 mm34514 SDI CMS 111-A METALIC MICROCONTAMINATION 1 200mm34515 SDI PDM 3020-P EPI CONTAMINATION MONITOR 1 200mm34516 SDI 1020 SURFACE PHOTO VOLTAGE TESTER 1 200mm34517 SDI SPV PDM3020P 1 200mm79068 SDI Semiconductor Di FAAST300 Carrier Lifetime measurement 1 300mm67139 SEC 4020 SEC 4020 Hot Gas Eutectic Bonder Single hot gas jet, tilting collet, joystick control with stereo zoom 167140 SEC 4200 167141 SEC 4450 167169 SEC 4000 The SEC 4000 is an eutectic die bonder with heated workholder and heated bond tool. 167170 SEC 4400 The SSEC 4400 is a hot gas rework station with non heated workholder (Email us for photo) 167171 SEC 4500 The SEC 4500 has a reworked hot gas station w/non heated stage (Email us for photo) 167180 SEC 6000 The SEC 6000 is a die shear destruct/non destruct in kg, digital r/o and mil standard 883C also for hyb160881 SEC CL-3 3rd OPTICAL INSPECTION 160882 SEC CL-3 3rd OPTICAL INSPECTION 160883 SEC CL-3 3rd OPTICAL INSPECTION 178839 Secron SW2400 PKG SAWING SYSTEM 1 ASSEMBLY excellent 01.06.200484387 SEEKA UM-T50DT PHOTO SENSOR 5 new in box35596 SEIKO VP-1500 Video Printer 121521 Seiko SDI 4000 operation manual for SDI 4000 Semiconductor process evaluation SEM 1 manual good 01.06.199584697 SEIKO Sxi200P Inspection SEM 177530 Seiko SMI 9800 Focused Ion Beam 1 200 good 01.01.200084698 SEIKO Sxi200P Inspection SEM 172930 Seiko SFT-3200 Coating thickness Gauge Refurbished 183969 Seiko Epson NS-7080 Handler 1 test good 01.06.200686081 Seiko Epson NS-6000 Handler 1 test good 01.06.200686082 Seiko Epson NS-7000 Handler 2 test good 01.06.200680233 Seiko Epson NS-8160LS TEST HANDLER 2 excellent 01.04.201179545 SEIKO EPSON NS-6040 handler 6 good

76991 Seiko Epson NS6040 High Speed Handler 6 excellent77142 Seiko Seiki STP-301CB1 Refurbised Turbo Pump 186199 SEIKO SEIKI SMI2200 SEM 1 200 mm71913 Seiko Seiki SCU-1000 Controller for Seiko Seiki STP 1000 and 1000C Turbo pump 1 spares excellent 01.11.199980366 SEIKO SEIKI STPH600C TURBO PUMP TMP 600C 1 VACUUM PUMP fair 01.02.200180367 Seiko Seiki STP-600C Controller for Seiko Seiki STPH 600C pump 1 spares excellent 01.02.200171921 Seiko Seiki SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump 1 spares excellent 01.11.199969878 SEIKO SEIKI STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 1 VACUUM PUMP fair 01.11.199969879 SEIKO SEIKI STP 1000C TURBO PUMP TMP 1000C 250 ISO-K/KF40 1 VACUUM PUMP excellent 01.11.199955896 SEIWA Wafer Transfer Station 120268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 9500 200 mm new unused 01.07.200677904 SELA MC100 Slicer / Microcleaver / Micro-Cleaver 1 missing parts77905 SELA MC500 Slicer / Microcleaver / Micro-Cleaver 1 200 mm good79286 SELA EM2 sem sample preparation 1 01.06.200479304 SELA EM2 sem sample preparation 1 01.06.200477185 Semco C60 Cleanstar PFA Valve C608075305A12HPW C60 HPW 1 good77189 Semco Rack Solar Wafer (156mm) Quartz Rack/Holder for a Furnace 6 156mm excellent77191 Semco Wafer Boat Solar Wafer (156mm) Quartz wafer boat 9 156mm excellent77198 Semco wafer boat Solar Wafer (156mm square) Quartz Rack/Holder for a Furnace 3 156mm excellent77208 Semco Wafer Boat Solar Wafer Quartz wafer boat, 5" 3 156mm excellent60972 SEMI-AUTOPROBE MP2000? STATION SYSTEM 178569 Semicaps SOM 3000 EMMI / Optical microscope inverted tester docked system 1 300 mm good82911 Semiconductor Diagn 3030 Surface Profiler 1 200 good 01.06.201284169 SEMICS OPUS2 Prober 1 300 mm good84998 Semilab IR3100 FT-IR Spectrometers 1 300 MM 01.06.200584542 SEMILAB FAAST 300 Carrier lifetime measurement 1 300 mm excellent 01.06.200654208 Seminet Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Retic le Stocker 1 facilities excellent 01.11.200784999 SemiTest EpiMet 2 EPI film measurement 1 200 mm 01.06.199983717 Semitool SST 772 WET Back end polymer c lean 1 8"36613 SEMITOOL SOL VENT Cleaner 1 01.06.199878086 Semitool 260 Spin Rinse Dryer 0 200mm36614 SEMITOOL WST305M 178087 semitool 270 spin rinse dryer 278088 semitool 260 spin rinse dryer 078089 semitool 270 spin rinse dryer 078090 semitool 870 spin rinse dryer 0

75279 SEMITOOL WST606A Solvent wet bench for photoresist stripping 1 150mm86050 Semitool ST-260 Spin Rinse Dryer Controller, dual timer 1 good76343 Semitool Sceptre SCE 421 Solvent Wet (Resist stripper) 1 150 mm 83769 Semitool RAIDER Metal Deposition 1 300 mm good 01.02.200980189 Semitool SAT20811 (OA2P) CCU WET process Epipment 1 200mm good 01.06.200080190 Semitool SIR 001 WET process Epipment 1 200mm, 150mm, 125m good 01.06.200573039 Semitool 880S Single Stack SRD 1 150mm refurbished73040 Semitool 8300S Style Spin Rinse Dryer w / 102 controllers 1 refurbished85339 Semitool Raider ECD Electroplating 1 300 mm 01.06.200985340 Semitool Raider ECD Electroplating 1 200 mm 01.06.200478177 Semitool Equinox Copper Electroplating system 1 200 mm good79466 Semitool 270 Single Table-Top SRD 1 4, 5 and 6 excellent 01.06.199567694 SEMITOOL SST SOLVENT WET BENCH 1 refurbished79734 Semitool LT210 CU PLATING 1 200mm84342 Semitool ST-921R-AA Spin Rinse Dryer 2 good79735 Semitool LT210 CU PLATING 1 200mm84351 Semitool ST-240 Spin Rinse Dryer 2 good67462 Semitool 270 SRD SEMITOOL 270 SRD CHOOSE 4" OR 6" ROTOR SPECIAL ORDER ROTOR FOR 8" WAFERS (INCL 167463 Semitool ST-240 Spin rinser dryer, 1 1/2 to 4" wafers 167464 Semitool ST-260 Horizontal spin axis, for up to 6" wafers We are currently accepting this model for trade in. Any quality185640 Semitool SSTF421280F Spin Dryer 1 125 mm 01.06.199985641 Semitool SSTF421280F Spin Dryer 1 150 mm 01.06.199685642 Semitool SSTF421280FK Spin Dryer 1 150 mm 01.06.199885643 Semitool SSTF421280K Spin Dryer 1 150 mm 01.06.200084364 Semitool PA7230M SRD Rotor 1 good85644 Semitool SSTF421280K Spin Dryer 1 125 mm 01.06.199684365 Semitool A72-20M SRD Rotor 1 good

18839 SEMITOOL 2080S(OAZP)CBE Equipment manual 171589 SEMITOOL WST606A WET 171590 SEMITOOL WST306M WET 171591 SEMITOOL WST306A WET 184423 Semitool SST 772 Wet Etch 1 200mm84946 Semitool R_310_FMC2 Wet electro- etching system 1 300 MM 01.06.200475227 Semitool 270 SRD 6 inch 1

82912 Semitool Scepter Wet Etching System 1 150 good 01.06.199678603 Semitool / Applied MateSpectral Wet Process Equipment Poly Strip 1 200 mm 01.06.200078608 Semitool / Applied MateRaider GT ECD Wet Process Equipment 1 300 mm excellent85649 Semitool Inc. Raider ECD (Electro Chemical Deposition) 1 300 mm excellent38447 Semitool SRD Rotors 179366 Semix SOG Coater 185427 Semix Semix SOG coater SOG track 1 150 mm85428 Semix TZP Lithography 1 150 mm84714 Semix TR61330D Coater 1 N/A85150 Semsysco Galaxy Solvent Resist Strip 1 300 MM 01.06.201285425 SEN NVGSDHE High Energy Implanter 1 200 mm 01.06.199885426 SEN NVGSDIIILE High Current Implanter 1 200 mm 01.06.200279891 SEN Axcelis NV GSD HE High Energy Implanter 1 200 mm excellent 01.08.199678169 Sensarray 1530D-8-0023 Process Probe Instrumented Wafer 1 200 mm excellent 01.06.200378170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 1 200 mm excellent 01.08.200362597 Sentry/ Fairchild/Sch S21/S20 20 Mhz Digital Tester 162598 Sentry/Fairchild/Schl S10 10 Mhz Digital Tester 162599 Sentry/Fairchild/Schl Sentinel Digital Tester 162607 Sentry/Fairchild/Schl ITS 9000KX VLSI Logic Test System 664 pins 162608 Sentry/Fairchild/Schl ITS 9000KX VLSI Logic Test System 376 pins 162609 Sentry/Fairchild/Schl S1650 Digital IC Test System, System and Spares 162653 Sentry/Fairchild/Schl S790 Parts Machine 182172 SES Sugai Special Organic Solvent Strip Station 1 200 mm good 01.06.200582695 SEZ RST-201J Etcher 1 6" 01.06.200077581 SEZ RST 201 Wet Etching System - back side etch process 1 200 mm good 01.01.199635597 SEZ Chemical Storage Cabinet, 2ea Available 175292 SEZ SM101 wet etch 1 150 mm excellent 01.01.199784274 SEZ SM101 wet etch 1 150 mm excellent69709 SEZ SP304 wet processing system 1 300 mm refurbished

77192 SEZ SP 303 Wet Etching System 1 300 mm excellent 01.03.200085151 SEZ 201 Backside etcher 1 200 mm 01.06.200185152 SEZ SP223 Wet Process -dual damascene 1 200 mm 01.07.200783962 SEZ 223 Wet - Spin Etch 1 200 mm excellent 01.06.200782277 SEZ / LAM SP201 SPIN ETCHER 1 200 MM good 01.06.199685870 SEZ Group 201 Single Wafer Processing 185871 SEZ Group 304 Single Wafer Processing 1 300 mm74255 SGL Carbon / Ringsdo3PC1396/2 Heater 18 inch Graphite 3PC1396 2 3 spares 01.10.200384417 Shibarua CDE-80N Etch 1 200mm83688 Shibarua CDE-80N Dry Etch 1 8"82705 Shibaura ¼ASH8100 Asher 1 6" 01.06.199682706 Shibaura ¼ASH8100 Asher 1 6" 01.06.199782762 Shibaura CDE-7-3A Etcher 1 6" 01.06.198982763 Shibaura CDE-7-3A Etcher 1 6" 01.06.198979736 SHIBAURA CDE 80N Reactive Ion Etching 1 200 mm excellent 01.06.199884661 SHIBAURA CDE-80NH Zero Oxide Etch 184944 Shibaura CDE300 Isotropic dry etch 1 300 MM 01.06.201184444 SHIBUYA SBM360 BALL DROP 1 20077161 Shimaden SR91-8P-90-1N0 Temperature Regulator 1 Spares good52362 SHIMADEN SR25-2P-N-00699609 PDI CONTROLLER for FPA3000 Series 1 spares excellent 01.07.199952363 SHIMADEN SR25-2P-N-00699609 PDI CONTROLLER for FPA3000 Series 1 spares excellent 01.07.199952364 SHIMADEN SR25-2P-N-00699609 PDI CONTROLLER for FPA3000 Series 1 spares excellent 01.07.199952365 SHIMADEN SR25-2P-N-00699609 PDI CONTROLLER for FPA3000 Series 1 spares excellent 01.07.199952366 SHIMADEN SR25-2P-N-00699609 PDI CONTROLLER for FPA3000 Series 1 spares excellent 01.07.199971553 Shimadzu OP5050A Gas Chromatograph/ Mass Spectrometer 1 laboratory excellent83548 Shindengen SDC05150G 5V 150 A Regulated power supply, Advantest T5335P 1 Spares good76330 Shinkawa UTC 1000 Super Wire Bonder 177945 SHINKAWA UTC 2000 WIRE BONDER 19 ASSEMBLY 01.10.200784115 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84116 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84117 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84118 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84119 SHINKAWA UTC-3000WE Wire Bonder wide bond area 1 200 mm84120 SHINKAWA UTC-3000WE Wire Bonder wide bond area 1 200 mm84121 SHINKAWA UTC-3000WE Wire Bonder wide bond area 1 200 mm84122 SHINKAWA UTC-3000WE Wire Bonder wide bond area 1 200 mm

84123 SHINKAWA UTC-3000WE Wire Bonder wide bond area 1 200 mm84124 SHINKAWA UTC-3000WE Wire Bonder wide bond area 1 200 mm79261 Shinkawa ACB35 wire bonder 1 assembly 01.06.199984125 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm79262 Shinkawa ACB35 wire bonder 1 assembly 01.06.199784126 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm79263 Shinkawa ACB35 wire bonder 1 assembly 01.06.200084127 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm79264 Shinkawa ACB35 wire bonder 1 assembly 01.06.200084128 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm79265 Shinkawa ACB35 wire bonder 1 assembly 01.06.199784129 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm79266 Shinkawa ACB35 wire bonder 1 assembly 01.06.200084130 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm79267 Shinkawa ACB35 wire bonder 1 assembly 01.06.199784131 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm79268 Shinkawa ACB35 wire bonder 1 assembly 01.06.199784132 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm79269 Shinkawa ACB35 wire bonder 1 assembly 01.06.200184133 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84134 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84135 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84136 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84137 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84138 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84139 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84140 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84141 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84142 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84143 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84144 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84145 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84146 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84147 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm

84148 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm84149 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm

84150 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm84151 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm84152 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm84153 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm84154 SHINKAWA UTC-2000 SUPER Wire Bonder 1 200 mm84155 SHINKAWA UTC-1000 SUPER Wire Bonder 1 200 mm80337 Shinkawa ACB30009 Wire Bonder FOR LED Manufacturing 1 LED good 01.06.201280348 Shinkawa UTC-1000 Super Wire Bonder 60 ASSEMBLY good 01.06.200582175 SHINKAWA UTC 2000 Super WIRE BONDER 6 ASSEMBLY excellent 01.01.201182686 Shinsung Eng SGL-30 QE 1 300 mm 01.06.201176610 SHOWA 341 Laboratory Power Supply - 4 channel 1 200 mm excellent 01.06.199876611 SHOWA ELECTRONI 511-16 REGURATED DC POWER SUPPLY 1 laboratory excellent63830 Siemens K1400 ISDN-NT Tester Analyzer 155897 SIGMA SQC-310 Deposition Controller 167421 Signatone H100 167422 Signatone S-1007X PRODUCTION PATTERN PROBE STATION. (THREE IN STOCK) 167423 Signatone S-1160 For up to 200mm (8") wafers. 167424 Signatone S1000 3 Signatone S1000 3" PROBE STATION Includes B&L Stereo Zoom 4 Optics 167425 SIGNATONE S1007 MANUAL PROBE STATION 167426 SIGNATONE S1150 150mm PROBE STATION (AVAILABLE WITH 6" HOT CHUCK) 167427 Signatone S250 SIGNATONE S250 ANALYTICAL 4" STATION WITH B&L MICROZOOM 138512 Signatone Prober?wWith B&L Microscope 184995 SII XV 300DB Dual Beam FIB SEM Microscope 1 300 MM 01.06.200585073 Silicon Valley Group Micrascan II+ I-Line Wafer Stepper 1 200 mm84890 Silicon Valley Group AVP 8000 AP Vertical Furnace 1 200 mm 01.06.199984891 Silicon Valley Group AVP 8000 LP Vertical Furnace 1 200 mm 01.06.200184892 Silicon Valley Group AVP 8200 Vertical H2 anneal furnace 1 200 mm 01.06.2000

69899 Siplace F4 Fine Pitch Placer 184237 SKF 6002-2Z bearings 1 Spares good69723 Sloan Dektak 11 Profiler 167479 Sloan M-100 The Sloam M-100 wafer tester has an interferometer, which measures wafter thickness by optical frin169741 SM Techniques 2436 BAM Large Area Screen Printer 184225 SMC CY 4R08 CY3B15-300 CYLINDER 1 as new84236 SMC ZPT25US-B5 Suction cups 1 Spares good

84256 SMC WO 36517 RODLESS CYLINDER 1 as new 01.02.199484259 SMC CMFN20-50 AIR CYLINDER 1 as new

84262 SMC CDGBN20-204 AIR CYLINDER 3 good84263 SMC CDM2BZ20-125 AIR CYLINDER 2 good

84264 SMC CMFN20-50 AIR CYLINDER 1 good84267 SMC CDM2RA20-190 AIR CYLINDER 1 excellent84268 SMC CDG1FA20-222 AIR CYLINDER 1 excellent84269 SMC CDJ2F16 AIR CYLINDER 1 excellent83547 SMC IRS_056/09/GT RODLESS PNEUMATIC CYLINDER *NEW* 1 as new 01.01.200877152 SMC RL17858 1030567 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* 1 as new 01.01.200877157 SMC ZX1101-K15LZB-D21L-X121 Vacuum Ejector ZXF35 1 Spares as new77163 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE 1 Spares as new84079 SMC CDY1S15H TESTED 2 as new 01.07.199483839 SMC CDQSWB20-35DC COMPACT CYLINDER 3 excellent83840 SMC ECQ2B32-10DC ACTUATOR 3 excellent83842 SMC CQ2B25-25D ACTUATOR 5 excellent83843 SMC CQ2B25-20DC ACTUATOR 3 excellent83844 SMC ECDQ2B COMPACT CYLINDER 2 excellent83845 SMC ECDQ2B32-50D COMPACT CYLINDER 1 excellent83867 SMC MXS16-30 AS ZY 1 SPARES new in box83612 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE 1 Spares good83872 SMC DF9N VALVES 2 SPARES excellent85157 SMC INR-498-001B Dual Chiller, Refurbished 2 FACILITIES refurbished83632 SMC MHF2-12D1R SMC cylinder 1 excellent76209 SMC INR-341-61A Triple CHILLER 1 FACILITIES excellent77038 SMC NR-341-42A-X134 Dual CHILLER 1 FACILITIES good84214 SMC ULUSP-00005 PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16 2 Spares good83868 SMC CYLINDER CDQ1BB2 CYLINDER D80 1 SPARES new in box83869 SMC CYLINDER CDQ1B40 CYLINDER D80 1 SPARES new in box

84963 Sokudo RF-300A I-line Photoresist coater and developer 1 300 MM 01.06.200784964 Sokudo RF-300A Photoresist coater and developer 1 300 MM 01.06.200581953 Solar Cell (CIGS) Pilot Line Solar Cell Production Line 1 Solar excellent82228 Solar Ingot MFR LINE 5000 Ton/year Solar Ingot mfg plant 1 Solar excellent71233 Solitec 820-ACB Coat Bake Track 1 75mm to 150mm good71236 Solitec 820-TDB Coat Bake Track 1 75mm to 150mm good67397 Solitec 4110 SOLITEC 4 HEAD PHOTORESIST COATER 171237 Solitec 820-HPSX Wafer Cleaning 1 75mm to 150mm good73208 Solitec 5110 Spin Coater 1 3 to 6 inch good 01.10.1998

70985 Sonix HS1000 C-SAM Parts machine 174942 Sonix HS 1000 Scanning Acoustic Microscope 1 excellent

74944 Sonix HS1000 C-SAM 1 good77015 Sonix HS-1000 Scanning Acoustic Microscope 1 01.05.200285975 Sonix AW-3000 Scanning Acoustic Microscopy (SAM) / Tomography (SAT) 1 200 mm78258 Sonoscan D6000 C-SAM System 1 excellent 01.05.200374946 Sonoscan D6000 C-Sam 1 good 01.05.199677024 Sonoscan D 9000 C SAM 1 excellent34133 Sony XC-711 CCD Video Camera 1 SPARES excellent84994 Sopra EP12 OPTICAL POROSITY MEASUREMENT 1 300 MM83703 SOPRA SE 200 Ellipsometer 1 8"53268 Sorensen SS200-S0120 Power Supply Megatest Part number 113849 3 Spares excellent 01.06.199483505 SORENSEN 220 VOLTS POWER SUPPLY 3 220 VOLTS excellent 01.12.199482123 SORENSEN SGA200X25E-1DAA METAL 484366 Sosul Etch Kit 6" Etch kit for Sosul 1 6" as new85906 Sosul Extrima 3100 Bevel Etch 1 300 mm78250 Spartan EFEM 95% complete 056315 SPEA 4040 Flying Probe in-Line 184437 SPEC SBXAT5-80 WET PROCESS 1 20084467 SPEC SBXAT 1080 WET PROCESS 1 20084468 SPEC SBXAT 1080 WET PROCESS 1 2007689 Special Optics Beam Enlarger for Argon Ion Laser Beam Enlarger for Argon Ion laser 2 parts good 01.06.19927690 Special Optics Fourier Transform Lens Fourier transform Lens for Argon Ion laser 2 parts good 01.06.199253037 Special Optics Half s ilvered mirror 10" X 14" 1 spares excellent53038 Special Optics Motorized Iris 6" 1 spares excellent

53039 Special Optics Mirror, 9" X 7" 1 spares excellent53040 Special Optics APOD #113 1 spares excellent53043 Special Optics Beam expander 1 spares excellent63612 Spectra Physics T20-8540PS Diode Power Supply for Q-Switched Laser Systems 155909 SPECTRONICS COR Spectroline PC-1100A UV Eprom/Wafer Erasing System 182284 SPEEDFAM EP300 X Edge Polishing 1 300 mm excellent 01.06.200884856 Speedfam Auriga Oxide CMP 1 200 mm 01.06.200084857 Speedfam Auriga Oxide CMP 1 200 mm 01.06.200076205 SpeedFam/IPEC 676 - ILD ILD (InterLayer Dielectric) CMP System (Oxide/Poly Silicon/STI) 1 200 01.01.199676903 Speedline Camalot 3800 Liquid Dispensing system 1 01.05.199981965 Spire Cell Sort Cell Sorter 1 excellent

84414 SQUARED SBO-2 SWITCH 3 3536 good84786 SRM XD 248 Bowl feed handler 1 TEST good

79829 SRM STV 242 Test handler 4 test excellent 01.06.200036616 SSEC EVERGREEN CLEANER 160975 SSEC EVERGREEN CLEANER 137686 SSEC 3301 Spray solvent Tool 167142 SST HVWB 2200 HIGH VACUUM VERSION WITH CTI 8 CRYOPUMP 10-5 TORR INCLUDES REBUILT DIRECT DRI 180177 ST Automation QT200 Automated Tester System with monitor 1 test good 01.10.200780178 ST Automation QT200 Automated Tester System with monitor 1 test good 01.10.200780179 ST Automation QT200 Automated Tester System with monitor 1 test good 01.10.200780180 ST Automation QT200 Automated Tester System with monitor 1 test good 01.10.200778133 ST Automation QT200 Test System 1 test good80181 ST Automation QT200 Automated Tester System with monitor 1 test good 01.10.200780182 ST Automation QT200 Automated Tester System with monitor 1 test good 01.10.200780183 ST Automation QT200 Automated Tester System with monitor 1 test good 01.10.200780184 ST Automation QT200 Automated Tester System with monitor 1 test good 01.10.200778137 ST Automation QT200 Tester System with monitor 1 test good78138 ST Automation R.S.V. ST Memory Test System Electronic Automation 1 test excellent 01.04.2007

71904 ST Automation test head test head for Eprom U 1835 171908 ST Automation PTM1 Tester 371910 ST Automation MT32 Test System 161004 STANDARD PVD CHAMBER 184590 Stangl Wetbench 184591 Stangl Wetbench 184592 Stangl Wetbench 1

84593 Stangl Wetbench 184594 Stangl Wetbench 184595 Stangl Wetbench 184703 Starrett AV-868 N/A 1 N/A84376 STARTECH GC9SF GENDER CHANGER 1 excellent84297 Staubli 308998-001 RX90 robot controller 1 spares excellent78340 Steag 421 Photoresist Coater and Developer 1 excellent 01.06.199562736 STEAG MARANGONI IPA DRYER 2 150mm good 01.01.199571616 STEAG AST 2800 RTP 134498 STEAG MARANGONI IPA DRYER 2 200 mm good 01.01.199534499 STEAG AWP-400 HOOD 1 200mm

18864 STEAG AST 98050479 AST machine documentation 184627 STI AT8000 Lead Inspect 1 N/A

33770 STOKES 339-150 Oil Filtration Unit 184009 Strasbaugh 6DSSP CMP system 6 8 inch excellent 01.12.199634443 STRASBAUGH 6DS SP CMP TOOL 1 200mm

34444 STRASBAUGH 6DS SP CMP TOOL 1 200mm34445 STRASBAUGH 6DS SP CMP TOOL 1 200mm

34446 STRASBAUGH 6DS SP CMP TOOL 1 200mm good 01.07.199734447 STRASBAUGH 6DS SP CMP TOOL 1 200mm34448 STRASBAUGH 6DS SP CMP TOOL 1 200mm34449 STRASBAUGH 6DS SP CMP TOOL 1 200mm34450 STRASBAUGH 6DS SP CMP TOOL 1 200mm34451 STRASBAUGH 6DS SP CMP TOOL 1 200mm34452 STRASBAUGH 6DS SP CMP TOOL 1 200mm34453 STRASBAUGH 6DS SP CMP TOOL 1 200mm34454 STRASBAUGH 6DS SP CMP TOOL 1 200mm34455 STRASBAUGH 6DS SP CMP TOOL 1 200mm34456 STRASBAUGH 6DS SP CMP TOOL 1 200mm

34457 STRASBAUGH 6DS SP CMP TOOL 1 200mm34458 STRASBAUGH 6DS SP CMP TOOL 1 200mm34459 STRASBAUGH 6DS SP CMP TOOL 1 200mm34460 STRASBAUGH 6DS SP CMP TOOL 1 200mm34461 STRASBAUGH 6DS SP CMP TOOL 1 200mm34462 STRASBAUGH 6DS SP CMP TOOL 1 200mm34463 STRASBAUGH 6DS SP CMP TOOL 1 200mm34464 STRASBAUGH 6DS SP CMP TOOL 1 200mm34465 STRASBAUGH 6DS SP CMP TOOL 1 200mm34466 STRASBAUGH 6DS SP CMP TOOL 1 200mm34467 STRASBAUGH 6DS SP CMP TOOL 1 200mm34468 STRASBAUGH 6DS SP CMP TOOL 1 200mm82913 Strasbaugh Planarizer (Generic) Crystal Surface Polishers 1 200 good 01.06.190078078 STRASBAUGH 6DSSP Polishing 1 8" excellent 01.06.200384628 STRAUSBAUGH/DNS Model-6DS-SP/AS-2000 cmp 184629 STRAUSBAUGH/DNS Model-6DS-SP/SP-W813-AS cmp 176359 Struers Rotopol 25 Twin Table Polisher refurbished 179584 STS 320 PC Reactive Ion Etcher 1 200 mm good 01.06.199514584 STS 320PC Reactive Ion Etch System 1 excellent71674 STS PRO ICP DRY ETCHER 1

82170 Sugai Wet Station 079305 Sumitomo SWA-90GD laser anneal 1 150 mm and 200 mm excellent 01.06.201082772 Sumitomo Eaton NovaNV-GSD-A-80 Implanter 1 6" 01.06.1994

82773 Sumitomo Eaton NovaNV-GSDb-90 Implanter 1 6" 01.06.199982774 Sumitomo Eaton NovaNVGSD III Implanter 1 6" 01.06.1997

79319 Sumitomo Chemical HSP-200M PARTICLE COUNTER 180085 Sumitomo Eaton Nova NV-GSD-A-80 150mm 080086 Sumitomo Eaton Nova NV-GSD III-90 150mm 082775 SUMITOMO EATON N NV-GSD-A-160 Implanter 1 5 01.06.199484022 Sun Ultrasparc 60 Unix computer from Teradyne J994 1 Test good 01.01.199784023 Sun Ultrasparc 60 (Hard Disk Drive) Hard Disk from Unix computer from Teradyne J994 1 Test good 01.01.199763818 Sunrise Telecomm SUNSET E20 E 10 XDSL 184380 SUNX SS-A5 SENSOR CONNECTIONS 6 new in box84381 SUNX CX-21/FX/SU SENSOR SYSTEM 6 C8 new in box

84382 SUNX SU-7 LO SENSOR & SYSTEM 3 HB 012 new in box84383 SUNX GSA-5S QUALITY PROXIMITY SENSOR 2 HB 012 new in box

84384 SUNX SS-AT1 / SS2-300E SENSOR SYSTEM 2 new in box84385 SUNX SH-21E SENSOR SYSTEM 1 new in box69782 Super vexta udk5114n 5-phase driver 1 excellent69817 SUPER VEXTA UDK5114NA 5-PHASE DRIVER 1 new in box70302 SURPASS PTC 3/8 NU PRESSURE SENSOR FOR EBARA FREX 200 1 SPARES new unused85423 SUSS CB200M Wafer Bonder 1 200 mm 01.06.201285424 SUSS CBC200 Wafer Bonder 1 200 mm 01.06.201375200 Suss PSM 6 wafer prober 1 150 mm77262 Suss MA150 mask aligner 1 150 mm refurbished84993 Suss Microtec BA300-MIT Wafer inspection system 1 300 MM 01.06.200660943 SUSS Microtec FC150 1 01.01.200484596 SUSS MicroTec Alta Spray Spray coater 1 01.06.201084597 SUSS MicroTec Alta Spray Spray coater 1 01.06.201085125 Suss Microtec HVMMFT Solder bumps mold fill 1 300 MM excellent 01.06.200685126 Suss Microtec HVMMFT Solder bumps mold fill 1 300 MM 01.06.200671689 SVG 90S Coater/Developer Cluster Tool Tracks (Resist Coater/Developer) 1 200 mm60805 SVG 8126PC Coater 8126PC/8136HPO Coater 152370 SVG Micrascan 3 DUV lithography system 1 for spares use63638 SVG 90 Coater 1 150mm84893 SVG AVP 8000 LP, SiN Vertical Furnace 1 200 mm 01.06.200070561 SVG 90S COATER AND DEVELOPER TERACK 1 150 mm 01.10.199584643 SVG AVP8000 Teos deop 184644 SVG AVP8000 VF Anneal(Mid. Temperature) 1

84645 SVG AVP8000 VF Field Oxide 164165 SVG 86XX / 88XX Track (Coater & Developer) 1

84646 SVG AVP8000 VF SiN DEP 138850 SVG 90S Spares 1

71637 SVG 90 TRACK 171644 SVG 8800 DEVELOP TRACK 171645 SVG 8800 DEVELOP TRACK 171646 SVG 8800 DEVELOP TRACK 171647 SVG 8800 DEVELOP TRACK 171648 SVG 8800 COAT TRACK 171649 SVG 8800 COAT TRACK 1

71650 SVG 8800 COAT TRACK 171651 SVG 8800 COAT TRACK 1

71652 SVG 8800 COAT TRACK 171653 SVG 8800 DEVELOP TRACK 1

84965 SVG 90SE Photoresist coater and developer polymide 1 200 mm 01.06.200071654 SVG 8800 DEVELOP TRACK 184454 SVG 8800 DEVELOPER 1 15084966 SVG 90SE Photoresist coater and developer polymide 1 200 mm 01.06.200084455 SVG 8800 DEVELOPER 1 15084456 SVG 8800 DEVELOPER 1 15084457 SVG 8800 DEVELOPER 1 15084458 SVG 8800 DEVELOPER 1 15084459 SVG 8800 DEVELOPER 1 15073203 SVG 8126 PHOTORESIST COATER 172155 SVG /ASM 128197-001 HEATER ELEMENT, HCGI 1 Spares new in box 01.02.200383909 SWAGELOK 12M06 TUBE FITTINGS & VALVE 9 new83910 SWAGELOK 55-8-VCO-4 TUBE FITTINGS 5 new83911 SWAGELOK SS-4-VCO-3 TUBE FITTINGS 15 new83912 SWAGELOK SS-4-VCO-4 TUBE FITTINGS 9 new83913 SWAGELOK SS-605-4 TUBE FITTINGS 12 new83915 SWAGELOK GLV-4MW-3 WELD FITTINGS 2 L-606A new83919 SWAGELOK 207/235/332 TUBE FITTINGS 4 new80257 Swagelok / CAJON SS-6-VC0 FITTINGS 8 spares new in box67215 Symtec 7936 HC-5 handles and sorts .3,.4,.5 dual-in line, c ircuits,dips, mproc contrld, -55 to +155 deg c,5000dph,gravity 133413 SYNAX SX3100 Handler 1 test excellent 01.06.200633414 SYNAX SX3100 Handler 1 test excellent 01.06.200660867 Synax 1201 Dual Site,?Ambient and Hot 1

60868 Synax 121H Single Site, Ambient and Hot 160869 Synax SX 141 Single Site, Ambient and Hot, Pick and Place 1

60870 Synax 1601C Quad Site, Tri temp 167360 SYNTRON DIE FEEDER small syntron die feeder, (3) in stock 179888 System General T9600 Universal Device Programmer 1 Electronics Test and excellent 01.06.200379890 Systron Donner DL 40 - 2A Powe Supply - s ingle and dual voltage 1 excellent78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1 good 01.12.200580089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1 excellent 01.12.200571549 TA Instruments Q10 DSC (Differential scanning calorimeter) 1 laboratory excellent71550 TA Instruments Q50 TGA (Thermogravimetric Analysis 1 laboratory excellent84790 Taiko Kikai MDP series Mechanical Vacuum Pump 10 Facilities excellent 01.04.2010

71165 Taitec TEX 25C HEAT EXCHANGER 2 FACILITIES excellent 01.07.200082710 TAKATORI Detaper tape 1

83793 Takatori HR8500II 0 01.06.200779737 Takatori ATRM-2100 DE-TAPER 1 200mm84358 Takatori ATM-1100C tape mounter 1 200mm excellent70318 Takatori DAM-812MP DAF mounter 1 300mm good66373 Tamagawa wafer annealing furnace 1 new unused38449 Tamagawa Machinery CWT 1001 Wafer Annealing Furnace 156850 TAMARACK SCIENTIFIC Mask Aligner, Contact Mask Exposure System 156851 TAMARACK SCIENTIF 152R Mask Alignment/Exposure System 127748 TAYLOR-WARTON LD 25 DEWAR FOR CRYOGENIC FLUIDS 1 excellent85909 Tazmo WSS12101M Wafer Bonder 1 300 mm77634 TDK TAS300 E4 300 mm wafer loading port 1 300 mm excellent83522 TDK E S R 05-12R-3 SWITCHING REGULATOR 1 NEC CORPORATION excellent71853 TDK AFM 15A Flip Chip Bonder 183664 TDK TAS300 E4 300 mm wafer loading port 3 300mm good77136 TDK Load Port TAS300E4 0 new74282 Team Technik TT900 Laser Soldering Stringer 2 5 and 6 inch84958 Tec-sem Practor wafer sorter 1 300 MM 01.06.200584470 TECHARMONIC EHTVS ABATEMENT 1 20084471 TECHARMONIC EHTVS ABATEMENT 1 20084473 TECHARMONIC MOJAVE ABATEMENT 1 20033720 TECHNICAL INSTRU K2 IND/NIKON Confocal Microscope 135600 TECHNICAL MFG. COMicro-G 62-111 Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers 1 excellent56848 TECHNICAL MFG. COMICRO-g 63-661 Vibration Isolation Table, 47" X 36" 1

61179 TECHNICAL MFG. COMICRO-g 63-463 Vibration Isolation Table 182827 TECHNOS TREX-610 TXRF 1 6 01.06.199582914 Technos TREX 610 Series X-ray Fluorescence Spectrometer 1 200 good71056 Techwin SWB-800NED (Samsung) Wire Bonder 178328 Techwing TW282 TEST HANDLER 186023 TechWing Co., Ltd. TW312 Pick & Place Memory Handler 177049 Tecnai TF20 TEM Refurbished 1 refurbished 01.06.200184502 TED PELLA INC CAT 622 M TIN SPHERES ON CARBON 2 17.8mm Mount new in box67399 Tegal 411 TEGAL 411 PLASMA ASHER ALUMINUM CHAMBER ID 6X6X10" WIDE 110099 TEGAL 411 Plasma Barrel Stripper 1 01.05.197975644 TEGAL 903E ETCHER (refurbished w/ warranty) 167204 Tegal 211 TABLE TOP PLASMA ETCHER/ASHER 167205 Tegal 411 TEGAL 411 PLASMA ASHER ALUMINUM CHAMBER FOR CASSETTES OF 4" WAFERS ( AND SMALLE167206 Tegal 415 TEGAL 415 PLASMA ASHER 167207 Tegal 515 TEGAL 515 PLASMA ASHER 167208 Tegal 965 162095 Tegal 903e Plasma etcher 2 200mm missing parts84418 Tegal 981 Etch 1 200mm83689 Tegal TEGAL 981 Nitride Etch 1 8"71673 TEGAL 903E ETCHER 271168 Teikoku ATM 8600X Automatic wafer taping system 1 200 mm and 150 mm good 01.09.200371169 Teikoku STM 800X semi-Automatic wafer taping system 1 200 mm and 150 mm good 01.04.200479701 TEKNOLOGUE LX-4651A TESTER 1 Assembly 01.02.201061198 TEKTRONIX 7704 Oscilloscope 176613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope 1 Electronics Test and excellent 01.08.200763821 Tektronix K4304 163822 Tektronix K1205 163823 Tektronix CTS850 285858 Tektronix TDS6124C Oscilloscope 1

79590 Tektronix TDS 544A Color 4 channel digitiz ing oscilloscope 1 Electronics Test and excellent 01.06.200656043 tektronix 371A High Power Curve Tracer 1 refurbished79597 Tektronix PS 280 DC Power supply 1 Electronics Test and excellent 01.06.200779599 Tektronix 11801C Digital Sampling Oscilloscope 1 Electronics Test and good 01.02.200179601 Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB 1 Electronics Test and good62212 TEL P-8 1 01.06.200260934 TEL Mark8 Clean Track 1 01.12.1995

56845 TEL TSP-308888SSSS TEL Chiller for TEL Etch Chamber 153262 TEL Formula Vertical Nitride Furnace 1 300mm excellent53263 TEL Formula Vertical Oxide Furnace 1 300mm50450 TEL P8XL Prober 179894 TEL Alpha 8SE-E 200mm 0 01.10.200368896 TEL P8XL ( With chiller -40) 177089 TEL 3387-002688-12 Tel P8XL Camera assembly 1 poor77348 TEL Trias Metal CVD (Chemical Vapor Deposition) 1 30079140 TEL Alpha 8SE HTO/Nitride Process for 200mm 0 200mm79141 TEL Alpha 8SE POCL for 200mm 0 200mm60965 TEL ALPHA-805C 160966 TEL ALPHA-805C 160967 TEL ALPHA-805C 160968 TEL ALPHA-805C 160980 TEL P-8 1 01.06.200267130 TEL Mark 7 1C2D 1 01.06.199671743 TEL P8XL automatic PROBER 1 200 mm excellent 01.01.200477375 TEL Unity IIE 85 DP Oxide Etch System 1 20078655 TEL UNITY 85DP 200mm, 1996 vintage 0 200mm77376 TEL Unity IIE 85 DP Oxide Etch System 1 20077377 TEL Telius SP Oxide Etch Oxide Etch System 1 20077378 TEL Telius SP Oxide Etch Oxide Etch System 1 20077379 TEL Telius SP Oxide Etch Oxide Etch System 1 20077380 TEL Telius SP Oxide Etch Oxide Etch System 1 20077381 TEL Telius SP Oxide Etch Oxide Etch System 1 20077382 TEL Telius SP Oxide Etch Oxide Etch System 1 20077383 TEL Telius SP Oxide Etch Oxide Etch System 1 200

77385 TEL Telius SP Oxide Etch Oxide Etch System 1 20077386 TEL Telius SP Oxide Etch Oxide Etch System 1 200

77387 TEL Telius SP Oxide Etch Oxide Etch System 1 20053067 TEL P8XL Prober 177388 TEL Telius SP Oxide Etch Oxide Etch System 1 20077390 TEL Unity II 85 DRM Oxide Etch System 1 20077391 TEL Unity IIE 85 DRM Oxide Etch System 1 20077393 TEL Unity IIE 85 DRM Oxide Etch System 1 20077395 TEL Unity IIE 85 DRM Oxide Etch System 1 20077396 TEL Unity IIE 85 DRM Oxide Etch System 1 20077397 TEL Unity IIE 88 DRM Oxide Etch System 1 200

Plasma Asher 6"(150mm) Capable

Page 12: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

77398 TEL Unity IIE 85 DRM Oxide Etch System 1 20061014 TEL P-8 AUTOMATIC WAFER PROBER 169721 TEL Mark 7 1C2D 1 01.06.199756925 TEL Mark8 (1C2D) SMIF Coater/Developer 1 200mm 01.06.200056926 TEL CT-MK8 (2Block 2C2D) Coater/Developer 1 200mm 01.06.199577408 TEL Unity IIE 85 DRM Oxide Etch System 1 20077409 TEL Unity IIE 85 DRM Oxide Etch System 1 20054881 TEL Mark 8 Photo 1 200mm 01.06.199577410 TEL Unity IIE 85 DRM Oxide Etch System 1 20077411 TEL Unity IIE 85 DRM Oxide Etch System 1 20077414 TEL Unity IIE 85 DRM Oxide Etch System 1 20077415 TEL Unity IIE 85 SCCM Oxide Etch System 1 200

77416 TEL Unity IIE 85 DRM Oxide Etch System 1 20077417 TEL Unity IIE 85 DRM Oxide Etch System 1 200

77418 TEL Unity IIE 85 DRM Oxide Etch System 1 20077419 TEL Unity IIE 85 DRM Oxide Etch System 1 200 01.06.2001

77420 TEL Unity IIE 85 DRM Oxide Etch System 1 20077422 TEL Unity IIE 85 SCCM Oxide Etch System 1 20077425 TEL Unity ME 85 DRM Oxide Etch System 1 20077426 TEL Unity ME 85 SCCM Oxide Etch System 1 20077437 TEL Unity IIE 84 SCCM PolySilicon Etch System 1 20084349 TEL UW200Z Wet Etching System 1 200 excellent77438 TEL Unity IIE 84 SCCM PolySilicon Etch System 1 200

77439 TEL Unity IIE 84 SCCM PolySilicon Etch System 1 20077440 TEL Unity IIE 84 SCCM PolySilicon Etch System 1 20077441 TEL Unity IIE 84 SCCM PolySilicon Etch System 1 20077443 TEL Certas Batch Process Cleaner 1 30053126 TEL P8XL - VIP3 PROBER 1 200 mm excellent 01.01.200453127 TEL P8XL - VIP3 PROBER 1 200 mm excellent 01.01.200477448 TEL LITHIUS Cluster Tool Tracks (Resist Coater/Developer) 1 20077457 TEL MARK-8 Developer Cluster Tool Tracks (Resist Developer) 1 200mm excellent 01.04.199677467 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20080027 TEL Alpha 8SE-E 200mm 0 01.03.200577469 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20077470 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20077471 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20077472 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20060832 Tel P8 Wafer Prober 177473 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20060833 Tel P8 Wafer Prober 177474 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20051874 TEL P8XL Prober 160834 Tel P8XL Wafer Prober 377475 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20060835 Tel P12XLm Wafer Prober 177476 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20060836 Tel P12XLm Wafer Prober 160837 TEL 20 SR 6 inch Auto Wafer Prober 177478 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20079791 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200679792 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200778257 TEL Alpha 8SE Oxide 3 good

79793 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200777490 TEL Alpha-8SE - LPCVD Vertical LPCVD Furnace 1 20079794 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200679795 TEL INDY Vertical Furnace 1 300mm good 01.06.200777492 TEL Alpha 8S - LPCVD Vertical LPCVD Furnace 1 20079796 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200779797 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200779798 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200679799 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200677496 TEL Formula - Nitride Vertical Nitride Furnace 1 30079800 TEL INDY Vertical Furnace 1 300mm good 01.06.200677497 TEL Formula - Oxide Vertical Oxide Furnace 1 30079801 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200654201 TEL P8XL Prober 177498 TEL Alpha-303i - Poly Vertical POLY Furnace 1 30079802 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200679803 TEL INDY Vertical Furnace 1 300mm good 01.06.200777500 TEL Alpha-303i - Poly Vertical POLY Furnace 1 30079804 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200777501 TEL Alpha-303i - Poly Vertical POLY Furnace 1 30079805 TEL INDY Vertical Furnace 1 300mm good 01.06.200777502 TEL Alpha-303i - Poly Vertical POLY Furnace 1 30079807 TEL INDY Vertical Furnace 1 300mm good 01.06.200677504 TEL Alpha-303i - Anneal Vertical Anneal Furnace 1 30079809 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200779810 TEL INDY Vertical Furnace 1 300mm good 01.06.200679811 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200679812 TEL INDY B-L Vertical Furnace 1 300mm good 01.06.200664196 TEL Alpha-8S-Z (FTPS) HTO, SMIF 184422 Tel Unity M 85TD Etch 1 200mm69585 TEL 5000 series Oxide Etch System 1 150 mm79574 TEL INDY Vertical Furnace SiGe-Poly 1 300mm good 01.06.200679580 TEL INDY Vertical Furnace D-Poly 1 300mm good 01.06.200655260 TEL Act-8 Resist Processing Equipment, Cluster Tool Tracks (Resist Coater/Developer) 1 200mm 01.09.200277534 TEL Trias SPA PECVD (Chemical Vapor Deposition) 1 30055263 TEL Act-8 Resist Processing Equipment, Cluster Tool Tracks (Resist Coater/Developer) 1 200mm 01.09.2006

79072 TEL P-8XL Prober 1 200 mm64224 TEL MARK8 1C1ARC C 179073 TEL P-8XL Prober 1 200 mm79074 TEL P-8XL Prober 1 200 mm79075 TEL P-8XL Prober 1 200 mm82915 TEL ACT 8 Coater/Developer Cluster Tool Tracks (Resist Coater/Developer) 2 200 good79076 TEL P-8XL Prober 1 200 mm82916 TEL MARK-8 DEVELOPER Cluster Tool Tracks (Resist Developer) 1 200 good 01.06.200084196 TEL 2L10-150079-13 Tel Vigus ESC NEW 079077 TEL P-8XL Prober 1 200 mm82917 TEL Unity II 85 DRM Oxide Etch System 1 200 excellent 01.06.200684197 TEL 2L10-150019-13 Tel Vigus ESC Refurb 079078 TEL P-8XL Prober 1 200 mm82918 TEL Unity IIE 85 SCCM Oxide Etch System 1 200 good 01.06.200584198 TEL Tel SHIN ESC Tel SHIN ESC refurbished 079079 TEL P-8XL Prober 1 200 mm82919 TEL UW200Z Wet Etching System 1 200 good 01.06.200179080 TEL P-8XL Prober 1 200 mm79081 TEL P-8XL Prober 1 200 mm79082 TEL P-8XL Prober 1 200 mm63723 TEL UNITY 2E 855 DD 2XDRM CHAMBERS 1 200mm excellent 01.05.199875813 TEL Corp. Alpha 808SC LPCVD 1 200 MM84318 TEL FSI, INC ORION Hot SPM Single 1 300 mm good 01.06.201279360 TEL Tokyo Electron P8 Prober 179361 TEL Tokyo Electron P8 Prober 185762 TEL Tokyo Electron ALPHA-303i Anneal Vertical Anneal Furnace 1 300 mm85763 TEL Tokyo Electron ALPHA-303i Anneal Vertical Anneal Furnace 1 300 mm

85764 TEL Tokyo Electron ALPHA-303i Anneal Vertical Anneal Furnace 1 300 mm27652 TEL TOKYO ELECTR TRIAS Sputter - Ti / TiN process - 4 chamber 1 300 mm excellent 01.06.200785765 TEL Tokyo Electron ALPHA-303i Anneal Vertical Anneal Furnace 1 300 mm27653 TEL Tokyo Electron TRIAS Trias Ti / TiN ALD system for MOCVD 1 300 mm excellent 01.02.200885766 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85767 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm82696 TEL Tokyo Electron P-8 Probing Machine 1 5 01.06.1995

85768 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm82697 TEL Tokyo Electron PDU-MKIV SPUTTER 1 6" 01.06.200185769 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85770 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85771 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85772 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85773 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85774 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85775 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm83984 TEL Tokyo Electron P8 XL prober 1 8" good85776 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm86032 TEL Tokyo Electron ACT12 STAND ALONE Photoresist coater and developer 1 300 mm excellent85777 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm86033 TEL Tokyo Electron LITHIUS SINGLE BLOCK Photoresist coater and developer 1 300 mm excellent85778 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 186034 TEL Tokyo Electron TELIUS SCCM ETCHER (2 SCCM CHAMBERS) 1 300 mm85779 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85780 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85781 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm34069 TEL TOKYO ELECTR P8 PROBER 1 200 MM good 01.06.200285782 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm21270 TEL TOKYO ELECTR MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Process 1 200 mm excellent 01.09.199685783 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 185784 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 185785 TEL Tokyo Electron TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm85786 TEL Tokyo Electron TELINDY ALD High-K Vertical LPCVD Furnace 1 300 mm85787 TEL Tokyo Electron TELINDY ALD High-K Vertical LPCVD Furnace 1 300 mm85788 TEL Tokyo Electron TELINDY ALD High-K Vertical LPCVD Furnace 1 300 mm84509 TEL Tokyo Electron Alpha-8SE-Z Vertical Furnance, LPCVD Process, ONO 1 200 mm excellent 01.03.1999

85789 TEL Tokyo Electron TELINDY ALD High-K Vertical LPCVD Furnace 1 300 mm85278 TEL Tokyo Electron Trias CVD Ti 1 300 mm 01.06.201685790 TEL Tokyo Electron TELINDY IRAD ALD High-K Vertical LPCVD Furnace 1 300 mm68895 TEL TOKYO ELECTR P12XLn+ PROBER, WITH COOL CHUCK 2 300 mm excellent 01.11.200474527 TEL Tokyo Electron P12XLn Prober 2 300 mm excellent 01.10.200485279 TEL Tokyo Electron Trias CVD Ti 1 300 mm 01.06.201685791 TEL Tokyo Electron TELINDY Oxide Vertical LPCVD Furnace 1 300 mm79392 TEL Tokyo Electron 300 Ingenio FURNACE PROCESS CONTROL SERVER (COMPUTER) 1 excellent 01.06.200785280 TEL Tokyo Electron Trias CVD Ti 1 300 mm 01.06.201685792 TEL Tokyo Electron TELINDY Oxide Vertical LPCVD Furnace 1 300 mm82721 TEL Tokyo Electron ALPHA-8C LPCVD 1 6" 01.06.199485281 TEL Tokyo Electron Trias CVD Ti 1 300 mm85793 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm74530 TEL Tokyo Electron P8 PROBER 6 200 MM82722 TEL Tokyo Electron UL-2604-08 Horizontal Diffusion Furnace 1 6 01.06.198985282 TEL Tokyo Electron Trias CVD Ti 1 300 mm85794 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm74531 TEL Tokyo Electron P8 ( With -10 TO +150) PROBER 2 200 MM82723 TEL Tokyo Electron UL-2604-08 Horizontal Diffusion Furnace 1 6 01.06.198985283 TEL Tokyo Electron Trias CVD TiN 1 300 mm 01.06.200485795 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm82724 TEL Tokyo Electron UL-2604-08 Horizontal Diffusion Furnace 1 6 01.06.198985284 TEL Tokyo Electron Trias METAL 1 300 mm 01.06.201285796 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm82725 TEL Tokyo Electron UL-2604-08-HS Horizontal Diffusion Furnace 1 6" 01.06.198985797 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm82726 TEL Tokyo Electron UL-2604-08-HS Horizontal Diffusion Furnace 1 6" 01.06.198885798 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm82727 TEL Tokyo Electron UL-2604-08-HS Horizontal Diffusion Furnace 1 6" 01.06.198885799 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm82728 TEL Tokyo Electron UL-2604-08-HS Horizontal Diffusion Furnace 1 6" 01.06.198985800 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm82729 TEL Tokyo Electron UL-2604-08-HS Horizontal Diffusion Furnace 1 6" 01.06.198985801 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm82730 TEL Tokyo Electron UL-2604-08-LS LPCVD 1 6" 01.06.198985802 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm

82731 TEL Tokyo Electron UL-2604-08-LS LPCVD 1 6" 01.06.199085803 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm86059 TEL Tokyo Electron SCCM DRY ETCHER 1 200 MM excellent 01.06.200078124 TEL TOKYO ELECTR P8i Wafer Prober 1 200 MM good 01.09.199682732 TEL Tokyo Electron UL-2604-08-LS LPCVD 1 6" 01.06.198885804 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm79149 TEL Tokyo Electron Alpha 8 SE ATPF Epitaxial Silicon CVD 2 200 mm excellent 01.06.200182733 TEL Tokyo Electron UL-2604-08-LS LPCVD 1 6" 01.06.199385805 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm79150 TEL Tokyo Electron Alpha 8 SE -E H2 Anneal 2 200 mm excellent 01.06.200182734 TEL Tokyo Electron VDF-615 Horizontal Diffusion Furnace 1 6" 01.06.199185806 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm56878 TEL TOKYO ELECTR 303iZ TEL 303i furnace for P-doped poly 1 300 MM excellent 01.06.200182735 TEL Tokyo Electron VDF610S Diff 1 6" 01.06.198985807 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm85808 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm85809 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm85810 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm78131 TEL TOKYO ELECTR P8XL Fully Automatic Wafer Prober (Gold Chuck) 1 200 MM good 01.09.200085555 TEL Tokyo Electron P12XL prober 1 300 mm 01.06.200485811 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm86067 TEL Tokyo Electron P8XL Prober 1 excellent85812 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm85813 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm85814 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm76599 TEL TOKYO ELECTR UNITY Vera85DPATC Oxide Etcher - 2 chamber 1 200 mm excellent 01.03.199785815 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm85816 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm76345 TEL TOKYO ELECTR P12XLn+ Prober with cold chuck -25C to 150C 1 300 mm excellent 01.09.200585817 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm85818 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm85819 TEL Tokyo Electron TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm71228 TEL Tokyo Electron alpha-8S-Z (FTPS) Vertical furnace, HTO, FAST RAMPING, SMIF 1 200 mm good85820 TEL Tokyo Electron TELINDY Plus Nitride Vertical LPCVD Furnace 1 300 mm

85821 TEL Tokyo Electron TELINDY-B Vertical Anneal Furnace 1 300 mm85822 TEL Tokyo Electron TELINDY-B Vertical Anneal Furnace 1 300 mm

84543 TEL Tokyo Electron A303I Vertical furnace 1 300 mm 01.06.200484544 TEL Tokyo Electron A303I Vertical furnace 1 300 mm 01.06.200684545 TEL Tokyo Electron A303I Vertical furnace 1 300 mm 01.06.200679172 TEL Tokyo Electron P8i Prober 3 200 mm good 01.06.199721064 TEL TOKYO ELECTR MB2 730 HT HT CVD SYSTEM, 2 CHAMBER WSi Process 1 200 mm good 01.09.199679434 TEL Tokyo Electron Alpha 8 SE -E Vertical furnace, AFTP type, high temperature fast ramp 1 200 mm excellent 01.05.200379436 TEL Tokyo Electron Alpha 8 SE -E Vertical furnace, AFTP type, high temperature fast ramp 1 200 mm excellent 01.06.200282764 TEL Tokyo Electron TE-5000S Etcher 1 6" 01.06.199379437 TEL Tokyo Electron Alpha 8 SE -E Vertical furnace, AFTP type, high temperature fast ramp 1 200 mm excellent 01.02.200582765 TEL Tokyo Electron TE-5000S Etcher 1 6" 01.06.199383789 TEL Tokyo Electron A303I Vertical Diffusion Furnace 1 300 mm good 01.05.200582766 TEL Tokyo Electron TE-8500SATC Etcher 1 5 01.06.199683790 TEL Tokyo Electron A303I Vertical Diffusion Furnace 1 300 mm good 01.07.200582767 TEL Tokyo Electron TE480HGC Etcher 1 6" 01.06.198982768 TEL Tokyo Electron TE480HGC Etcher 1 6" 01.06.198985330 TEL Tokyo Electron Unity SCCM Shin ETCH 1 300 mm 01.06.200385842 TEL Tokyo Electron Tactras Vigus Dielectric Etch 1 300 mm85331 TEL Tokyo Electron Unity SCCM Shin ETCH 1 300 mm 01.06.200785843 TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch 1 300 mm85332 TEL Tokyo Electron Unity SCCM Shin ETCH 1 300 mm 01.06.200485844 TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch 1 300 mm56916 TEL Tokyo Electron 303i furnace for LPCVD poly - AS and P doped 1 300 MM excellent 01.06.200585333 TEL Tokyo Electron Unity SCCM Shin ETCH 1 300 mm 01.06.200785845 TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch 1 300 mm56917 TEL TOKYO ELECTR 303i furnace for LPCVD poly (Asenic and Phos doped) 1 300 MM excellent 01.06.200785334 TEL Tokyo Electron Unity SCCM Shin ETCH 1 300 mm 01.06.200785846 TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch 1 300 mm

56918 TEL TOKYO ELECTR 303i furnace for LPCVD poly (As and P doped) 1 300 mm excellent 01.06.200785847 TEL Tokyo Electron Telius SP-305 SCCM Dielectric Etch 1 300 mm85848 TEL Tokyo Electron Telius SP-305 SCCM Dielectric Etch 1 300 mm85849 TEL Tokyo Electron Telius SP-305 SCCM Dielectric Etch 1 300 mm85850 TEL Tokyo Electron Telius SP-305 SCCM Dielectric Etch 1 300 mm85851 TEL Tokyo Electron Telius SP-305 SCCM Dielectric Etch 1 300 mm85852 TEL Tokyo Electron Telius SP-305 SCCM Dielectric Etch 1 300 mm

84317 TEL Tokyo Electron NS300 SCRUBBER B+F 1 300 mm good 01.06.200685853 TEL Tokyo Electron Telius SP-305 SCCM Dielectric Etch 1 300 mm

78432 TEL Tokyo Electron Indy + Vertical LPCVD Furnace 1 good82784 TEL Tokyo Electron Mark-II Developer 1 6" 01.06.1989

82785 TEL Tokyo Electron Mark-II Developer 1 6" 01.06.198982786 TEL Tokyo Electron MARK-V Coat/Deveý 1 6" 01.06.199284834 TEL Tokyo Electron ACT 8 Photoresist Coater and Developer Track 1 150 mm excellent 01.06.199982787 TEL Tokyo Electron MARK-V Coater 1 6" 01.06.199482788 TEL Tokyo Electron MARK-Vz Coat/Deveý 1 5 01.06.199582789 TEL Tokyo Electron MARK-Vz Developer 1 6" 01.06.199534917 TEL TOKYO ELECTR 303i furnace for SOG anneal 1 300 mm excellent 01.06.200485097 Tel Tokyo Electron P-12XL automatic wafer Prober 1 300 MM 01.01.200585098 Tel Tokyo Electron P-12XL automatic wafer Prober 1 300 MM 01.01.200585099 Tel Tokyo Electron P-12XL automatic wafer Prober 1 300 MM 01.06.200585100 Tel Tokyo Electron P-12XL automatic wafer Prober 1 300 MM 01.01.200585101 Tel Tokyo Electron P-12XL automatic wafer Prober 1 300 MM 01.06.200585102 Tel Tokyo Electron P-12XL automatic wafer Prober 1 300 MM 01.01.200585103 Tel Tokyo Electron P-12XL automatic wafer Prober 1 300 MM 01.03.200485104 Tel Tokyo Electron P12XLN+ automatic wafer Prober 1 300 MM85872 TEL Tokyo Electron Cellesta+ Single Wafer Processing 1 300 mm85873 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85874 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm75635 TEL Tokyo Electron Unity Me SCCM (Chamber) Dry oxide etch chamber 1 200 mm refurbished85875 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm75636 TEL Tokyo Electron Unity Me SCCM 85S Oxide etcher (3 chamber) 1 200 mm 01.10.200384084 TEL Tokyo Electron MARK II Clean Track Dual Block Coater / Developer 1 300mm good85876 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85877 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85878 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm

85879 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85880 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85881 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm79738 TEL Tokyo Electron Alpha 8SE Nitride Vertical Diffusion Furnace 1 200mm excellent84346 TEL Tokyo Electron Vigas Chamber Vigas chamber 185882 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm79739 TEL Tokyo Electron UNITY 2E 855 DD DRY ETCH - oxide 1 200mm excellent 01.09.199685883 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm79740 TEL Tokyo Electron UNITY 2E 855 DD DRY ETCH - oxide 1 200 mm excellent 01.05.1998

85884 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm79741 TEL Tokyo Electron UNITY ME 8SSSS SSS DRY ETCH 1 200mm excellent 01.03.2002

85885 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm79742 TEL Tokyo Electron Unity2e : DRM DRY ETCH 1 200mm85886 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85887 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85888 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85889 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85890 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm78723 TEL Tokyo Electron ACT12 PHOTO 1 300 MM 01.06.200784867 Tel Tokyo Electron Trias Chemical Vapor Deposition Equipment, TiCl4 1 300 MM 01.06.201085891 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm79236 TEL Tokyo Electron Indy A HTOK Hybrid HTO/HCD Nitride / DCS Nitride CVD VERTICAL FURNACE 6 300 mm 01.06.200884868 Tel Tokyo Electron Trias Modules, TEL, Trias, UVRF / High-K CVD / SPA-N / LPA 1 300 MM 01.06.200885892 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm2181 TEL TOKYO ELECTR TE 5480 Nitride Plasma Reactive Ion Etch 1 150 mm for spares use 01.12.199279237 TEL Tokyo Electron Indy A HTOK Hybrid HTO/HCD Nitride / DCS Nitride CVD VERTICAL FURNACE 1 300 mm 01.06.200884869 Tel Tokyo Electron Trias SPA chamber 1 300 MM 01.06.200685893 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm79238 TEL Tokyo Electron Lithius Pro V DUV coater and developer 1 300 mm excellent 01.06.200985894 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85895 TEL Tokyo Electron Expedius Batch Wafer Processing 1 300 mm85896 TEL Tokyo Electron Expedius+ Batch Wafer Processing 1 300 mm85897 TEL Tokyo Electron Expedius+ Batch Wafer Processing 1 300 mm85898 TEL Tokyo Electron Expedius+ Batch Wafer Processing 1 300 mm

85899 TEL Tokyo Electron Expedius+ Batch Wafer Processing 1 300 mm85900 TEL Tokyo Electron Expedius+ Batch Wafer Processing 1 300 mm85901 TEL Tokyo Electron Expedius+ Batch Wafer Processing 1 300 mm85902 TEL Tokyo Electron Expedius+ Batch Wafer Processing 1 300 mm85903 TEL Tokyo Electron PR300Z Batch Wafer Processing 1 300 mm21135 TEL TOKYO ELECTR UPGRADE FOR SCCM OXIDE TO KIT FOR UPGRADE FOR SCCM OXIDE TOOL 1 SPARES excellent85904 TEL Tokyo Electron PR300Z Batch Wafer Processing 185905 TEL Tokyo Electron PR300Z Batch Wafer Processing 1 300 mm85650 TEL Tokyo Electron CLEAN TRACK LITHIUS Single Block (Coat/Develop) 1 300 mm excellent85651 TEL Tokyo Electron CLEAN TRACK LITHIUS Pro i Multi Block (Resist Coater/Developer) 1 300 mm excellent85910 TEL Tokyo Electron Synapse Y Wafer Bonder 1 300 mm

84635 TEL Tokyo Electron MB2 WSI CVD 184636 TEL Tokyo Electron ±-808SC VF LPCVD Poly-Doped 1 200 mm

84637 TEL Tokyo Electron ±-808SC VF LPCVD Poly-Doped 1 200 mm84638 TEL Tokyo Electron ±-808SC VF LPCVD Poly-Doped 1 200 mm84894 Tel Tokyo Electron Alpha 8 S Vertical Furnace LPCVD NITRIDE 1 200 mm 01.01.200084639 TEL Tokyo Electron ±-808SC VF LPCVD Poly-Doped 1 200 mm84895 Tel Tokyo Electron Alpha 8 S Vertical LPCVD Furnace, Nitride 1 200 mm 01.06.199884640 TEL Tokyo Electron ±-808SC VF SiN DEP 1 200 mm84896 Tel Tokyo Electron Alpha 8 S-ZV Vertical Furnace -TEOS 1 200 mm 01.11.199784641 TEL Tokyo Electron ±-808SD VF Anneal 1 200 mm84897 Tel Tokyo Electron Alpha 8 SZ Vertical Furnace D POLY PH3 DOPED 1 200 mm 01.06.199985153 Tel Tokyo Electron Expedius wet c lean and strip 1 300 MM 01.06.200684642 TEL Tokyo Electron ±-8SECFTPS Furnace 1 200 mm84898 Tel Tokyo Electron Alpha 8 SZ Vertical Furnace SIN 1 200 mm 01.06.199885154 Tel Tokyo Electron Expedius Wet Process Equipment BATCH PROCESSING 1 300 MM 01.06.200684899 Tel Tokyo Electron Alpha 8 SZ Vertical Furnace SIN 1 200 mm 01.06.199884900 Tel Tokyo Electron Alpha 8S Vertical Furnace DOPED POLY, pocL3 DOPED 1 200 mm84901 Tel Tokyo Electron Alpha 8S Vertical Furnace SOG CURE 1 200 mm 01.06.1997

84902 Tel Tokyo Electron ALPHA 8S Vertical LPCVD Furnaces D POLY 1 200 mm85158 TEL Tokyo Electron Alpha303iH Vertical Furnace, DPoly Process 1 300 mm 01.06.200284903 Tel Tokyo Electron Alpha 8S Vertical Furnace -POLYSILICON 1 200 mm85159 TEL Tokyo Electron Alpha303iH Vertical Furnace, DPoly Process 1 300 mm 01.06.200134983 TEL Tokyo Electron FORMULA Minibatch furnace for Nitride 1 300 MM excellent 01.03.200384904 Tel Tokyo Electron Alpha 8S LPCVD TEOS Vertical Furnace- LPCVD TEOS 1 200 mm 01.04.199685160 TEL Tokyo Electron Alpha303iH Vertical Furnace, LPN2 Anneal Process 1 300 mm 01.06.2002

34984 TEL TOKYO ELECTR FORMULA Minibatch furnace for Oxide 1 300 MM excellent 01.04.200484905 Tel Tokyo Electron INDY + Vertical FURNACE ALD HfO2 1 300 MM85161 TEL Tokyo Electron Alpha303iH Vertical Furnace, MTO Process 1 300 mm 01.06.200184906 Tel Tokyo Electron IndyPlus Vertical Furnace ALD -HfO2 1 300 MM85162 TEL Tokyo Electron Alpha303iH Vertical Furnace, MTO Process 1 300 mm 01.06.200285163 TEL Tokyo Electron Alpha303iH Vertical Furnace, MTO Process 1 300 mm 01.06.200285164 TEL Tokyo Electron Alpha303iK Vertical Furnace, MTO Process 1 300 mm 01.06.200485165 TEL Tokyo Electron Alpha303iK Vertical Furnace, MTO Process 1 300 mm 01.06.200479278 TEL Tokyo electron Mark 7 coater and developer track 1 200 mm 01.06.199685166 TEL Tokyo Electron Alpha303iK Vertical Furnace, MTO Process 1 300 mm 01.06.200485678 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm

79279 TEL Tokyo electron Mark 7 coater and developer track 1 200 mm 01.06.199685167 TEL Tokyo Electron Alpha808SC Vertical Furnace, Nitride Process 1 200 mm 01.06.1997

85679 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm85168 TEL Tokyo Electron Alpha808SCN Vertical Furnace, DPoly Process 1 200 mm 01.06.199685680 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm

85169 TEL Tokyo Electron Alpha808SCN Vertical Furnace, DPoly Process 1 200 mm 01.06.199685681 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm

73138 TEL Tokyo Electron ACT 12 DUV DUAL BLOCK COATER AND DEVELOPER TRACK 1 300 MM 01.02.200485170 TEL Tokyo Electron Alpha808SCN Vertical Furnace, DPoly Process 1 200 mm 01.06.199685682 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm85171 TEL Tokyo Electron Alpha808SCN Vertical Furnace, Nitride Process 1 200 mm 01.06.199685683 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm85172 TEL Tokyo Electron Alpha808SCN Vertical Furnace, Nitride Process 1 200 mm 01.06.199685684 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm85173 TEL Tokyo Electron Alpha808SCN Vertical Furnace, Nitride Process 1 200 mm 01.06.199785429 TEL Tokyo Electron ACT8 COT/DEV 1 200 mm 01.06.200385685 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm84662 TEL Tokyo Electron TE-8401 Poly Etch 1

85174 TEL Tokyo Electron Alpha808SCN Vertical Furnace, Nitride Process 1 200 mm 01.06.199685430 TEL Tokyo Electron ACT8 TRACK 1 200 mm 01.06.199985686 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm84663 TEL Tokyo Electron TE-8500P ESC Oxide etcher 185175 TEL Tokyo Electron Alpha808SD Vertical Furnace, DPoly Process 1 200 mm 01.06.199685431 TEL Tokyo Electron ACT8 Track 1 200 mm85687 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm84664 TEL Tokyo Electron Unity-IEM Oxide etcher 185176 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199485432 TEL Tokyo Electron ACT8 Track 1 200 mm85688 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm84665 TEL Tokyo Electron Unity-IEM Oxide etcher 185177 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199685433 TEL Tokyo Electron ACT8 Track 1 200 mm85689 TEL Tokyo Electron Trias Chamber Parts/Peripherals 1 300 mm84666 TEL Tokyo Electron Unity-IEM Oxide etcher 185178 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199685434 TEL Tokyo Electron LITHIUS TRACK 1 300 mm 01.06.200685690 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm85179 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.1996

85435 TEL Tokyo Electron LITHIUS i+ COT/DEV 1 200 mm 01.06.200685691 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm85180 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.1996

85436 TEL Tokyo Electron Mark 7 1C2D 1 200 mm 01.06.199385692 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm

85181 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199685437 TEL Tokyo Electron Mark 7 1C2D 1 200 mm 01.06.199685693 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm85182 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199685438 TEL Tokyo Electron Mark 7 1C2D1Scr 1 200 mm 01.06.199385694 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm85183 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199685439 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199585695 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm

85184 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199685440 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.1996

85696 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm86208 TEL Tokyo Electron ACT 12 Clean Track 1 200 mm84929 Tel Tokyo Electron 8500PE Dry etch 1 200 mm 01.06.199785185 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199685441 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199685697 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm84930 Tel Tokyo Electron 8500PE Dry etch 1 200 mm 01.06.199785186 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199685442 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199785698 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 184931 Tel Tokyo Electron 8500PE Dry etch 1 200 mm 01.06.199785187 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199585443 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199685699 TEL Tokyo Electron Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm84932 Tel Tokyo Electron 8500PE Dry etch 1 200 mm 01.06.199785188 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199585444 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199686212 TEL Tokyo Electron ACT 12 Clean Track 1 200 mm84933 Tel Tokyo Electron 8500PE Dry etch 1 200 mm 01.06.199785189 TEL Tokyo Electron Alpha808SD Vertical Furnace, Dry Oxide Process 1 200 mm 01.06.199485445 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199486213 TEL Tokyo Electron ACT 12 Clean Track 1 200 mm84934 Tel Tokyo Electron 8500PE Dry etch oxide 1 200 mm 01.06.1997

85190 TEL Tokyo Electron Alpha8SC Vertical Furnace, PAD/LINER/ISO Process 1 200 mm 01.06.199585446 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.1995

86214 TEL Tokyo Electron ACT 12 Clean Track 1 200 mm84935 Tel Tokyo Electron 8500PE Dry Oxide Etcher 1 200 mm 01.06.1996

85191 TEL Tokyo Electron Alpha8SC Vertical Furnace, Poly Process 1 200 mm 01.06.199585447 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199486215 TEL Tokyo Electron ACT 12 Clean Track 1 200 mm84936 Tel Tokyo Electron 8500PE oxide etch 1 200 mm 01.06.199985192 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.199885448 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199684937 Tel Tokyo Electron TACTRAS Dry etch -POLY AND DIELECTRIC ETCH 1 300 MM 01.06.2005

85193 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.199785449 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.1995

84170 TEL TOKYO ELECTR MARK 8 (2C) Coater Track 1 200 mm good18890 TEL TOKYO ELECTR 201345 Operations manual 1

84938 Tel Tokyo Electron TE 8500PE dry etch oxide 1 200 mm85194 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.199785450 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199579051 TEL TOKYO ELECTR ±-8SE-E, TIN Vertical Furnace 1 200 mm84171 TEL TOKYO ELECTR Mark-8 Coater and Developer track 1 200 mm good18891 TEL TOKYO ELECTR 201336 Operations manual 184939 Tel Tokyo Electron Telius 308S SCCM DT, CHAMBER Deep trench Si Etch process chamber 1 300 MM 01.06.200585195 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.199785451 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199479052 TEL TOKYO ELECTR ±-8SE-Z, TiN/Ta Vertical Furnace 1 200 mm83660 TEL Tokyo Electron ACT 12 Clean Track, 2 block, 2C / 4D 1 200 mm excellent 01.06.200118892 TEL TOKYO ELECTR 201341 Operations manual 184940 Tel Tokyo Electron Telius SP 304 poly Poly Etch 1 300 MM 01.06.200785196 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.199785452 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199579053 TEL TOKYO ELECTR ±-8SE-Z, Si3N4+ Vertical Furnace 1 200 mm18893 TEL TOKYO ELECTR 201342 Operations manual 184941 Tel Tokyo Electron Unity e 85DD Dry Etcher 1 200 mm 01.02.199785197 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.199785453 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199618894 TEL TOKYO ELECTR 201339 Operations manual 184942 Tel Tokyo Electron Unity II, 855SS Dry Oxide etch, 2 chamber 1 200 mm 01.06.200385198 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.1997

85454 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199618895 TEL TOKYO ELECTR 201335 Operations manual 1

85199 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.199885455 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199518896 TEL TOKYO ELECTR 201342 Operations manual 185200 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.199885456 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199618897 TEL TOKYO ELECTR 201346 Operations manual 185201 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.199885457 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199385202 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.1997

85458 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199685203 TEL Tokyo Electron Alpha8SE Vertical Furnace, DPoly Process 1 200 mm 01.06.1997

85459 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199785204 TEL Tokyo Electron Alpha8SZ Vertical Furnace, PHOS ANN(Poly) Process 1 200 mm 01.06.200185460 TEL Tokyo Electron Mark 7 TRACK 1 200 mm 01.06.199785205 TEL Tokyo Electron Alpha8SZVN Vertical Furnace, DPoly Process 1 200 mm 01.06.199685461 TEL Tokyo Electron Mark 8 1C2D 1 125 mm 01.06.200485206 TEL Tokyo Electron FORMULA Vertical Furnace, Nit Process 1 300 mm 01.06.200385462 TEL Tokyo Electron Mark 8 1C2D 1 200 mm 01.06.199285207 TEL Tokyo Electron FORMULA Vertical Furnace, Nit Process 1 300 mm 01.06.200385463 TEL Tokyo Electron Mark 8 1C2D 1 200 mm 01.06.199485208 TEL Tokyo Electron FORMULA Vertical Furnace, SiGePOLY Process 1 300 mm 01.06.200385464 TEL Tokyo Electron Mark 8 1C2D 1 200 mm 01.06.199683673 TEL Tokyo Electron Act 8 DUV coater and developer track 1 8"85465 TEL Tokyo Electron Mark 8 1C2D 1 200 mm 01.06.199585466 TEL Tokyo Electron Mark 8 2C1D 1 200 mm 01.06.199785467 TEL Tokyo Electron Mark 8 2C1D 1 200 mm 01.06.199785468 TEL Tokyo Electron Mark 8 2C2D 1 125 mm 01.06.200685469 TEL Tokyo Electron Mark 8 2C2D 1 200 mm 01.06.199585470 TEL Tokyo Electron Mark 8 2C3D 1 200 mm 01.06.199685471 TEL Tokyo Electron Mark 8 2C3D 1 200 mm 01.06.199685472 TEL Tokyo Electron Mark 8 2C3D 1 200 mm 01.06.199685473 TEL Tokyo Electron Mark 8 2C3D 1 200 mm 01.06.199685474 TEL Tokyo Electron Mark 8 2C3D 1 200 mm 01.06.199679331 TEL Tokyo Electron TE-8500S Oxide Etcher 1

27619 TEL TOKYO ELECTR CERTAS ISOTROPIC OXIDE ETCH 1 300 mm excellent 01.09.200879332 TEL Tokyo Electron TE-8500S Oxide Etcher 178821 TEL Tokyo Electron P12XLm PROBER 1 300 mm excellent 01.04.200984967 Tel Tokyo Electron ACT 12 SOD STAND ALONE COATER, HSQ 1 300 MM 01.06.200784968 Tel Tokyo Electron ACT 8 Photoresist coater and developer 1 200 mm 01.06.200084969 Tel Tokyo Electron Lithius Photoresist coater and developer I line 1 300 MM 01.06.200783690 TEL Tokyo Electron UNITY M 85TD Oxide Etch 1 8"84970 Tel Tokyo Electron Lithius iLine I-line Photoresist coater and developer 1 300 MM84971 Tel Tokyo Electron Lithius iLine I-line Photoresist coater and developer 1 300 MM84972 Tel Tokyo Electron Lithius KrF Photoresist coater and developer- 248 nm 1 300 MM 01.06.200784973 Tel Tokyo Electron MArk 8 Photoresist coater and developer 1 200 mm 01.06.199784974 Tel Tokyo Electron Mark 8 photoresist coater and developer -DUV 1 200 mm 01.06.199884975 Tel Tokyo Electron Mark 8 Photoresist coater and developer I-LINE 1 200 mm 01.06.199878323 TEL Tokyo Electron P12XL PROBER 178324 TEL Tokyo Electron P8XL ( With chiller -40) PROBER 183956 TEL Tokyo Electron a-808SD Vertical Diffusion Furnace PYRO 1 200 mm excellent83957 TEL Tokyo Electron a-808SC Vertical Diffusion Furnace TEOS 1 200 mm excellent 01.04.200783958 TEL Tokyo Electron a-808SC Vertical Diffusion Furnace NIT 1 200 mm excellent 01.05.199582679 TEL Tokyo Electron SCCM TE ETCH 1 300 mm 01.06.200682680 TEL Tokyo Electron SCCM TE ETCH 1 300 mm 01.06.200683960 TEL Tokyo Electron Alpha 8SE Vertical Diffusion Furnace SMIF PYRO 1 200 mm excellent 01.04.200486008 TEL Tokyo Electron NEXX Nimbus 314 Sputtering System 1 300 mm82681 TEL Tokyo Electron SCCM TE ETCH 1 300 mm 01.06.200683961 TEL Tokyo Electron Alpha 8SE Vertical Diffusion Furnace PYRO 1 200 mm excellent 01.07.200182682 TEL Tokyo Electron SCCM TE ETCH 1 300 mm 01.06.200678332 TEL TOKYO ELECTR Act 8 SOG Coater Track 1 200 mm excellent 01.06.200082173 TEL Tokyo Electron Expedius SC1 and SC2 wafer wet c leaning 1 200 mm good 01.03.200767428 Teledyne 1212 SCT SUBSTRATE CONTINUITY TESTER 184499 TEMESCAL BJD1800 EVAPORATOR 1 200

71238 Temescal FC 1800 e-beam deposition system 1 good55901 TEMESCAL CV-14 Electron Beam Power Supply 186116 TEMESCAL VES-2550 Evaporator 1 good67467 Temescal HRS 2550 AIRCO TEMESCAL HRS 2550 SPUTTERING SYSTEM 174393 Temescal VES-2550 W. MPS3000 THIN FILM AND E-BEAM EVAPORATION SYSTEM 172900 Temescal VES 2550 Evaporation System 1 excellent67172 Tempress 1100/Unitek 32 The Tempress 1100/Unitek 32 is a thermocompression die bonder with heated work holder. (Email us1

69323 Tempress TS8403 Diffusion Furnace for POCL3 1 156 mm excellent60690 Tempress? 1100 Wedge Bonders 179886 Temptronic TPO 3215A-2300-2 TEMPERATURE FORCER 1 Facilities excellent 01.06.200278152 Temptronic TPO3000A Prober chuck temperature controller and chiller 1 200 mm good83553 TEMPTRONIC TP22-2 TEMPERATURE TEMP SET 1 TP22-2 good 01.12.198686117 TEMPTRONIC TP04300A Temperature Forcer 1 200 mm good83829 TEMPTRONIC THERMO SPOT THERMO SPOT HEATING HEAD 1 SPARES excellent38517 Temptronic TP-0412A? Temperature Forcing System 138518 Temptronic TP-0412A Temperature Forcing System with DUT Temperature Controller 184787 TEMPTRONICS X-Stream 4300 TEMPERATURE FORCING SYSTEM 2 Facilities excellent 01.07.200685112 Temptronics TP04000A-2B21-2 Temperature Forcer 1 Facilities 01.06.200835603 TENCOR M-Gage 300 Non-Contact Wafer Monitor for Sheet Resistance, 3ea Available 151016 Tencor SM300 Prometrix Spectramap Auto, Film Thickness Mapping System 1 100mm, 125mm, 150mm, 200mm10113 TENCOR Surfscan 4000 Unpatterned Wafer Surface Inspection Tool - Parts Tool Only 133722 TENCOR AlphaStep 300 Profilometer 133723 TENCOR Surfscan 4500 Unpatterned Wafer Surface Inspection Tool, for 75mm-150mm Wafers 183575 TENCOR INSTRUME AC 100V POWER SW 1 AC 100 V excellent 01.04.199683576 TENCOR INSTRUME AC 100-120 V POWER SW 1 AC 100-120 V good 01.11.199534520 TENCOR, SURFSCAN 7700 1 200mm67384 Tenney Jr TENNEY JR ID 16" W X 12"H X 11" DEEP 2" DIAMETER PORT TO PASS WIRES THROUGH -70 TO 200167385 Tenney TEN T10RC-1.5 10 cubic ft / with a VersaTenn III controller (programmable) 171768 Tepla 300E Microwave Plasma cleaner 182177 Teradyne 405-097-00 Power Supply 150 Amp, 230 VAC 4 excellent 01.06.199783972 Teradyne Ultra Flex XC Type Automated Test System 1 TEST good84745 TERADYNE J997 Prober Tester 183983 Teradyne A580 Automated test system 1 8" good78361 Teradyne J971SP (Spares) Boards from VLSI test system 1 Test for spares use 01.02.1997

83497 Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC 1 excellent 01.06.199782231 Teradyne 961-061-00 Teradyne J971 Power Supply 1 good 01.07.1997

82232 Teradyne 961-128-00 Teradyne J971 Power Supply 1 good 01.07.199776346 Teradyne Ultraflex Tester 186083 TERADYNE Ultra Flex SC Type Automated Test System 1 test good86084 TERADYNE i-Flex Automated Test System 1 test good86085 TERADYNE J750EX Automated Test System 5 test good80215 Teradyne 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60 278168 Teradyne 950-656-00 rev B PCB from test system 1 Spares good 01.06.200080216 Teradyne 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 72 180217 Teradyne 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30 1

Page 13: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer

86105 TERADYNE Spare Boards Automated Test System (Spare Boards) 1 TEST - PARTS good80218 Teradyne 950-541-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 180219 Teradyne 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 180220 Teradyne 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 22 180221 Teradyne 950-220-02 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 180222 Teradyne 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 180223 Teradyne 950-572-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 280224 Teradyne 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 280225 Teradyne 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 180226 Teradyne 950-687-01/D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 180227 Teradyne 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 173317 Teradyne Ultraflex TEST SYSTEM 1 TEST 01.06.2005

73318 Teradyne Ultraflex TEST SYSTEM 1 TEST 01.06.200573319 TERADYNE UltraFlex AUTOMATED TEST SYSTEM 2 TEST excellent

84840 Teradyne 880-751-10 /E Precis ion Measurement unit PCB, REV E 1 excellent83561 Teradyne 405-167-00 Power Supply 8 Amp, 28V 1 excellent

79468 Teradyne Catalyst (Spares) Spare Boards 100 good79469 Teradyne Quartet (Spares) Spare Boards 100 good85613 Teradyne UltraFLEX test system 1 TEST 01.06.200783566 Teradyne 405-096-00 Power Supply 150 Amp, 230 VAC (S233) 2 excellent 01.06.199785614 Teradyne UltraFLEX test system 1 TEST 01.06.200684339 Teradyne J972 184340 Teradyne J973 8

60789 Teradyne Z1840 Board Tester 160790 Teradyne Z1880-1 Board Tester 160791 Teradyne Z1880-2 Board Tester 160792 Teradyne Z1884 Board Tester 171545 Teradyne VHFAC Option for IntegraFlex Option for tester 1 TEST excellent71546 Teradyne Gen 3 (Microwave) Option for Cataoption for tester 1 TEST excellent60794 Teradyne Z1860 Board Tester 171547 Teradyne GEN 4 (Microwave) Option for Inte Option for tester 1 TEST excellent60795 Teradyne Z1888 Board Tester 171548 Teradyne Gen 5 - 12G (Microwave) Option foOption for tester 1 TEST excellent60796 Teradyne Z1890 Board Tester 160797 Teradyne L353 Functional Tester 160798 Teradyne L393 Functional Tester 160799 Teradyne Spectrum 8852 Board Tester 160800 Teradyne Spectrum 8855 Board Tester 162610 Teradyne Catalyst D200 Test System with 384 Pins 162611 Teradyne A567 Mixed Signal Test System 162612 Teradyne J937 Memory Test System, 50 MHZ Mem Tester 162613 Teradyne J937 Memory Test System, 100 MHZ? 162614 Teradyne J971SP Logic Test System 162615 Teradyne J971 VLSI Logic Test System, 100 Mhz 162616 Teradyne J993 Memory Test System 162617 Teradyne J995 Memory Test System 162618 Teradyne J997 Memory Test System 181836 Teradyne 961-129-01 Teradyne J971 test system power control panel 1 good 01.04.199771601 TERADYNE CATALYST Tester 271602 TERADYNE A360Z Tester 1079291 Teradyne A360 test system 1 test 01.06.198379292 Teradyne A360 test system 1 test 01.06.1993

79293 Teradyne A360 test system 1 test 01.06.199862654 Teradyne Catalyst-RF Parts Machine 162655 Teradyne A575 Parts Machine 162656 Teradyne A575 Parts Machine 180321 Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61 1 excellent62657 Teradyne A585 Parts Machine 180322 Teradyne 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 1 excellent62658 Teradyne Tiger System & Spares 180323 Teradyne 950-574-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 1 excellent80324 Teradyne 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 1 excellent80325 Teradyne 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 2 excellent80327 Teradyne 950-558-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 1 excellent80328 Teradyne 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 1 excellent80329 Teradyne 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 2 excellent80330 Teradyne 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 1 excellent80331 Teradyne 950-556-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 1 excellent80332 Teradyne 950-217-04 Teradyne J971 PCB, 1 excellent54232 Teradyne J994 Memory Tester 1 test excellent 01.06.200079839 Teradyne Ultra flex test system 1 test excellent82920 Teradyne UltraFLEX SOC Test System 7 200 good 01.06.199670123 Teradyne iFlex Tester 1 good 01.06.200482925 Teradyne 405-155-00 Power Supply 150 Amp, 230 VAC 1 excellent 01.06.199738519 Teradyne Catalyst cat02t Tester 160788 Teradyne? Z1820 736 pins Board Tester 164782 TERRA UNIVERSAL Dessicator Box 111078 TERRA UNIVERSAL 1111-988 Desiccator Box 174195 TERRA UNIVERSAL DESSICATOR DRY BOX 10 FACILITIES33771 TERRA UNIVERSAL 8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks 184321 TES CO., LTD Challenger 300_ACL DCVD 1 300 mm for spares use 01.06.200880266 TESCOM 150 REGULATORS PRESSURE 3 new in box74064 Tesec 8212-TS SOA Tester 174066 Tesec 8714 Handler 8714 (from bowl to 1 from 8 tube sorter) 173049 Tesec 8101-TT Tester 184598 TESSERA Clam Shell 1 01.06.200070299 Texwipe hydrocell mounted brush BRUSH FOR EBARA FREX 200 3 SPARES new in box84992 Therma-Wave Optiprobe 2600 DUV Interferometers 1 200 mm 01.06.1997

61012 THERMA-WAVE OP 2600B OPTI-PROBE 182828 THERMA-WAVE TP500 Tester 1 125 mm good71620 THERMA-WAVE TP 500XP Ion implant dose measurement 1 200 mm good71621 THERMA-WAVE 2600B METROLOGY 377568 Thermawave Opti-Probe 2600 Thin Film Measurement System 1 200 01.10.199583987 Thermawave OP2600 074857 Thermawave Opti-Probe 2600 Thin Film Measurement System 1 200 01.11.199574859 Thermawave Opti-Probe 2600 Thin Film Measurement System 1 200 01.10.199538842 Thermawave Optiprobe 2600 Spare Parts 169591 Thermawave TP500XP Implant Dosing Measurement 1 200 mm34521 THERMAWAVE TP300 1 200mm good84699 THERMAWAVE OP-2600 Thickness Measurement 179532 THERMCO 5200 and TMX 10000 DIFFUSION FURNACE BANK 1 150mm good 01.06.199679533 THERMCO 5000 and TMX 10000 DIFFUSION FURNACE BANK 1 150mm good 01.06.199679534 THERMCO 5000 DIFFUSION FURNACE BANK 1 150mm good72904 Thermco 4 tube horizontal furnace 1 20 mm and 150 mm refurbished77235 Thermco Systems Maxi Brute Thermco Maxi Brute*: 200mm 182921 Thermco Systems P5000 Multi-Process CVD Horizontal Diffusion Furnace 2 150 good55904 THERMIONICS BRC-10-1500N-3PH-TL1 15KW E-Gun Power Supply 114593 Thermonics T-2400R Temp Forcing System 161190 THERMONICS T-2400R Temperature Forcing Unit 167217 Thermonics T2500-75 Temperature Forcing system -80C to 225C 371612 THERMONICS T-2420 TEMPERATURE FORCER 174569 Thermotron S-8 Temperature Cycle Chamber 151019 Thermotron ECA Equipment Cooling Unit 1 01.06.200163799 Thibert Engineering Custom Metal Evaporator Custom Metal Evaporator with environmental chamber for moisture sensitive materials. 1 VI 460 good84243 THK 280L Linear Bearing and guide 1 excellent

84245 THK LWHS15 LINEAR WAY WITH SINGLE BEARING 3 excellent84246 THK RSR 15 LINEAR WAY WITH 7 BEARING 1 excellent84247 THK A6F 598 LINEAR WAY WITH SINGLE BEARING 2 excellent84248 THK HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING 1 excellent84249 THK ATHI240 LINEAR WAY WITH SINGLE BEARING 1 excellent84250 THK Y8A31 LINEAR WAY WITH SINGLE BEARING 1 excellent84251 THK A6 C II LINEAR WAY WITH SINGLE BEARING 1 excellent

84252 THK 689 LINEAR WAY WITHOUT BEARING 1 excellent84253 THK RSR12VM LINEAR WAY WITH 2 BEARING 1 good84257 THK KS 3J22 RELIANCE BEARING 1 excellent70303 THK LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200 2 SPARES new in box83877 THK CO.,LCD OR17 BLOCK SR-2V 5 new in box83878 THK CO.,LCD SC35uu LM CASE UNIT 2 new in box83879 THK CO.,LCD FBA 5 FLAT BALL 2 new in box60706 TMC 63-17158 Micro-G Isolation Table 177222 TMC 63-571 TMC 63-571 Air Table 182048 TMEIC OG-250H DIFF 1182049 TMEIC DIFF 1383827 TOKIMEC VA12134A DIRECTIONAL CONTROL VALVE 1 excellent83828 TOKIMEC 012-7 DIRECTIONAL CONTROL VALVE 2 excellent83832 TOKIO ELECRON 003 FITTING TUBE 1 SPARES new in box83831 TOKYO ELECRON 015 RELAY 1 SPARES new in box83833 TOKYO ELECRON 011 SUPPORT.PCB..SQ-80 1 SPARES new in box71580 TOKYO ELECTRON ALPHA 8 SE VERTICAL FURNACE 183641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER 1 SPARES new in box71671 TOKYO ELECTRON UNITY 2 DRY ETCHER 171672 TOKYO ELECTRON UNITY 2 DRY ETCHER 183640 TOKYO ELECTRON / FC-SD70 flow meter 1 FLOW CHECKER new in box76123 Tokyo Electron Ltd Trias CVD 1 200 MM77088 Tolomatic 11240741 Tolomatic cylinder, replacement for AMI tools 167143 Tomita Engineering TOM200 Peristaltic type precis ion dispenser with hand held needle, adjustable speed with direction reverse, 156304 TOPCON MI-5080 Metrology Equipment, CD SEM 1 200mm56305 TOPCON MI-5080 Metrology Equipment, CD SEM 1 200mm38521 Toray VE-500? Vacuum Printing Encapsulation System with Unozawa TS300 Tripack Dry Vacuum Pump 179239 Toray FG3000 Bonder 1 300 mm excellent 01.06.201479240 Toray FG3000 Bonder 1 300 mm new 01.06.2014

82698 TOSHIBA MACHINE COEGV-28GX Epitaxial Furnace 1 6" 01.06.199855905 TOWA CC-S Injection Molding Press, 2ea Available 1 excellent 01.01.200078822 TOWA Y-SERIES 8F AUTO MOLD SYSTEM 1 ASSEMBLY excellent 01.06.199781924 Toyo T-8252B Solar wire saw 4 excellent 01.01.201181944 Toyo 382033 TRAZAR SRN1-2 CVD 182034 TRAZAR SRN2 CVD 367436 Trebor Mod 116 Manual pull tester, dial 0-10g with workholder on boom stand w/optics, destruct or non destruct 163817 Trend Communications Aurora Forte +extra module 182166 TRI TR7500DTL Desktop AOI 1 excellent 01.06.200779529 Trident RIE Plasma system Dry Etch 1 300mm good67146 203 Bubble Tester 1 Gallon Capacity Digital Readout 167145 Trio-Tech 481 Bubble Tester 1/2 Gallon Capacity 138522 Trio-Tech 486A-2D Grossleak Pressure System 110117 TRION Minilock Single Wafer RIE Etcher with Loadlock, 200mm Wafers 1 200 mm excellent79406 Trion Phantum Phantum II 076992 Tripod TH-S2201 Tester Handler for LED 3528 and 5630 1 01.03.201183665 TSC Memsys TEI 5200 Visual Inspection for LED production 1 LED excellent 01.04.201136626 TSK A-PM-90A AUTOMATIC WAFER PROBER 1 01.08.199756864 TSK APM-90A Automatic Wafer Prober, for up to 200mm Wafers 182218 TSK UF300A Prober 2 200mm good 01.12.200169678 TSK UF200A Prober 883761 TSK A-WD-300TX Dicing Saw 1 300 mm good 01.02.200882237 TSK UF3000 12" Hot Prober Chuck 1 spares good 01.09.201670983 TSK AWD5000A Dicing Saw 167450 TSK 2500 6" WAFER DICING SAW WITH OPTICS AND HIGH POWER SPINDLE 184606 TSK UF200SA 0 01.08.200671057 TSK A-WD-208T TSK Dicing saw 2 01.01.200560838 TSK TSK APM 90A 8 inch Wafer Prober 160839 TSK UF 200 Wafer Prober 160841 TSK TSK APM 90A Parts Machine 179558 TSK A-WD-5000A Dicing Saw 1 good68040 TSK UF190A Wafer Prober 171119 TSK AWD 300T Dicing Saw 12 inch 182147 TSK WIN-WIN 50 DEFECT INSPECTION 1 300 MM excellent 01.06.200733787 TSK APM-90A Automatic Wafer Prober, for up to 200mm Wafers 1

81939 TSKK TSW4020FC4H Twin Grinder 200mm 282216 Tystar Mini Tytan 8300 Horizontal furnace for AP So OX and LP SiN 1 150 mm and 200 mm excellent 01.04.200361199 ULTRACISION 880 8" Semi-Automatic Analytical Wafer Prober 167429 Ultracis ion 860 PROBES UP TO 200mm OR 8" WAFERS. 185535 Ultratech 1500 Lithography 1 150 mm 01.06.200085536 Ultratech 1500 Lithography 1 150 mm 01.06.200085537 Ultratech 1500 Lithography 1 150 mm 01.06.199486049 Ultratech SATURN SPEC 300 GHI Lithography Exposure System 1 300 mm85538 Ultratech 1500 Lithography 1 150 mm 01.06.199685539 Ultratech 1500 Lithography 1 150 mm 01.06.200085540 Ultratech 1500 Lithography 1 150 mm 01.06.200385541 Ultratech 1500 Lithography 1 150 mm85542 Ultratech 1500 Lithography 1 150 mm 01.06.199585543 Ultratech 1500 Lithography 1 150 mm 01.06.199985544 Ultratech 1500 Lithography 1 150 mm 01.06.200085545 Ultratech 1500 Lithography 1 150 mm 01.06.199585546 Ultratech 1500 Lithography 1 150 mm 01.06.199885547 Ultratech 1500 Lithography 1 150 mm 01.06.199985548 Ultratech 1500 Lithography 1 150 mm 01.06.199585549 Ultratech 1500 Lithography 1 150 mm 01.06.199579410 Ultratech Spectrum SSP 300e2 GHI Broadband illumination stepper 1 300 mm excellent 01.06.200486118 ULTRATECH SSP 300E2 GHI line stepper 1 300 mm excellent 01.06.200450568 Ultratech 605 Mask Cleaner 1 good84367 Ultratech 2244i Stepper 1 missing parts79306 Ultratech 1500 I-line stepper 1 150 mm good 01.06.199083663 Ultratech 1500MVS 071655 ULTRATECH 1000 STEPPER 469746 Ultron UH-101C UV Exposure 084480 ULVAC PHOENIX ASHER 1 20071681 ULVAC PHOENIX ASHER 971682 ULVAC ENVIRO I ASHER 184484 ULVAC PHOENIX ASHER 1 20084487 ULVAC ENVIRO I ASHER 1 20033809 ULVAC G-100D Mechanical Vacuum Pump 1

79155 Ulvac Entron EXW300T Sputtering System - Ti/TiN chambers 1 300 mm excellent85562 ULVAC Ceraus Z1000 METAL 1 200 mm

85563 ULVAC Ceraus ZX1000 PVD 1 200 mm 01.06.200085564 ULVAC Ceraus ZX1000 PVD 1 200 mm 01.06.200085565 ULVAC Ceraus ZX1000 PVD 1 200 mm 01.06.200085566 ULVAC Ceraus ZX1000 PVD 1 200 mm 01.06.199585567 ULVAC Ceraus ZX1000 PVD 1 200 mm 01.06.199685568 ULVAC Ceraus ZX1000 PVD 1 200 mm 01.06.199685569 ULVAC Entron EX TSV Bump UBM 1 300 mm 01.06.200685570 ULVAC Entron EX W300 PVD 1 300 mm 01.06.201185571 ULVAC Entron EX W300 PVD 1 300 mm 01.06.200785572 ULVAC Entron S PVD 1 300 mm85573 ULVAC Entron T PVD 1 300 mm77664 Ulvac SRH-420MC Sputter 077234 Ulvac Z1000 Ulvac Z1000: 200mm 186205 ULVAC CERAUS ZX-1000 PVD system 1 200 mm83646 Ulvac Entron PVD deposition system 1 300 mm excellent 01.08.200471122 ULVAC CERAUS ZX-1000 Deposition Equipment, PVD (Physical Vapor Deposition) 1 200mm excellent86009 Ulvac Entron-EX PVD (Physical Vapor Deposition) 1 300 mm84476 ULVAC PHOENIX ASHER 1 20084477 ULVAC PHOENIX ASHER 1 20084478 ULVAC PHOENIX ASHER 1 20084479 ULVAC PHOENIX ASHER 1 20077226 Unaxis 790 Series Unaxis 790 Series 179559 Unaxis Clusterline 300 NiV, Cu and Ti sputtering tool 1 300 mm excellent 01.06.200679827 Unaxis LLS EVO 125 mm 079858 Unaxis LLS EVO 5" Sputter 0 06.01.199984943 UNAXIS Nextral NE860 HDP Etcher for DE-layer 1 200 mm 01.06.200279401 UNAXIS/BALZERS LLS EVO Sputter system 1 125 mm excellent 01.06.1999

60930 UNION PEM-6M DOUBLE VIEW MASK ALIGNER 184078 UNIPHASE 1103P-0187 LASER 1 excellent 01.01.199560991 UNISEM UN-9500A-R SCRUBBER 160992 UNISEM UN-2000A-FG (MS-WSIX) SCRUBBER 1 01.06.200060993 UNISEM UN-2000A-FSV (MS-WSIX) SCRUBBER 1 01.06.200260998 UNISEM UN-2000A-FG SCRUBBER 176357 Unitek Micropull IV Wire Pull Tester 1

67437 Unitek MP III Pull tester 167438 Unitek MP IV Pull tester w/100 gram beam, computer and printer 1

71833 Unitek 125DP resistance spot welder 133773 UNITEK MIYACHI 1-124-05 Parallel Gap Welder with 2-152-02 Weld Head & 9-001-01 XFMR 1 good

74291 Universal 6287A Axial component inserter with 40 Station Sequencer 184438 UNIVERSAL US66-RA2610 WET PROCESS 1 20084469 UP SEMI PROCESS STATION WET PROCESS 1 20080188 Ushio UMA-1002 UV Bake 7 good82832 USHIO INC. UMA-802-H55RM UV BAKE 1 6" 01.06.198982833 USHIO INC. UMA-802-H55RM UV BAKE 1 6" 01.06.198982834 USHIO INC. UMA-802-HC551RM UV BAKE 1 6" 01.06.199462745 UTI 0382-0199 Axis Board 156869 UTI Spectralink 100 PC Controlled Residual Gas Analyzer 119334 Vantec 390-00052 Antistatic shipping box 2614 200 mm new unused 01.01.200819335 Vantec 390-00052DR Antistatic shipping box 7110 200 mm excellent 01.01.200819336 Vantec 390-00052R Antistatic wafer shipping box 560 200 mm excellent 01.01.200882699 Varian 3290 SPUTTER 1 5" 01.06.198960944 Varian XM-90 133810 VARIAN 936-71 Helium Leak Detector 133812 VARIAN SD331 Mechanical Vacuum Pump, 2ea Available 184271 Varian E500HP Medium Current Implanter 1 200 mm good 01.09.199779435 Varian E11296950 Rev G1 Accel Column V810XE 1 spares new unused 01.02.201182776 Varian E220 Implanter 1 01.06.199682777 Varian E500 Implanter 1 8" 01.06.199655906 VARIAN 936-70 SP Helium Leak Detector 184082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 2 Pump new unused 01.06.199967473 Varian EBEAM 25"X 32" HIGH S/S CHAMBER (FLAT REMOVABLE STAINLESS STEEL TOP) THICKNESS MONITO 185913 Varian VIISta 810XP Mid Current Implanter 1 300 mm85914 Varian VIISta 810XP Mid Current Implanter 1 300 mm

85915 Varian VIISta PLAD High Dose Implant 1 300 mm78773 VARIAN Viista 80 IMPLANTER, LOW ENERGY, HIGH CURRENT 1 200 mm excellent 01.06.200184409 VARIAN L6280601 LEAK CHECKED + AIT-1 SHIPPING KIT 1 NW-16-H/O excellent38852 Varian E series Spare Parts 172133 Varian E17015570 SCANACT,COUNTER WEIGHT 1 Spares good72134 Varian E11002430 WAFER COOLING CONTROLLER 2 Spares good72135 Varian E17041712 ROPLAT,SILICON COATED,ADAPTOR,200MM 1 Spares good72136 Varian E17064301 BEAM SHIELD 1 Spares good84424 VARIAN E500 IMPLANT 1 200

72137 Varian E11007224 ROPLAT-MULTIPOINT ASSY,SI PLATEN 1 Spares good72138 Varian E17032320 CHASIS GUIDE M FRME ESSERV 2 Spares good

72139 Varian E11001051 X',CONTROLLER ASSY,PLATEN TILT 1 Spares good72140 Varian E11001320 TARGET, FOCUS, FARADAY 1 Spares good84172 VARIAN E500HPi Medium Current Implanter 1 200 mm good 01.04.199472141 Varian E11002183 PEDESTAL ASSY,MULTI 150/200MM 1 Spares good72142 Varian E11037750 X',SOURCE HOUSNG,EXTRACTION MANIPULATOR 1 Spares good72143 Varian E17026720 PLATE,GROUND,GRAPHSCAN-SCAN 1 Spares good72144 Varian E17026680 PLATE,GROUND,GRAPHSCAN 1 Spares good72145 Varian E17101600 COVER,DUAL VAPORIZER 1 Spares good84433 VARIAN E500 IMPLANT 1 20072146 Varian BEAM SHIELD 1 Spares good72147 Varian VARIAN GRAPHITES 3 Spares good72148 Varian SOURCE COVER 1 Spares good72149 Varian MKS HPS VALVE 62161 1 Spares good72150 Varian ISOLATION VALVE PARTS 1 Spares good72151 Varian various VARIAN SOURCE PARTS 5 Spares good72152 Varian MKS HPS VALVE 69542 1 Spares good34526 VARIAN SPECTRAAA 400 ATOMIC ABSORPTION MASS SPEC 1 200mm56031 Varian 3290 Sputtering system 2 150 mm good 01.06.198984962 Varian VIISta 810 Medium Current Ion Implanter 1 200 mm 01.06.200050163 Varian Viista 80 High Current ION Implant 0 200mm 01.01.199869877 Varian E11059714 R1 200 mm SI cooled platen 1 Spares good84344 Varian / Agilent TwisTorr V304 FS Turbo pump with cables and controller 1 Pump good 01.06.201377210 various MFCs MFC LOT Qualiflow, Brooks 5850 Cracked/broken MFCs for refurb. 1 spares poor 01.01.2009

80175 VAT PM-5 Adaptive Pressure controller 1 good 01.01.201284730 VCR Group Inc IBS/TM2005 Sputter 1 N/A35606 VECTOR/ECOSYS ES-50/8 Scrubber, Parts Only 156842 VEECO MS-35T Turbopumped Leak Detector 135607 VEECO Dektak II Profilometer, Controller Only, Controller Tool 183993 Veeco K465 MOCVD system, 12 x 4 inch, 5 x 6 inch 2 4 inch or 6 inch excellent 01.06.201077858 Veeco K465i GaN MOCVD System 0 good 01.06.201067430 Veeco FPP1000 The FPP-1000 4-Point Probe with light shield 155914 VEECO Dektak Profilometer, Parts Tool Only 183586 Veeco Dimension X3D Model 340 Atomic Force Microscope 1 300 mm excellent 01.11.200667474 Veeco 400 4 Pocket Sloan Gun Sloan 510 Power Supply 1

67480 Veeco Dektak IIA 167484 Veeco Dektak 3030 STYLUS TYPE PROFILOMETER FOR MEASURING STEPS ON UP TO 6" WAFERS 360 DEGREE ST 1

67485 Veeco Fpp 100 4 POINT PROBE WITH DIGITAL R/O. 185419 Veeco UVX310 Step Profiler 1 200 mm 01.06.200385420 Veeco V200 Profiler 1 200 mm86200 VEECO V220SI Atomic Force Microscope 1 200 mm 01.06.200254497 VEECO DEKTAK V200 Si THICKNESS MEASUREMENT 1 150MM AND 200MM excellent 01.07.200178309 Veeco VX310 Atomic Force Microscope 1 200 mm / 300 mm excellent 01.06.200479351 Veeco V200SL Profilometer 184987 Veeco DIMENSION X1D AFM 1 300 MM 01.06.200484988 Veeco DIMENSION X3D AFM 1 300 MM 01.06.200684989 Veeco DIMENSION X3D AFM 1 300 MM 01.06.200486045 Veeco / Digital Instru D9000 AFM 1 Retic le36870 Veeco Wyko NT 3300 Profiler System 183907 VERIFLO CORP. 42800147 PRESSURE VALVE 1 new in box 01.02.198783973 Verigy 93000 PS400 Automated Test System 4 TEST good86088 Verigy 93000 PS1600 Automated Test System 2 TEST good86104 VERIGY V93000 C200E Automated Test System 1 TEST for spares use

63598 Verigy 93000 SOC Test System 171600 VERIGY 93000XP Tester 281872 Verigy V93000 boards Spare boards 1 TEST - PARTS good 01.04.200074076 Verigy / Agilent V3308AD Test System 1 TEST74077 Verigy / Agilent V3308AD Test System 1 TEST74078 Verigy / Agilent V3308AD Test System 1 TEST74079 Verigy / Agilent V3308AD Test System 1 TEST

74080 Verigy / Agilent V3308AD Test System 1 TEST74081 Verigy / Agilent V3308AD Test System 1 TEST74082 Verigy / Agilent V3308AD Test System 1 TEST74083 Verigy / Agilent V3308AD Test System 1 TEST74084 Verigy / Agilent V3308AD Test System 1 TEST74085 Verigy / Agilent V3308AD Test System 1 TEST74086 Verigy / Agilent V3308AD Test System 1 TEST74087 Verigy / Agilent V3308AD Test System 1 TEST74088 Verigy / Agilent V3308AD Test System 1 TEST74089 Verigy / Agilent V3308AD Test System 1 TEST74090 Verigy / Agilent V3308AD Test System 1 TEST

74091 Verigy / Agilent V3308AD Test System 1 TEST74092 Verigy / Agilent V3308AD Test System 1 TEST

74093 Verigy / Agilent V3308AD Test System 1 TEST74094 Verigy / Agilent V3308AD Test System 1 TEST74095 Verigy / Agilent V3308AD Test System 1 TEST

74096 Verigy / Agilent V3308AD Test System 1 TEST74097 Verigy / Agilent V3308AD Test System 1 TEST

74098 Verigy / Agilent V3308AD Test System 1 TEST62600 Versatest VT2104 Memory Tester 179743 Vertec 1800-8 SRD 1 200mm excellent79744 Vertec 1800-8 SRD 1 200mm excellent15619 VERTEQ FLUOROCARBON RD4500 CLAS SRD 2 100 mm excellent 01.05.198667465 Verteq 1600-2 Rinser and dryer for wafers up to 6" 167466 Verteq 1600-55 Spin rinser dryer for up to 6" wafers 110134 VERTEQ VcS-PPC-SAH Semi-Automatic Wet Process System 183826 VEXTA UPH569H-B STEPPING MOTOR 1 excellent83787 VG MICROTECH SC7640 sputter coater 1 Laboratory good 01.04.200280156 VG Scientific Escalab Mark 2 X-ray Photoelectron Spectrometer (XPS) 1 Laboratory excellent 01.06.1986

10135 VISION ENGINEERIN Dynascope Projection Micrsoscope 1 excellent79594 Vision Engineering Dynascope Inspection Microscope 1 Assembly excellent 01.06.199584173 Vision Semicon VSP-88H Batch Plasma Cleaner 1 200 mm84174 Vision Semicon VSP-88H Batch Plasma Cleaner 1 200 mm84175 Vision Semicon VSP-88H Batch Plasma Cleaner 1 200 mm84176 Vision Semicon VSP-88H Batch Plasma Cleaner 1 200 mm good70086 Vistec / KLA LDS 3300M Macro defect inspection tool 1 300 mm excellent 01.06.200570087 Vistec / KLA LDS 3300M Macro defect inspection tool 1 300 mm excellent 01.06.200684233 Viton 43-2-131 O-ring seal, Roth & Rau 1 Spares good69654 Vitronics/Soltec SMR400 Convection Oven 1 200 mm64798 VLSI STANDARDS INCVarious Step Height Standards, Various Models 1271263 Voetsch VC 4033 Climate Chamber 172878 Voetsch 4033 Climate Chamber 172879 Voetsch VTS 4057 Temperature Chamber 172880 Voetsch VTM 7004 and VTL 7006 Temperature Chamber 172881 Voetsch VT6060 Vacuum Chamber 171712 Votsch VTU 60/60 Laboratory Oven 1 oven excellent 01.06.200478027 Votsch VC0057 Humidity Chamber 1 Reliability 01.06.199781915 Votsch VM04 / 100 Climatic Chamber 1 Reliability excellent 01.06.199263813 W&G PA20 1

63814 W&G SF50 263815 W&G PF140 163816 W&G PFA35 1

55859 WAFAB WHRV-5067-2-134A Recirculating Heater/Chiller for Corrosive Liquids 161174 WAFAB WHRV-5067-3-134a Recirculating Heater/Chiller for Corrosive Liquids 1

79352 Waferworx 3D Inspection Tool 167150 Wakefield Watchman 75 The Wakefield Watchman 75 system comes equipped with digital readout and chart recorder. ID: 2'x 177171 WARNER LINEAR K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC 1 Spares as new 01.11.200677164 Wasco SV129-31W3A/2065 Vacuum pressure switch 75 Torr 1 Spares as new84298 Watkins Johnson WJ999R CVD TEOS V3.21 1 excellent79745 WATKINS JOHNSON WJ-1000 APCVD 1 200mm36627 WATKINS-JOHNSON WJ-1000T production eqvipment, DCvD 178400 Watkins-Johnson TEOS 1500 Atmospheric Pressure CVD Tool 1 200 mm excellent84870 WATKINS-JOHNSON 1500 Atmospheric Pressure CVD Tools 1 200 mm

84871 WATKINS-JOHNSON 1500 Atmospheric Pressure CVD Tools, TEOS 1 200 mm 01.06.200851036 Watlow 935 Polymeter 1

77165 WATLOW DC10-40P0-0000 SOLID STATE POWER CONTROL, AMP: 55 AMPS, NEW 1 Spares as new82219 WAVECREST dts-2070c (-52) Credence Duo Wavecrest digital time controller 2 excellent60827 Wavecrest DTS 2077 Digital Time Scope 180238 Weiss TS130 Thermal shock testing chamber 1 Reliability good 01.06.199567461 Weltek 68 6X8" PRINT AREA, SEMIAUTOMATIC TABLE TOP MODEL 167874 Wentworth Compass CMP-100 Probe card Builder 167144 Wentworth 920 WENTWORTH 920 4" ANALYTICAL PROBE STATION WITH B&L MICRO ZOOM OPTICS AND 2 OBJECTIVES 133628 WENTWORTH LABS HOP "Hands Off Probe" Micropositioner, 2ea Available 155913 WENTWORTH LABS MP-2000 Analytical Wafer Prober, for up to 200mm Wafers 179307 Werth Record 600-2 Profile projector 1 assembly excellent 01.06.198160691 West-Bond 5400 Wire Bonder 150982 West-Bond 5700 Semi-automatic Thermosonic Ball Bonder 050985 West-Bond 7400IW Insulated Wire Bonder 050986 West-Bond 7416A Thermo-compression Gold Wire? Wedge Bonder 050988 West-Bond 7416A Thermal-compression Gold-Wire Wedge Bonder 051085 West-Bond 5700 Semi-automatic Thermosonic Ball Bonder 051088 West-Bond 7400IW Insulated Wire Bonder 051091 West-Bond 7416A Thermal-compression Gold-Wire Wedge Bonder 051086 West-Bond? 7200A Pick & Place Epoxy Die Bonder 076329 Westbond 7200B Die Bonder 084013 Westbond 7200B Die Bonder 1 excellent71265 Westbond 2400TS Die Bonder 167173 Westbond 7200 The Westbond 7200 is an epoxy die bonder. This is a small footprint version. (Email us for photo) 1

67174 Westbond 7200A Epoxy dispense and chip pickup tool 167175 Westbond 7316A Manual Die Bonder 1

67515 Westbond 4600 167516 Westbond 5400 1

67517 Westbond 5400B 167518 Westbond 5700 167519 Westbond 7400A Bonder 167521 Westbond 7400B Bonder 167522 Westbond 7416 167523 Westbond 7416A 167524 Westbond 7443A Ultrasonic Bonder 3

67525 Westbond 7700A 179871 Westbond 7400A Ultrasonic Manual Wedge Wire Bonder 1 refurbished

61203 WHITE KNIGHT AT300 Acid Pumps with Check Valve 283835 WHK 10X/20L-H LENS FOR MICROSCOPE 2 excellent

84100 Wiha 368 3 SW Ball end screw driver 4 good10139 WILD M420 Makrozoom Microscope 1 excellent71121 WJ Aviza TEOS999 V3.5 APCVD TEOS 1 good71432 Wyko NT3300 Profiler refurbished 173229 Wyko NT100 Optical Profiling system 134091 Wyko NT 2000 Optical Profiling system 1 200 MM refurbished76889 WYKO NT1100 Optical Profiler 167687 WYKO NT8000 HD Hi-Speed Optical Profiler 1 refurbished71792 WYKO NT3300 Optical 3D profiling system 178192 Wyko NT 8000 Optical Profiler 1 good33930 Wyko NT1100 Optical Profiling system 166762 WYKO HD 2000 DPC Video Scope 138870 Wyko NT1100 Optical Profiling system 185976 X-Tek Orbita 160Xi X-Ray Inspection System 184377 XSGTR ASSY.CBL.EF CONTROL.SNIPER 1 excellent 01.06.200174289 Yamaha YV100XG chip shooter 1 SMT 01.05.200652285 Yamaha YV100XF Chip Mounter 2 good70011 Yamaha YG200 chip shooter 1 SMT good70012 Yamaha YG200 chip shooter 1 SMT good70013 Yamaha YV100XG chip shooter 2 SMT good82836 Yamaha YV88-Xg Multi mounter 1 good 01.06.200382840 Yamaha YVP-Xg Printer 1 good 01.06.200552378 Yamaha YV88xg Pick and place machine 1 good 01.01.2004

85156 Yamaha YV100XG SMT Machine 1 excellent 01.01.200654520 Yamaha YV100XG SMT Machine 4

53033 Yamatake honeywell WLS302 switch 1 spares excellent71710 Yasakawa YR-CRJ3-A00 Robot 184767 Yaskawa Motoman S16A65 Clean Robot 5 730 mm x 920 mm (G6)excellent 01.04.201281922 YASUNAGA TW-320C Solar wire saw 3 excellent 01.01.200834540 YES 1518SM VAPOR PRIME OVEN 1 200mm84052 Yield Engineering YES-450PB8-2P-CP High Temperature Vacuum Cure Oven with Oxygen Monitor 1 excellent85475 Yield Engineering Sys YES5 Lithography 1 150 mm85476 Yield Engineering Sys YES5E Lithography 1 150 mm85477 Yield Engineering Sys YES5E Lithography 1 150 mm

63807 Yokogawa VC200 Mobile Phone tester 163825 Yokogawa OTDR AQ7260 1

38530 Yokogawa TS-70? Test System, 256 Channels 178321 Yokogawa TS6700 TEST SYSTEM 184709 YUASA SR-8040A In-Line Developer 184710 YUASA SR-8040A In-Line Developer 184711 YUASA SR-8040A In-Line Developer 184712 YUASA SR-8040A In-Line Developer 184713 YUASA SR-8040A In-Line Developer 169388 Zeiss Stemi SV 11 Stereomicroscope 184242 Zeiss corygon 2.8/60mm 2.8/60mm objective lens 1 good83738 Zeiss Axiotron (spare Parts) Axiotron microscope spare parts 1 good86046 Zeiss CDC200 Retic le Cd control 1 Retic le71241 Zeiss Axioscop 2 Microscope 184077 Zeiss C35 35mm Camera with microscope attachments 1 excellent84097 Zeiss AxioMAT screws AxioMAT Thumbscrews, spare parts 1 good79746 Zeiss AXIOTRON 200 WAFER INSPECTION MICROSCOPE 1 200mm84101 Zeiss Turret Turret 4 position for Zeiss, Leica and others, good condition 1 good83861 Zeiss 910137 Microscope illumination transformer 1 good83864 Zeiss 990634-5100 Joystick, Carl Zeiss 2 good83865 Zeiss 477473-0207 Cover plate 5 good83866 Zeiss 475690-0206 Joint plate 6 good71619 ZEISS AXIOTRON MICROSCOPE 467784 Zeiss Light-Section Eyepiece Micrometer 184700 ZEISS LSR 1 N/A

83939 Zeiss Stage part moveable x,y stage part 1 good84990 Zeiss AXIOTRON 2 Wafer Inspection Microscopes 7 200 mm84991 Zeiss / HSEB Axiospect 300 Wafer Inspection Microscope 1 300 MM 01.06.200771497 ZENITH ZPS-400 Multiple voltage power supply 1 spares excellent52360 ZENITH ZPS-250 Multiple voltage power supply 250Watts 1 spares excellent 01.07.199960751 Zevatech / ESEC CT-2000 Pick & Place Die Attach System 166702 Zygo NV 7200 Microscope 1 Assembly good81941 ?\M� Auto Mounting Ù mounting� 181934 ät9 CROPPER OD SAW 181932 ?0Ä CROPPER OD SAW 181936 8ø WT2000PVN Brick LT¬� 281925 10 SPG8426 G5 Crucible,650kg 981926 10 SPG8426 G5 Crucible,650kg 3081927 10 SPG8426 G5 Crucible,650kg 181928 10 SPG8426 G5 Crucible,650kg 581940 10 SGP350C Twin Grinder 350mm 181943 10 OMWS 271 1000mm Loading 3981933 °1õ CROPPER OD SAW 181937 T¨T BLTR1201B Brick LT¬� 2

Trio-Tech

LASER SCAN MICROSCOPE

Page 14: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 15: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 16: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 17: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 18: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 19: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 20: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 21: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 22: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 23: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 24: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 25: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer
Page 26: €¦ · XLS file · Web view · 2017-06-22monolithic stage backplane p5000 51688 0100-76124 digital i/o board 50921 0100-13024 interface processer dist p5000 60905 2ch producer