75
DFT Compiler & TetraMAX DFT Compiler & TetraMAX Kate Yu Jen Huang Kate, Yu-Jen Huang Dec 17 2009

DFT Compiler & TetraMAX

  • Upload
    others

  • View
    86

  • Download
    0

Embed Size (px)

Citation preview

Page 1: DFT Compiler & TetraMAX

DFT Compiler & TetraMAXDFT Compiler & TetraMAX

Kate Yu Jen HuangKate, Yu-Jen Huang

Dec 17 2009

Page 2: DFT Compiler & TetraMAX

Outline

VLSI Testing I t d ti Introduction Fault modeling

T t ti Test generation Design for Testability (DFT) Fault Simulation (TetraMAX) Lab time Lab time

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 3: DFT Compiler & TetraMAX

Definitions

Design synthesis Gi I/O f ti d l d t Give an I/O function, develop a procedure to

manufacture a device using known materials and processesprocesses

Verification Predictive analysis to ensure that the synthesized Predictive analysis to ensure that the synthesized

design will perform the given I/O function Testing Testing A manufacturing step that ensures that the physical

device manufactured from the synthesized designdevice, manufactured from the synthesized design, has no manufacturing defect

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 4: DFT Compiler & TetraMAX

Why Testing?

Economy P d t lit Product quality Product reliability

The purpose of testing is to weed out all bad products before they are shipped to users The number of bad products heavily affect the price of

good products A profound understanding of the principles of

manufacturing and test is essential for a designer to design a quality product

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 5: DFT Compiler & TetraMAX

Defect, Fault, and Error

Defect A d f t i i t d d diff b t th A defect is an unintended difference between the

implemented hardware and its intended design It is caused during manufacture or the use of devices It is caused during manufacture or the use of devices

Faultf f A representation of a physical defect at the abstracted

function level E Error A wrong output signal produced by a defective circuit It is caused by a fault or a design error

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 6: DFT Compiler & TetraMAX

Testing Problem

What faults to test F lt d li Fault modeling

How are test pattern obtained Test pattern generation

How is test quality Fault simulation

How are test vectors applied and results How are test vectors applied and results evaluated ATE/BIST ATE/BIST

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 7: DFT Compiler & TetraMAX

Modern IC TestingSTIL 1 0;110001001000111000101000011111110001……………

Test program

Passed the test

Devise under test(DUT)

Automatic test equipment(ATE)

Passed the test

(DUT) (ATE)

Failed the test

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 8: DFT Compiler & TetraMAX

Cost of Test

Design for testability (DFT) A h d d i ld d ti Area overhead and yield reduction Performance overhead

Software processes of test Test generation and fault simulation Test programming and debugging

Manufacturing testg Automatic test equipment (ATE) capital cost Test center operational costp

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 9: DFT Compiler & TetraMAX

Outline

VLSI Testing I t d ti Introduction Fault modeling

T t ti Test generation Design for Testability (DFT) Fault Simulation (TetraMAX) Lab time Lab time

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 10: DFT Compiler & TetraMAX

Defect Real defects are too numerous and hard to be

analyzedanalyzed

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 11: DFT Compiler & TetraMAX

Fault

Fault is a physical defect in a circuit/system P t F lt f lt th t i ti d t bl Permanent Fault: a fault that is continuous and stable,

whose nature do not change before, during, and after testingtesting Hard fault or solid fault

Temporary fault: a fault that is present only part of theTemporary fault: a fault that is present only part of the time, occurring at random moments and affecting the system for finite, but unknown, intervals of time Transient fault, soft error

Intermittent fault: caused by non-environmental diticonditions

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 12: DFT Compiler & TetraMAX

Fault Model and Error Fault model is a logic effect of a fault St t l f lt Structural fault Stuck-at-faults Bridging fault Bridging fault Open fault Transition fault Delay fault

Functional fault RAM coupling and pattern-sensitive faults

Error is manifestation of a fault that results in an incorrect module output or system state

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 13: DFT Compiler & TetraMAX

Failure Failure is deviation of a system from its specified

behaviorbehavior Fault -> error -> failure

F il h i i h i l h i l Failure mechanism is a physical or chemical process that causes devices to malfunction

Failure mode is the cause of rejection of failed device (effect of failure mechanism), such as open/short interconnections, or degraded parameter values

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 14: DFT Compiler & TetraMAX

Defect Level, Fault Coverage and Yield

Defect Level Th f ti f d i th t ll th t t b t till The fraction of devices that pass all the tests but still

contain faults DL = 1 Y(1-FC) DL = 1-Y(1 FC)

Fault Coverage (FC)f f The measure of the ability of a test set T to detect a

given set of faults FC N f d t t d f lt / N f ibl f lt FC = No. of detected faults / No. of possible faults Can be determined by fault simulation

Yi ld (Y) N f d di f /N f di Yield (Y) = No. of good dies per wafer/No. of dies per wafer

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 15: DFT Compiler & TetraMAX

Defect Level and Fault Coverage

DL is measured in terms of DPM (defects per million) and typical values claimed are less thanmillion), and typical values claimed are less than 200DPM, or 0.02%

R i d FC f DL 200DPM Required FC for DL = 200DPM

Y(%) 10 50 90 99

FC(%) 99.99 99.97 99.8 98

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 16: DFT Compiler & TetraMAX

Stuck-at-fault

Single stuck-at-fault: line has a constant value M lti l t k t f lt l i l SAF Multiple stuck-at-fault: several single SAFs occur

at the same timea

bc

a b c c(a/0) c(a/1) c(b/0) c(b/1) c(c/0) c(c/1)0 0 0 0 0 0 0 0 10 0 0 0 0 0 0 0 10 1 0 0 1 0 0 0 11 0 0 0 0 0 1 0 11 1 1 0 1 0 1 0 1

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 17: DFT Compiler & TetraMAX

Single Stuck-at Fault Assumption

ATPG tools assume at most one SA fault is present on the chip under testpresent on the chip under test

This assumption is made to simplify the analysis Detecting multiple faults would complicate ATPG

The SSF model disregards the possible presence of any other faults affecting the test for a target fault

SSF assumes no chance of another fault masking the target fault, making it impossible to g g , g pdetect

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 18: DFT Compiler & TetraMAX

Number of Single Stuck-at Faults

Number of faults in a Boolean gate circuit N f PI N f t N f f t b h No. of PI + No. of gates + No. of fanout branches

Example: XOR gate 24 SAFs

c j 0/1

a d g h

j

11/0

s/0

0/1

be i

z0

1/01

fk 1

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 19: DFT Compiler & TetraMAX

Bridging Faults Two or more normally distinct points (lines) are

shorted togethershorted together Two types of bridging faults Input bridging Can form wired logic or voting model

F db k b id i Feedback bridging Can introduce feedback

F

x1x2 . Y F

x1x2 . YF

x1x2 . Y

xn.. xn

..xn..

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 20: DFT Compiler & TetraMAX

Bridging Fault behaviorI1 O1

I2 O2

AND bridges The bridge acts like an AND gateThe bridge acts like an AND gate

OR bridges The bridge acts like an OR gate The bridge acts like an OR gate

Dominant bridges Th d i ti t l t l th l t t The dominating net always controls the value output

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 21: DFT Compiler & TetraMAX

Single Cell Fault Cells can have any implementation All ibl ll f lt ll d All possible cell faults are allowed C-testability: constant number of test patterns,

independent of circuit sizeX1 Y1 X2 Y2 X3 Y3 X4 Y4X1 Y1 X2 Y2 X3 Y3 X4 Y4

FAC0 FA FA FA C4

Z1 Z2 Z3 Z4

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 22: DFT Compiler & TetraMAX

Transistor Faults MOS transistor is considered an ideal switch and

two types of faults are modeledtwo types of faults are modeled Stuck-open: a single transistor is permanently stuck in

the open statethe open state Stuck-on: a single transistor is permanently shorted

irrespective of its gate voltageirrespective of its gate voltage Detection of a tuck-open fault requires two

vectorsvectors Detection of a stuck-on fault requires the

t f i t t (I )measurement of quiescent current (IDDQ)

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 23: DFT Compiler & TetraMAX

Timing-Related or Delay Fault Delay fault G t d l f lt A t d l f lt h t Gate delay fault: A gate delay fault occurs when a gate

operates more slowly than expected

1

Path delay fault: A path delay fault assumes that a logic transition is delayed along an entire pathlogic transition is delayed along an entire path

Because delays refers to differences in behavior over time delay faults focus on transition in logicover time, delay faults focus on transition in logic values

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 24: DFT Compiler & TetraMAX

Outline

VLSI Testing I t d ti Introduction Fault modeling

T t ti Test generation Design for Testability (DFT) Fault Simulation (TetraMAX) Lab time Lab time

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 25: DFT Compiler & TetraMAX

Testing

Testing = test generation + test application + output evaluationoutput evaluation

FC can be determined by fault simulation Cost of test generation (TG) depends on Complexity of the fault model Complexity of the TG algorithm Complexity of the DUTy

A test set for a class of faults F is a set of tests Tsuch that for any fault , there existsFf Ttsuch that for any fault , there exists such that t detects f

Ff t

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 26: DFT Compiler & TetraMAX

Test Generation by Truth Tablea

bc

b

a b c c(a/0) c(a/1) c(b/0) c(b/1) c(c/0) c(c/1)0 0 0 0 0 0 0 0 10 1 0 0 1 0 0 0 11 0 0 0 0 0 1 0 11 1 1 0 1 0 1 0 1

Ta/0 = {11}; Ta/1 = {01}; Tb/0 = {11}; Tb/1={10} Tc/0 = {11}; Tc/1 = {00} or {01} or {10} T = {01, 10, 11}

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 27: DFT Compiler & TetraMAX

Undetectable Fault

No pattern can be devised to detect fault U2 SA0

a U1

b1/0

c

YU21/0

c

d U3d

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 28: DFT Compiler & TetraMAX

D-algorithm

Select a primitive cube to activate fault f S iti ll ibl th f th f lt it t Sensitize all possible paths from the fault site to

POs (fault propagation or D-drive) Continued until a PO has a D or D’

Develop a consistent set of primary input (PI) values that will account for all lines set to 0 or 1during D-drive. If not consistent, try another path

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 29: DFT Compiler & TetraMAX

Examplea f

ce

h

i

b

a dg

SA1

a b c d e f g h I1 1 D’1 1 D1 1 D’ 1 D1 1 0 D’ 1 D1 1 0 D 1 D1 1 0 D’ 1 1 D D’1 1 0 D’ 1 1 D D’ D

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

1 1 0 D 1 1 D D D

Page 30: DFT Compiler & TetraMAX

Outline

VLSI Testing I t d ti Introduction Fault modeling

T t ti Test generation Design for Testability (DFT) Fault Simulation (TetraMAX) Lab time Lab time

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 31: DFT Compiler & TetraMAX

Design for Testability (DFT)

A fault is testable if there is a well-specified procedure to expose it which can beprocedure to expose it, which can be implemented with a reasonable cost using current techniquecurrent technique

DFT A class of design methodologies which put constraints

on the design process to make test generation and diagnosis easierdiagnosis easier

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 32: DFT Compiler & TetraMAX

Sequential Logic - I

clk

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 33: DFT Compiler & TetraMAX

Sequential Logic - II

Harder to test S ti l i it h i dditi t Sequential circuit has memory in addition to

combinational logic It takes more clock cycles to activate the fault and It takes more clock cycles to activate the fault and

propagate the fault effect Example Example

a1/0

1xxb

z

1/00

0

xxH x x

c

d0

0

x

x

x

x

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 34: DFT Compiler & TetraMAX

Scan Design Approaches

MUX scan Shift i t difi ti Shift register modification

Clock scan LSSD

Partial scan Boundary scan 1149.1 (JTAG) 1149.1 (JTAG) 1149.4 1149 5 1149.5

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 35: DFT Compiler & TetraMAX

Mux Scan

CombinationalLogic

Primary Inputs

Primary OutputsLogicInputs

(PIs)Outputs(POs)

Sequential Logic

CombinationalLogic

Primary inputs

Primary outputs

S i t (SI) S t t (SO)

Pseudo Primary Outputs (PPOs) Pseudo Primary inputs (PPIs)

Sequential LogicScan input (SI) Scan output (SO)

Controllability and observabilityAdvanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Controllability and observability

Page 36: DFT Compiler & TetraMAX

Scan Cell

Combinational LogicPI PO

PPO PPImux

SOSI

PPO PPI

…..

mux

lk

T

clk

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 37: DFT Compiler & TetraMAX

Sequential Logic – Full ScanScan_en

Scan in_

Scan_out

clk

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 38: DFT Compiler & TetraMAX

Operation

1. Switch to SR mode 2 I iti li SR 2. Initialize SR Load the first pattern

3. Return to normal mode Apply test pattern

4. Switch to SR mode Shift out the final state Shift out the final state Setting the starting state for the next test Go back to the previous operation 3 Go back to the previous operation 3

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 39: DFT Compiler & TetraMAX

Advantages and Disadvantages

Advantages S th ll f bi ti l ATPG Scan paths allow use of combinational ATPG Make high coverage possible in reasonable ATPG

time and test program lengthtime and test program length Disadvantages Area overhead Scan-in pin (SI), scan-out pin (SO), test mode pin (T), and

mux in front of each FFmux in front of each FF

For large design, back-end re-optimization to fix scan timing is tedious and time-consumingg g

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 40: DFT Compiler & TetraMAX

Scan Synthesis

Scan configuration Number of scan chains Number of scan chains Types of scan cells Storage elements to exclude from scan synthesis Storage elements to exclude from scan synthesis How scan cells are arranged within scan chains

Scan replacement Scan replacement Replace original design to scannable design

Scan reordering Scan reordering Reorder scan chains

Scan stitching Scan stitching Connect all scan cells together to form scan chains

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 41: DFT Compiler & TetraMAX

1. Before DFT Synthesis Add scan related I/O pins in the design Add i t t d th i t i Add si, se, testmode as the input pins Add so as the output pin

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 42: DFT Compiler & TetraMAX

2. Set Scan Chain Type Set in .synopsys_dc.setup file t fi ti t l lti l d fli fl set_scan_configuration -style multiplexed_flip_flop

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 43: DFT Compiler & TetraMAX

DFT Compiler Flow

HDL

Scan-ready synthesis Set constrains:

scan style, speed, area

Pre-scan DRCcheck dft

Insert scaninsert dftConstraint-based scan synthesis:Routing, balancing, gate-level opt.

Post-scan DRCcheck dft

g, g, g p

Preview coverage

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 44: DFT Compiler & TetraMAX

Scan Synthesis Flow1. Create Test Protocol 4. Design + Test

Protocol

Existing Scan ChainFlow

2. DFT Check

3. Test-Ready Compile DFT CheckTop-Level

Netlist

Check Constraint8. Scan Chain IdentificationUnmapped

MappedDFT

5. Specify Scan Chain

6. DRC & Preview

ppDFTFlow

Flow

7. Scan Chain Synthesis

9. DRC & Coverage

10 Handoff Design

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

10. Handoff Design

Page 45: DFT Compiler & TetraMAX

3.Create Test Protocol Set_dft_signal t t t d f lt i d 100 set test_default_period 100 set_dft_signal -view existing_dft -type ScanClock -

timing {45 55} port clktiming {45 55} -port clk set_dft_signal -view existing -type Reset -active_state

1 -port rst1 -port rst set_dft_signal -view existing -type Constant -

active state 1 -port test modeactive_state 1 port test_mode create_test_protocol

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 46: DFT Compiler & TetraMAX

4.DFT Check Pre-DFT DRC Ch k d i l b f h i Check scan design rule before scan chain

synthesis dft_drc

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 47: DFT Compiler & TetraMAX

5.Test-Ready Synthesis compile -scan

Q QReplaced byD Q

clk

DFF DQ

DFFse

Replaced by

clkclk

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 48: DFT Compiler & TetraMAX

6.Read Design & Test Protocol Write out the test protocol and scan-ready design it t t t l t t f write_test_protocol -output cpu.spf Write -format ddc -hierarchy -output cpu.ddc

Read design & test protocol read_file -format ddc cpu.ddc current_design design link read_test_protocol cpu.spf

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 49: DFT Compiler & TetraMAX

7.Specify Scan Chain Set global attributes for scan paths in the current

designdesign set_scan_configuration -chain_count 1 t fi ti l k i i i set_scan_configuration -clock_mixing no_mix set_dft_signal -view spec -type ScanDataIn -port si

t dft i l i t S D t O t t set_dft_signal -view spec -type ScanDataOut -port so set_dft_signal -view spec -type ScanEnable -port se -

active state 1active_state 1 set_scan_path chain1 -scan_data_in si -

scan data out soscan_data_out so

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 50: DFT Compiler & TetraMAX

Memory Block

add memory wrappersi so si so

add memory wrapper

RAM RAMRAM RAM

Un-observable Un-controllable Un-observable Un-controllable

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 51: DFT Compiler & TetraMAX

Memory Wrapper Add in step 5 set test point element type observe [get object name set_test_point_element -type observe [get_object_name

[get_pins RAM_64B/D*]] -clock_signal clk set test point element -type observe [get object name_ _p _ yp [g _ j _

[get_pins RAM_64B/A*]] -clock_signal clk set_test_point_element -type control_01 [get_object_name

[ t i RAM 64B/Q*]] l k i l lk[get_pins RAM_64B/Q*]] -clock_signal clk report_test_point_element

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 52: DFT Compiler & TetraMAX

8.Scan Preview Check scan-path consistency D t i th h i t Determines the chain count Allocates and orders scan cells Adds connecting hardware preview dft -show allpreview_dft show all preview_dft -test_points all

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 53: DFT Compiler & TetraMAX

9.Scan Chain Synthesis Scan replacement E t ti Ensures no contention Inserts test points Optimized the logic insert dftinsert_dft

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 54: DFT Compiler & TetraMAX

10.Scan Chain Identification Use when you import an existing scan design in

non ddc netlist formatnon-ddc netlist format set_scan_state scan_existing

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 55: DFT Compiler & TetraMAX

11.DRC & Coverage Post-DFT DRC dft d ti t dft_drc -coverage_estimate

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 56: DFT Compiler & TetraMAX

12.Handoff Design Report scan information t th i i ti dft h i ll report_scan_path -view existing_dft -chain all report_scan_path -view existing_dft -cell all

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 57: DFT Compiler & TetraMAX

13.Handoff Design Prepare TetraMax script h hi h l il change_names -hierarchy -rule verilog write -format verilog -hierarchy -out cpu_dft.vg

it f t dd hi h t t dft dd write -format ddc -hierarchy -output cpu_dft.ddc write_scan_def -output cpu_scan.def

f set test_stil_netlist_format verilog write_test_protocol -output cpu.spf

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 58: DFT Compiler & TetraMAX

Outline

VLSI Testing I t d ti Introduction Fault modeling

T t ti f bi ti l d ti l Test generation for combinational and sequential circuits

D i f T t bilit (DFT) Design for Testability (DFT) Fault Simulation (TetraMAX) Lab time

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 59: DFT Compiler & TetraMAX

Automatic Test Pattern Generation

Goal G t th t t tt f t t f lt d l d Generate the test patterns for target fault model and

keep the number of test pattern as small as possible H ? How? Use an ATPG tool which relies on proprietary

techniques to speed up and extend the basic Dtechniques to speed up and extend the basic D algorithm

Fault list Test Generation

Fault simulation

Add pattern to test set

Remove all detected fault and select next fault Test Set

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 60: DFT Compiler & TetraMAX

DFT Compiler to TetraMAX

design_dft.vSimulation

Testbenches

read netlist design_dft.vwrite –f verilog –hierarchy

-output “design_dft.v” Testbenchesp g _

DesignCompiler TetraMaxSimulation

LibraryATE

Vectorsread netlist library.v

design spf

Fault Reports

d d i fwrite_test_protocol –f stil design.spf run drc design.spf

_ _p-out “design.spf”

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 61: DFT Compiler & TetraMAX

5 Fault Categories During ATPG and functional fault simulation,

TetraMAX classifies faults into 5 major categoriesTetraMAX classifies faults into 5 major categories DT: Detected PT P ibl d t t d PT: Possibly detected UD: Undetected

AU ATPG t t bl AU: ATPG untestable ND: Not detected

Test coverage

DT+ PT posdet credit

DT PT posdet_creditall faults - UD+ AU au_credit

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 62: DFT Compiler & TetraMAX

TetraMAX

Setup file (CSHRC) / / d/ /CIC/t h source /usr/cad/synopsys/CIC/tmax.csh source /APP/cshbank/tmax.csh

Invoking TetraMAX tmax&

commandcommand

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 63: DFT Compiler & TetraMAX

Command Input and Script Files

Command input may be specified in many ways GUI b tt di l b manus, GUI buttons, dialog boxes typed at the command input line

d f d fil read from command files By default, TetraMAX aborts a script file when a

command returns an error To continue executing scripts, useg BUILD> set_command noabort

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 64: DFT Compiler & TetraMAX

Multi-line Input in the GUI

Multiple commands may also be entered by separating each command by a semicolon andseparating each command by a semicolon and white space BUILD> b ild f d t lib b ild BUILD> build –force; read net mylib.v; run build

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 65: DFT Compiler & TetraMAX

Help Command

BUILD> help add

Add Atpg ConstraintsAdd Cell Constaints

Add Atpg GatesAdd Clocks

Add Equivalent NofaultsAdd Net ConnectionsAdd PI Constraints

Add FaultsAdd NofaultsAdd PI Equivalences

BUILD h l d tli t

Add PO Masks

BUILD> help read netlist REAd NEtlist [file_name] [-Format <Edif |VErilog | VHdl>] [-Sensitive |

-INSensitive] [-Delete] [-Library] [-Master modules]-INSensitive] [-Delete] [-Library] [-Master_modules] [-Noabort] [-Verbose]

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 66: DFT Compiler & TetraMAX

MAN for Command Reference

Entering “man” and a command name, a message ID or a DFT rule ID or violation ID willmessage ID, or a DFT rule ID or violation ID will open up the on-line help to the reference page for that topicthat topic

BUILD> man getting_started // a topicBUILD> man add clock // a commandBUILD> man report faults // a commandBUILD> man z4-6 // a violationBUILD> man m68 // a message ID

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 67: DFT Compiler & TetraMAX

Stop Process

Submit button changes to “Stop” while performing operationoperation

or CTRL+C and CTRL+Break

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 68: DFT Compiler & TetraMAX

Basic Flow

Read in the netlist B ild M d Build Mode BUILD> read netlist xxx.vg BUILD> read netlist tsmc18.v BUILD> run build_model top_model

DRC mode DRC> set drc xxx.spfp DRC> run drc

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 69: DFT Compiler & TetraMAX

1.Build Mode - Read Netlist

2.Run

1 Read cpu dft vg & tsmc18 v & RAM 64B tv1.Read cpu_dft.vg & tsmc18.v & RAM_64B.tv

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 70: DFT Compiler & TetraMAX

2.Choose Top Module

1 Build1.Build

2. Choose top module “cpu”3.Run

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 71: DFT Compiler & TetraMAX

3. DRC Mode – Choose spf file

1.DRC 2. Choose spf file “cpu_dft,spf”

3 R3.Run

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 72: DFT Compiler & TetraMAX

4.Test Mode

Test mode TEST> t i f lt tt TEST> report summaries faults patterns TEST> add faults -all

TEST t i f lt tt TEST> report summaries faults patterns TEST> run atpg -auto

S f TEST> report summaries faults patterns Increase fault coverage

f ( f ll ) run atpg -fast seq. (or full seq.)

Write ATPG patterns write patterns xxx_atpg.v -internal -format verilog

-serial -replace

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 73: DFT Compiler & TetraMAX

Test Coverage

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 74: DFT Compiler & TetraMAX

Reference

DFT compiler user guide T t M id TetraMax user guide /APP/cad/synopsys/sold/2004.12/doc/online/test/

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang

Page 75: DFT Compiler & TetraMAX

Advanced Reliable Systems (ARES) Lab. Yu-Jen Huang